mirror of
https://github.com/garrettsworkshop/RAM2E.git
synced 2025-04-27 19:50:48 +00:00
GW4203C.1.0 RC3
This commit is contained in:
parent
1a345d9d5e
commit
466f7ebf17
CPLD
LCMXO2-1200HC-NODHGR
RAM2E_LCMXO2_1200HC_tcl.html
impl1
RAM2E_LCMXO2_1200HC_impl1.altRAM2E_LCMXO2_1200HC_impl1.areasrrRAM2E_LCMXO2_1200HC_impl1.bgnRAM2E_LCMXO2_1200HC_impl1.ediRAM2E_LCMXO2_1200HC_impl1.jedRAM2E_LCMXO2_1200HC_impl1.mrpRAM2E_LCMXO2_1200HC_impl1.padRAM2E_LCMXO2_1200HC_impl1.prfRAM2E_LCMXO2_1200HC_impl1.srrRAM2E_LCMXO2_1200HC_impl1_bgn.htmlRAM2E_LCMXO2_1200HC_impl1_cck.rptRAM2E_LCMXO2_1200HC_impl1_mrp.htmlRAM2E_LCMXO2_1200HC_impl1_pad.htmlRAM2E_LCMXO2_1200HC_impl1_par.htmlRAM2E_LCMXO2_1200HC_impl1_scck.rptRAM2E_LCMXO2_1200HC_impl1_summary.htmlRAM2E_LCMXO2_1200HC_impl1_synplify.htmlhdla_gen_hierarchy.html
promote.xmlLCMXO2-1200HC
RAM2E_LCMXO2_1200HC_tcl.html
impl1
RAM2E_LCMXO2_1200HC_impl1.altRAM2E_LCMXO2_1200HC_impl1.areasrrRAM2E_LCMXO2_1200HC_impl1.bgnRAM2E_LCMXO2_1200HC_impl1.ediRAM2E_LCMXO2_1200HC_impl1.jedRAM2E_LCMXO2_1200HC_impl1.mrpRAM2E_LCMXO2_1200HC_impl1.padRAM2E_LCMXO2_1200HC_impl1.prfRAM2E_LCMXO2_1200HC_impl1.srrRAM2E_LCMXO2_1200HC_impl1_bgn.htmlRAM2E_LCMXO2_1200HC_impl1_cck.rptRAM2E_LCMXO2_1200HC_impl1_mrp.htmlRAM2E_LCMXO2_1200HC_impl1_pad.htmlRAM2E_LCMXO2_1200HC_impl1_par.htmlRAM2E_LCMXO2_1200HC_impl1_scck.rptRAM2E_LCMXO2_1200HC_impl1_summary.htmlRAM2E_LCMXO2_1200HC_impl1_synplify.htmlhdla_gen_hierarchy.html
promote.xmlLCMXO2-640HC-NODHGR
RAM2E_LCMXO2_640HC_tcl.html
impl1
RAM2E_LCMXO2_640HC_impl1.altRAM2E_LCMXO2_640HC_impl1.areasrrRAM2E_LCMXO2_640HC_impl1.bgnRAM2E_LCMXO2_640HC_impl1.ediRAM2E_LCMXO2_640HC_impl1.jedRAM2E_LCMXO2_640HC_impl1.mrpRAM2E_LCMXO2_640HC_impl1.padRAM2E_LCMXO2_640HC_impl1.prfRAM2E_LCMXO2_640HC_impl1.srrRAM2E_LCMXO2_640HC_impl1_bgn.htmlRAM2E_LCMXO2_640HC_impl1_cck.rptRAM2E_LCMXO2_640HC_impl1_mrp.htmlRAM2E_LCMXO2_640HC_impl1_pad.htmlRAM2E_LCMXO2_640HC_impl1_par.htmlRAM2E_LCMXO2_640HC_impl1_scck.rptRAM2E_LCMXO2_640HC_impl1_summary.htmlRAM2E_LCMXO2_640HC_impl1_synplify.htmlhdla_gen_hierarchy.html
promote.xmlLCMXO2-640HC
RAM2E_LCMXO2_640HC_tcl.html
impl1
RAM2E_LCMXO2_640HC_impl1.altRAM2E_LCMXO2_640HC_impl1.areasrrRAM2E_LCMXO2_640HC_impl1.bgnRAM2E_LCMXO2_640HC_impl1.ediRAM2E_LCMXO2_640HC_impl1.jedRAM2E_LCMXO2_640HC_impl1.mrpRAM2E_LCMXO2_640HC_impl1.padRAM2E_LCMXO2_640HC_impl1.prfRAM2E_LCMXO2_640HC_impl1.srrRAM2E_LCMXO2_640HC_impl1_bgn.htmlRAM2E_LCMXO2_640HC_impl1_cck.rptRAM2E_LCMXO2_640HC_impl1_mrp.htmlRAM2E_LCMXO2_640HC_impl1_pad.htmlRAM2E_LCMXO2_640HC_impl1_par.htmlRAM2E_LCMXO2_640HC_impl1_scck.rptRAM2E_LCMXO2_640HC_impl1_summary.htmlRAM2E_LCMXO2_640HC_impl1_synplify.htmlhdla_gen_hierarchy.html
promote.xmlMAXII-NODHGR/output_files
RAM2E.asm.rptRAM2E.doneRAM2E.fit.rptRAM2E.fit.summaryRAM2E.flow.rptRAM2E.map.rptRAM2E.map.summaryRAM2E.pofRAM2E.sta.rptRAM2E.sta.summaryRAM2E.svf
MAXII/output_files
70
CPLD/LCMXO2-1200HC-NODHGR/RAM2E_LCMXO2_1200HC_tcl.html
Normal file
70
CPLD/LCMXO2-1200HC-NODHGR/RAM2E_LCMXO2_1200HC_tcl.html
Normal file
@ -0,0 +1,70 @@
|
||||
<HTML>
|
||||
<HEAD><TITLE>Lattice TCL Log</TITLE>
|
||||
<STYLE TYPE="text/css">
|
||||
<!--
|
||||
body,pre{
font-family:'Courier New', monospace;
color: #000000;
font-size:88%;
background-color: #ffffff;
}
h1 {
font-weight: bold;
margin-top: 24px;
margin-bottom: 10px;
border-bottom: 3px solid #000; font-size: 1em;
}
h2 {
font-weight: bold;
margin-top: 18px;
margin-bottom: 5px;
font-size: 0.90em;
}
h3 {
font-weight: bold;
margin-top: 12px;
margin-bottom: 5px;
font-size: 0.80em;
}
p {
font-size:78%;
}
P.Table {
margin-top: 4px;
margin-bottom: 4px;
margin-right: 4px;
margin-left: 4px;
}
table
{
border-width: 1px 1px 1px 1px;
border-style: solid solid solid solid;
border-color: black black black black;
border-collapse: collapse;
}
th {
font-weight:bold;
padding: 4px;
border-width: 1px 1px 1px 1px;
border-style: solid solid solid solid;
border-color: black black black black;
vertical-align:top;
text-align:left;
font-size:78%;
}
td {
padding: 4px;
border-width: 1px 1px 1px 1px;
border-style: solid solid solid solid;
border-color: black black black black;
vertical-align:top;
font-size:78%;
}
a {
color:#013C9A;
text-decoration:none;
}
a:visited {
color:#013C9A;
}
a:hover, a:active {
text-decoration:underline;
color:#5BAFD4;
}
.pass
{
background-color: #00ff00;
}
.fail
{
background-color: #ff0000;
}
.comment
{
font-size: 90%;
font-style: italic;
}
|
||||
-->
|
||||
</STYLE>
|
||||
</HEAD>
|
||||
<PRE><A name="pn240608044452"></A><B><U><big>pn240608044452</big></U></B>
|
||||
#Start recording tcl command: 6/7/2024 20:49:43
|
||||
#Project Location: //Mac/iCloud/Repos/RAM2E/CPLD/LCMXO2-1200HC-NODHGR; Project name: RAM2E_LCMXO2_1200HC
|
||||
prj_project open "//Mac/iCloud/Repos/RAM2E/CPLD/LCMXO2-1200HC-NODHGR/RAM2E_LCMXO2_1200HC.ldf"
|
||||
prj_run Export -impl impl1 -forceAll
|
||||
#Stop recording: 6/8/2024 04:44:52
|
||||
|
||||
|
||||
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
</PRE></FONT>
|
||||
</BODY>
|
||||
</HTML>
|
@ -1,6 +1,6 @@
|
||||
NOTE Copyright (C), 1992-2010, Lattice Semiconductor Corporation *
|
||||
NOTE All Rights Reserved *
|
||||
NOTE DATE CREATED: Fri Jun 07 20:50:28 2024 *
|
||||
NOTE DATE CREATED: Fri Jul 12 16:08:52 2024 *
|
||||
NOTE DESIGN NAME: RAM2E *
|
||||
NOTE DEVICE NAME: LCMXO2-1200HC-4TQFP100 *
|
||||
NOTE PIN ASSIGNMENTS *
|
||||
|
@ -1,7 +1,7 @@
|
||||
----------------------------------------------------------------------
|
||||
Report for cell RAM2E.verilog
|
||||
|
||||
Register bits: 125 of 1280 (10%)
|
||||
Register bits: 124 of 1280 (10%)
|
||||
PIC Latch: 0
|
||||
I/O cells: 70
|
||||
Cell usage:
|
||||
@ -9,7 +9,7 @@ I/O cells: 70
|
||||
BB 8 100.0
|
||||
CCU2D 9 100.0
|
||||
EFB 1 100.0
|
||||
FD1P3AX 58 100.0
|
||||
FD1P3AX 57 100.0
|
||||
FD1P3IX 1 100.0
|
||||
FD1S3AX 31 100.0
|
||||
FD1S3AY 4 100.0
|
||||
@ -22,7 +22,8 @@ I/O cells: 70
|
||||
OFS1P3BX 6 100.0
|
||||
OFS1P3DX 12 100.0
|
||||
OFS1P3IX 3 100.0
|
||||
ORCALUT4 275 100.0
|
||||
ORCALUT4 268 100.0
|
||||
PFUMX 10 100.0
|
||||
PUR 1 100.0
|
||||
VHI 3 100.0
|
||||
VLO 3 100.0
|
||||
@ -30,23 +31,24 @@ SUB MODULES
|
||||
RAM2E_UFM 1 100.0
|
||||
REFB 1 100.0
|
||||
|
||||
TOTAL 494
|
||||
TOTAL 496
|
||||
----------------------------------------------------------------------
|
||||
Report for cell RAM2E_UFM.netlist
|
||||
Instance path: ram2e_ufm
|
||||
Cell usage:
|
||||
cell count Res Usage(%)
|
||||
EFB 1 100.0
|
||||
FD1P3AX 29 50.0
|
||||
FD1P3AX 29 50.9
|
||||
FD1P3IX 1 100.0
|
||||
FD1S3IX 1 11.1
|
||||
ORCALUT4 268 97.5
|
||||
ORCALUT4 260 97.0
|
||||
PFUMX 10 100.0
|
||||
VHI 2 66.7
|
||||
VLO 2 66.7
|
||||
SUB MODULES
|
||||
REFB 1 100.0
|
||||
|
||||
TOTAL 305
|
||||
TOTAL 307
|
||||
----------------------------------------------------------------------
|
||||
Report for cell REFB.netlist
|
||||
Instance path: ram2e_ufm.ufmefb
|
||||
|
@ -4,10 +4,10 @@ Copyright (c) 1995 AT&T Corp. All rights reserved.
|
||||
Copyright (c) 1995-2001 Lucent Technologies Inc. All rights reserved.
|
||||
Copyright (c) 2001 Agere Systems All rights reserved.
|
||||
Copyright (c) 2002-2020 Lattice Semiconductor Corporation, All rights reserved.
|
||||
Fri Jun 07 20:50:24 2024
|
||||
Fri Jul 12 16:08:44 2024
|
||||
|
||||
|
||||
Command: bitgen -g RamCfg:Reset -path //Mac/iCloud/Repos/RAM2E/CPLD/LCMXO2-1200HC-NODHGR -w -jedec -gui RAM2E_LCMXO2_1200HC_impl1.ncd RAM2E_LCMXO2_1200HC_impl1.prf
|
||||
Command: bitgen -g RamCfg:Reset -path //Mac/iCloud/Repos/RAM2E/CPLD/LCMXO2-1200HC-NODHGR -w -jedec -gui -msgset //Mac/iCloud/Repos/RAM2E/CPLD/LCMXO2-1200HC-NODHGR/promote.xml RAM2E_LCMXO2_1200HC_impl1.ncd RAM2E_LCMXO2_1200HC_impl1.prf
|
||||
|
||||
Loading design for application Bitgen from file RAM2E_LCMXO2_1200HC_impl1.ncd.
|
||||
Design name: RAM2E
|
||||
@ -82,5 +82,5 @@ Available General Purpose Flash Memory: 511 Pages (Page 0 to Page 510).
|
||||
Initialized UFM Pages: 321 Pages (Page 190 to Page 510).
|
||||
|
||||
Total CPU Time: 3 secs
|
||||
Total REAL Time: 4 secs
|
||||
Total REAL Time: 8 secs
|
||||
Peak Memory Usage: 275 MB
|
||||
|
File diff suppressed because it is too large
Load Diff
File diff suppressed because it is too large
Load Diff
@ -10,25 +10,26 @@ Command line: map -a MachXO2 -p LCMXO2-1200HC -t TQFP100 -s 4 -oc Commercial
|
||||
RAM2E_LCMXO2_1200HC_impl1.prf -mp RAM2E_LCMXO2_1200HC_impl1.mrp -lpf //Mac/
|
||||
iCloud/Repos/RAM2E/CPLD/LCMXO2-1200HC-NODHGR/impl1/RAM2E_LCMXO2_1200HC_impl
|
||||
1_synplify.lpf -lpf //Mac/iCloud/Repos/RAM2E/CPLD/RAM2E-LCMXO2.lpf -c 0
|
||||
-gui
|
||||
-gui -msgset //Mac/iCloud/Repos/RAM2E/CPLD/LCMXO2-1200HC-NODHGR/promote.xml
|
||||
|
||||
Target Vendor: LATTICE
|
||||
Target Device: LCMXO2-1200HCTQFP100
|
||||
Target Performance: 4
|
||||
Mapper: xo2c00, version: Diamond (64-bit) 3.11.3.469
|
||||
Mapped on: 06/07/24 20:50:05
|
||||
Mapped on: 07/12/24 16:07:54
|
||||
|
||||
Design Summary
|
||||
--------------
|
||||
|
||||
Number of registers: 125 out of 1520 (8%)
|
||||
PFU registers: 103 out of 1280 (8%)
|
||||
Number of registers: 124 out of 1520 (8%)
|
||||
PFU registers: 102 out of 1280 (8%)
|
||||
PIO registers: 22 out of 240 (9%)
|
||||
Number of SLICEs: 148 out of 640 (23%)
|
||||
SLICEs as Logic/ROM: 148 out of 640 (23%)
|
||||
Number of SLICEs: 145 out of 640 (23%)
|
||||
SLICEs as Logic/ROM: 145 out of 640 (23%)
|
||||
SLICEs as RAM: 0 out of 480 (0%)
|
||||
SLICEs as Carry: 9 out of 640 (1%)
|
||||
Number of LUT4s: 296 out of 1280 (23%)
|
||||
Number used as logic LUTs: 278
|
||||
Number of LUT4s: 289 out of 1280 (23%)
|
||||
Number used as logic LUTs: 271
|
||||
Number used as distributed RAM: 0
|
||||
Number used as ripple logic: 18
|
||||
Number used as shift registers: 0
|
||||
@ -58,53 +59,54 @@ Design Summary
|
||||
2. Number of logic LUT4s does not include count of distributed RAM and
|
||||
ripple logic.
|
||||
Number of clocks: 2
|
||||
Net C14M_c: 85 loads, 63 rising, 22 falling (Driver: PIO C14M )
|
||||
Net PHI1_c: 3 loads, 0 rising, 3 falling (Driver: PIO PHI1 )
|
||||
Net C14M_c: 84 loads, 62 rising, 22 falling (Driver: PIO C14M )
|
||||
|
||||
Page 1
|
||||
|
||||
|
||||
|
||||
|
||||
Design: RAM2E Date: 06/07/24 20:50:05
|
||||
Design: RAM2E Date: 07/12/24 16:07:54
|
||||
|
||||
Design Summary (cont)
|
||||
---------------------
|
||||
Net PHI1_c: 3 loads, 0 rising, 3 falling (Driver: PIO PHI1 )
|
||||
Number of Clock Enables: 13
|
||||
Net N_117_i: 2 loads, 0 LSLICEs
|
||||
Net RWBank14: 11 loads, 11 LSLICEs
|
||||
Net N_347_i: 2 loads, 0 LSLICEs
|
||||
Net RWBank14: 10 loads, 10 LSLICEs
|
||||
Net ram2e_ufm/wb_adr_0_sqmuxa_1_i: 1 loads, 1 LSLICEs
|
||||
Net un9_VOE_0_a2_0_a2: 1 loads, 1 LSLICEs
|
||||
Net un6_DOEEN_0_a2_0_a2: 2 loads, 2 LSLICEs
|
||||
Net ram2e_ufm/un1_wb_adr_0_sqmuxa_2_i[0]: 8 loads, 8 LSLICEs
|
||||
Net ram2e_ufm/un1_wb_cyc_stb_0_sqmuxa_1_i[0]: 1 loads, 1 LSLICEs
|
||||
Net ram2e_ufm/N_111: 1 loads, 1 LSLICEs
|
||||
Net ram2e_ufm/N_104: 4 loads, 4 LSLICEs
|
||||
Net ram2e_ufm/N_98: 1 loads, 1 LSLICEs
|
||||
Net un1_CKE48_0_i: 6 loads, 6 LSLICEs
|
||||
Net N_389_i: 2 loads, 0 LSLICEs
|
||||
Net ram2e_ufm/N_63: 1 loads, 1 LSLICEs
|
||||
Net ram2e_ufm/un1_wb_adr_0_sqmuxa_2_i_0[0]: 8 loads, 8 LSLICEs
|
||||
Net ram2e_ufm/un1_CmdBitbangMXO212_1_i[0]: 1 loads, 1 LSLICEs
|
||||
Net ram2e_ufm/un1_RWMask_0_sqmuxa_1_i_0[0]: 4 loads, 4 LSLICEs
|
||||
Net ram2e_ufm/un1_LEDEN_0_sqmuxa_1_i_0[0]: 1 loads, 1 LSLICEs
|
||||
Net un9_VOE_0_a2: 1 loads, 1 LSLICEs
|
||||
Net un1_CKE48_i: 6 loads, 6 LSLICEs
|
||||
Net N_346_i: 2 loads, 0 LSLICEs
|
||||
Net Vout3: 8 loads, 0 LSLICEs
|
||||
Number of LSRs: 8
|
||||
Net N_148: 2 loads, 2 LSLICEs
|
||||
Net N_430_i: 2 loads, 0 LSLICEs
|
||||
Number of LSRs: 9
|
||||
Net un1_CS_0_sqmuxa_i: 2 loads, 2 LSLICEs
|
||||
Net ram2e_ufm.wb_rst13: 2 loads, 0 LSLICEs
|
||||
Net RC7: 2 loads, 2 LSLICEs
|
||||
Net S[2]: 2 loads, 2 LSLICEs
|
||||
Net S[1]: 1 loads, 1 LSLICEs
|
||||
Net ram2e_ufm/wb_rst: 1 loads, 0 LSLICEs
|
||||
Net ram2e_ufm/nRWE_0_i_o3_RNIP8E61: 1 loads, 1 LSLICEs
|
||||
Net N_530: 1 loads, 0 LSLICEs
|
||||
Net N_301_i: 1 loads, 1 LSLICEs
|
||||
Net ram2e_ufm/wb_rst6_i: 1 loads, 1 LSLICEs
|
||||
Net N_523_1: 1 loads, 0 LSLICEs
|
||||
Net N_727_0: 1 loads, 1 LSLICEs
|
||||
Net RATc_i: 1 loads, 1 LSLICEs
|
||||
Number of nets driven by tri-state buffers: 0
|
||||
Top 10 highest fanout non-clock nets:
|
||||
Net S[2]: 60 loads
|
||||
Net S[3]: 48 loads
|
||||
Net S[1]: 46 loads
|
||||
Net S[0]: 31 loads
|
||||
Net FS[11]: 24 loads
|
||||
Net FS[10]: 23 loads
|
||||
Net FS[12]: 23 loads
|
||||
Net FS[9]: 23 loads
|
||||
Net FS[13]: 21 loads
|
||||
Net FS[8]: 18 loads
|
||||
Net S[2]: 51 loads
|
||||
Net S[1]: 44 loads
|
||||
Net S[3]: 40 loads
|
||||
Net S[0]: 32 loads
|
||||
Net FS[8]: 29 loads
|
||||
Net FS[9]: 26 loads
|
||||
Net FS[10]: 25 loads
|
||||
Net FS[11]: 23 loads
|
||||
Net FS[13]: 20 loads
|
||||
Net ram2e_ufm.wb_rst13: 17 loads
|
||||
|
||||
|
||||
|
||||
@ -124,18 +126,18 @@ WARNING - map: UFM was enabled in EFB: Enabling the configuration interface will
|
||||
Controller, GSR, Hardened User SPI Port, Hardened Primary User I2C Port.
|
||||
Functionality is restored after the Flash Memory (UFM/Configuration)
|
||||
Interface is disabled using Disable Configuration Interface command 0x26
|
||||
followed by Bypass command 0xFF.
|
||||
WARNING - map: IO buffer missing for top level port nWE80...logic will be
|
||||
|
||||
Page 2
|
||||
|
||||
|
||||
|
||||
|
||||
Design: RAM2E Date: 06/07/24 20:50:05
|
||||
Design: RAM2E Date: 07/12/24 16:07:54
|
||||
|
||||
Design Errors/Warnings (cont)
|
||||
-----------------------------
|
||||
followed by Bypass command 0xFF.
|
||||
WARNING - map: IO buffer missing for top level port nWE80...logic will be
|
||||
discarded.
|
||||
|
||||
IO (PIO) Attributes
|
||||
@ -190,19 +192,19 @@ IO (PIO) Attributes
|
||||
| RAout[2] | OUTPUT | LVCMOS33 | |
|
||||
+---------------------+-----------+-----------+------------+
|
||||
| RAout[1] | OUTPUT | LVCMOS33 | |
|
||||
+---------------------+-----------+-----------+------------+
|
||||
| RAout[0] | OUTPUT | LVCMOS33 | |
|
||||
|
||||
Page 3
|
||||
|
||||
|
||||
|
||||
|
||||
Design: RAM2E Date: 06/07/24 20:50:05
|
||||
Design: RAM2E Date: 07/12/24 16:07:54
|
||||
|
||||
IO (PIO) Attributes (cont)
|
||||
--------------------------
|
||||
+---------------------+-----------+-----------+------------+
|
||||
| RAout[0] | OUTPUT | LVCMOS33 | |
|
||||
+---------------------+-----------+-----------+------------+
|
||||
| BA[1] | OUTPUT | LVCMOS33 | OUT |
|
||||
+---------------------+-----------+-----------+------------+
|
||||
| BA[0] | OUTPUT | LVCMOS33 | OUT |
|
||||
@ -256,19 +258,19 @@ IO (PIO) Attributes (cont)
|
||||
| Din[7] | INPUT | LVCMOS33 | |
|
||||
+---------------------+-----------+-----------+------------+
|
||||
| Din[6] | INPUT | LVCMOS33 | |
|
||||
+---------------------+-----------+-----------+------------+
|
||||
| Din[5] | INPUT | LVCMOS33 | |
|
||||
|
||||
Page 4
|
||||
|
||||
|
||||
|
||||
|
||||
Design: RAM2E Date: 06/07/24 20:50:05
|
||||
Design: RAM2E Date: 07/12/24 16:07:54
|
||||
|
||||
IO (PIO) Attributes (cont)
|
||||
--------------------------
|
||||
+---------------------+-----------+-----------+------------+
|
||||
| Din[5] | INPUT | LVCMOS33 | |
|
||||
+---------------------+-----------+-----------+------------+
|
||||
| Din[4] | INPUT | LVCMOS33 | |
|
||||
+---------------------+-----------+-----------+------------+
|
||||
| Din[3] | INPUT | LVCMOS33 | |
|
||||
@ -322,18 +324,18 @@ Signal FS_s_0_COUT[15] undriven or does not drive anything - clipped.
|
||||
Signal ram2e_ufm/ufmefb/CFGSTDBY undriven or does not drive anything - clipped.
|
||||
Signal ram2e_ufm/ufmefb/CFGWAKE undriven or does not drive anything - clipped.
|
||||
Signal ram2e_ufm/ufmefb/wbc_ufm_irq undriven or does not drive anything -
|
||||
clipped.
|
||||
Signal ram2e_ufm/ufmefb/TCOC undriven or does not drive anything - clipped.
|
||||
|
||||
Page 5
|
||||
|
||||
|
||||
|
||||
|
||||
Design: RAM2E Date: 06/07/24 20:50:05
|
||||
Design: RAM2E Date: 07/12/24 16:07:54
|
||||
|
||||
Removed logic (cont)
|
||||
--------------------
|
||||
clipped.
|
||||
Signal ram2e_ufm/ufmefb/TCOC undriven or does not drive anything - clipped.
|
||||
Signal ram2e_ufm/ufmefb/TCINT undriven or does not drive anything - clipped.
|
||||
Signal ram2e_ufm/ufmefb/SPIIRQO undriven or does not drive anything - clipped.
|
||||
Signal ram2e_ufm/ufmefb/SPICSNEN undriven or does not drive anything - clipped.
|
||||
@ -388,18 +390,18 @@ Signal ram2e_ufm/ufmefb/PLLCLKO undriven or does not drive anything - clipped.
|
||||
Signal FS_cry_0_S0[0] undriven or does not drive anything - clipped.
|
||||
Signal N_1 undriven or does not drive anything - clipped.
|
||||
Block RefReq.CN was optimized away.
|
||||
Block RDOE_RNIAM8C was optimized away.
|
||||
Block nCASout.CN was optimized away.
|
||||
|
||||
Page 6
|
||||
|
||||
|
||||
|
||||
|
||||
Design: RAM2E Date: 06/07/24 20:50:05
|
||||
Design: RAM2E Date: 07/12/24 16:07:54
|
||||
|
||||
Removed logic (cont)
|
||||
--------------------
|
||||
Block RDOE_RNIAM8C was optimized away.
|
||||
Block nCASout.CN was optimized away.
|
||||
Block ram2e_ufm/ufmefb/VCC was optimized away.
|
||||
Block ram2e_ufm/ufmefb/GND was optimized away.
|
||||
|
||||
@ -452,14 +454,78 @@ Instance Name: ram2e_ufm/ufmefb/EFBInst_0
|
||||
Run Time and Memory Usage
|
||||
-------------------------
|
||||
|
||||
Total CPU Time: 0 secs
|
||||
Total REAL Time: 2 secs
|
||||
Peak Memory Usage: 64 MB
|
||||
|
||||
Total CPU Time: 1 secs
|
||||
Total REAL Time: 8 secs
|
||||
|
||||
Page 7
|
||||
|
||||
|
||||
|
||||
|
||||
Design: RAM2E Date: 07/12/24 16:07:54
|
||||
|
||||
Run Time and Memory Usage (cont)
|
||||
--------------------------------
|
||||
Peak Memory Usage: 65 MB
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
Page 8
|
||||
|
||||
|
||||
Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved.
|
||||
Copyright (c) 1995 AT&T Corp. All rights reserved.
|
||||
Copyright (c) 1995-2001 Lucent Technologies Inc. All rights reserved.
|
||||
|
@ -6,7 +6,7 @@ Performance Grade: 4
|
||||
PACKAGE: TQFP100
|
||||
Package Status: Final Version 1.42
|
||||
|
||||
Fri Jun 07 20:50:15 2024
|
||||
Fri Jul 12 16:08:20 2024
|
||||
|
||||
Pinout by Port Name:
|
||||
+-----------+----------+---------------+-------+-----------+-----------+------------------------------------------------------------+
|
||||
@ -311,5 +311,5 @@ Copyright (c) 1995 AT&T Corp. All rights reserved.
|
||||
Copyright (c) 1995-2001 Lucent Technologies Inc. All rights reserved.
|
||||
Copyright (c) 2001 Agere Systems All rights reserved.
|
||||
Copyright (c) 2002-2020 Lattice Semiconductor Corporation, All rights reserved.
|
||||
Fri Jun 07 20:50:20 2024
|
||||
Fri Jul 12 16:08:32 2024
|
||||
|
||||
|
@ -1,5 +1,5 @@
|
||||
SCHEMATIC START ;
|
||||
# map: version Diamond (64-bit) 3.11.3.469 -- WARNING: Map write only section -- Fri Jun 07 20:50:06 2024
|
||||
# map: version Diamond (64-bit) 3.11.3.469 -- WARNING: Map write only section -- Fri Jul 12 16:07:57 2024
|
||||
|
||||
SYSCONFIG SDM_PORT=DISABLE SLAVE_SPI_PORT=DISABLE I2C_PORT=DISABLE MASTER_SPI_PORT=DISABLE COMPRESS_CONFIG=ON CONFIGURATION=CFG MY_ASSP=OFF ONE_TIME_PROGRAM=OFF CONFIG_SECURE=OFF MCCLK_FREQ=2.08 JTAG_PORT=ENABLE ENABLE_TRANSFR=DISABLE SHAREDEBRINIT=DISABLE MUX_CONFIGURATION_PORTS=DISABLE BACKGROUND_RECONFIG=OFF INBUF=ON ;
|
||||
LOCATE COMP "RD[0]" SITE "36" ;
|
||||
|
@ -3,7 +3,7 @@
|
||||
#OS: Windows 8 6.2
|
||||
#Hostname: ZANEMACWIN11
|
||||
|
||||
# Fri Jun 7 20:49:56 2024
|
||||
# Fri Jul 12 16:07:01 2024
|
||||
|
||||
#Implementation: impl1
|
||||
|
||||
@ -52,6 +52,7 @@ Synopsys Verilog Compiler, Version comp2018q2p1, Build 461R, Built Apr 1 2019 0
|
||||
@I::"\\Mac\iCloud\Repos\RAM2E\CPLD\LCMXO2-1200HC-NODHGR\REFB.v" (library work)
|
||||
@I::"\\Mac\iCloud\Repos\RAM2E\CPLD\DHGR-OFF.v" (library work)
|
||||
Verilog syntax check successful!
|
||||
File \\Mac\iCloud\Repos\RAM2E\CPLD\RAM2E.v changed - recompiling
|
||||
Selecting top level module RAM2E
|
||||
@N: CG364 :"C:\lscc\diamond\3.11_x64\synpbase\lib\lucent\machxo2.v":1120:7:1120:9|Synthesizing module VHI in library work.
|
||||
Running optimization stage 1 on VHI .......
|
||||
@ -77,12 +78,12 @@ Running optimization stage 2 on EFB .......
|
||||
Running optimization stage 2 on VLO .......
|
||||
Running optimization stage 2 on VHI .......
|
||||
|
||||
At c_ver Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 73MB peak: 74MB)
|
||||
At c_ver Exit (Real Time elapsed 0h:00m:05s; CPU Time elapsed 0h:00m:00s; Memory used current: 73MB peak: 74MB)
|
||||
|
||||
Process took 0h:00m:01s realtime, 0h:00m:01s cputime
|
||||
Process took 0h:00m:05s realtime, 0h:00m:01s cputime
|
||||
|
||||
Process completed successfully.
|
||||
# Fri Jun 7 20:49:57 2024
|
||||
# Fri Jul 12 16:07:10 2024
|
||||
|
||||
###########################################################]
|
||||
|
||||
@ -102,13 +103,14 @@ Implementation : impl1
|
||||
Synopsys Synopsys Netlist Linker, Version comp2018q2p1, Build 461R, Built Apr 1 2019 09:17:43
|
||||
|
||||
@N|Running in 64-bit mode
|
||||
File \\Mac\iCloud\Repos\RAM2E\CPLD\LCMXO2-1200HC-NODHGR\impl1\synwork\layer0.srs changed - recompiling
|
||||
|
||||
At syn_nfilter Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 67MB peak: 68MB)
|
||||
|
||||
Process took 0h:00m:01s realtime, 0h:00m:01s cputime
|
||||
|
||||
Process completed successfully.
|
||||
# Fri Jun 7 20:49:57 2024
|
||||
# Fri Jul 12 16:07:11 2024
|
||||
|
||||
###########################################################]
|
||||
|
||||
@ -118,12 +120,12 @@ For a summary of runtime and memory usage for all design units, please see file:
|
||||
|
||||
@END
|
||||
|
||||
At c_hdl Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 3MB peak: 4MB)
|
||||
At c_hdl Exit (Real Time elapsed 0h:00m:08s; CPU Time elapsed 0h:00m:00s; Memory used current: 3MB peak: 4MB)
|
||||
|
||||
Process took 0h:00m:01s realtime, 0h:00m:01s cputime
|
||||
Process took 0h:00m:08s realtime, 0h:00m:01s cputime
|
||||
|
||||
Process completed successfully.
|
||||
# Fri Jun 7 20:49:57 2024
|
||||
# Fri Jul 12 16:07:11 2024
|
||||
|
||||
###########################################################]
|
||||
|
||||
@ -143,18 +145,17 @@ Database state : \\Mac\iCloud\Repos\RAM2E\CPLD\LCMXO2-1200HC-NODHGR\impl1\synwor
|
||||
Synopsys Synopsys Netlist Linker, Version comp2018q2p1, Build 461R, Built Apr 1 2019 09:17:43
|
||||
|
||||
@N|Running in 64-bit mode
|
||||
File \\Mac\iCloud\Repos\RAM2E\CPLD\LCMXO2-1200HC-NODHGR\impl1\synwork\RAM2E_LCMXO2_1200HC_impl1_comp.srs changed - recompiling
|
||||
|
||||
At syn_nfilter Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 67MB peak: 68MB)
|
||||
|
||||
Process took 0h:00m:01s realtime, 0h:00m:01s cputime
|
||||
|
||||
Process completed successfully.
|
||||
# Fri Jun 7 20:49:58 2024
|
||||
# Fri Jul 12 16:07:14 2024
|
||||
|
||||
###########################################################]
|
||||
Premap Report
|
||||
|
||||
# Fri Jun 7 20:49:58 2024
|
||||
# Fri Jul 12 16:07:14 2024
|
||||
|
||||
|
||||
Copyright (C) 1994-2018 Synopsys, Inc.
|
||||
@ -199,7 +200,7 @@ Finished loading timing files (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h
|
||||
@A: FX681 :"\\mac\icloud\repos\ram2e\cpld\ram2e.v":21:4:21:9|Initial value on register S[3:0] is non-zero which can prevent the register from being packed into a block RAM or DSP.
|
||||
@N: MH105 |UMR3 is only supported for HAPS-80.
|
||||
@N: MH105 |UMR3 is only supported for HAPS-80.
|
||||
@N: BN115 :"\\mac\icloud\repos\ram2e\cpld\ram2e.v":140:9:140:12|Removing instance dhgr (in view: work.RAM2E(verilog)) of type view:work.DHGR(verilog) because it does not drive other instances.
|
||||
@N: BN115 :"\\mac\icloud\repos\ram2e\cpld\ram2e.v":136:9:136:12|Removing instance dhgr (in view: work.RAM2E(verilog)) of type view:work.DHGR(verilog) because it does not drive other instances.
|
||||
syn_allowed_resources : blockrams=7 set on top level netlist RAM2E
|
||||
|
||||
Finished netlist restructuring (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 141MB peak: 143MB)
|
||||
@ -212,7 +213,7 @@ Clock Summary
|
||||
Start Requested Requested Clock Clock Clock
|
||||
Level Clock Frequency Period Type Group Load
|
||||
-----------------------------------------------------------------------------------------------
|
||||
0 - C14M 14.3 MHz 69.841 declared default_clkgroup 121
|
||||
0 - C14M 14.3 MHz 69.841 declared default_clkgroup 120
|
||||
|
||||
0 - System 100.0 MHz 10.000 system system_clkgroup 0
|
||||
|
||||
@ -227,7 +228,7 @@ Clock Load Summary
|
||||
Clock Source Clock Pin Non-clock Pin Non-clock Pin
|
||||
Clock Load Pin Seq Example Seq Example Comb Example
|
||||
--------------------------------------------------------------------------------------------
|
||||
C14M 121 C14M(port) RAT.C - un1_C14M.I[0](inv)
|
||||
C14M 120 C14M(port) RAT.C - un1_C14M.I[0](inv)
|
||||
|
||||
System 0 - - - -
|
||||
|
||||
@ -247,14 +248,14 @@ Number of ICG latches not removed: 0
|
||||
|
||||
#### START OF PREMAP CLOCK OPTIMIZATION REPORT #####[
|
||||
|
||||
2 non-gated/non-generated clock tree(s) driving 125 clock pin(s) of sequential element(s)
|
||||
2 non-gated/non-generated clock tree(s) driving 124 clock pin(s) of sequential element(s)
|
||||
0 gated/generated clock tree(s) driving 0 clock pin(s) of sequential element(s)
|
||||
0 instances converted, 0 sequential instances remain driven by gated/generated clocks
|
||||
|
||||
=========================== Non-Gated/Non-Generated Clocks ============================
|
||||
Clock Tree ID Driving Element Drive Element Type Fanout Sample Instance
|
||||
---------------------------------------------------------------------------------------
|
||||
@KP:ckid0_0 C14M port 121 PHI1r
|
||||
@KP:ckid0_0 C14M port 120 PHI1r
|
||||
@KP:ckid0_1 PHI1 Unconstrained_port 4 RC[2:0]
|
||||
=======================================================================================
|
||||
|
||||
@ -264,27 +265,25 @@ Clock Tree ID Driving Element Drive Element Type Fanout Sample I
|
||||
@N: FX1143 |Skipping assigning INTERNAL_VREF to iobanks, because the table of mapping from pin to iobank is not initialized.
|
||||
Finished Pre Mapping Phase.
|
||||
|
||||
Starting constraint checker (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 141MB peak: 143MB)
|
||||
Starting constraint checker (Real Time elapsed 0h:00m:02s; CPU Time elapsed 0h:00m:00s; Memory used current: 141MB peak: 143MB)
|
||||
|
||||
|
||||
Finished constraint checker preprocessing (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 142MB peak: 143MB)
|
||||
Finished constraint checker preprocessing (Real Time elapsed 0h:00m:02s; CPU Time elapsed 0h:00m:00s; Memory used current: 142MB peak: 143MB)
|
||||
|
||||
None
|
||||
None
|
||||
|
||||
Finished constraint checker (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 142MB peak: 143MB)
|
||||
Finished constraint checker (Real Time elapsed 0h:00m:04s; CPU Time elapsed 0h:00m:00s; Memory used current: 142MB peak: 143MB)
|
||||
|
||||
Pre-mapping successful!
|
||||
|
||||
At Mapper Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 57MB peak: 143MB)
|
||||
At Mapper Exit (Real Time elapsed 0h:00m:04s; CPU Time elapsed 0h:00m:00s; Memory used current: 57MB peak: 143MB)
|
||||
|
||||
Process took 0h:00m:01s realtime, 0h:00m:01s cputime
|
||||
# Fri Jun 7 20:49:59 2024
|
||||
Process took 0h:00m:04s realtime, 0h:00m:01s cputime
|
||||
# Fri Jul 12 16:07:19 2024
|
||||
|
||||
###########################################################]
|
||||
Map & Optimize Report
|
||||
|
||||
# Fri Jun 7 20:50:00 2024
|
||||
# Fri Jul 12 16:07:22 2024
|
||||
|
||||
|
||||
Copyright (C) 1994-2018 Synopsys, Inc.
|
||||
@ -313,18 +312,18 @@ Mapper Startup Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:0
|
||||
Design Input Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 98MB peak: 100MB)
|
||||
|
||||
|
||||
Mapper Initialization Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 98MB peak: 100MB)
|
||||
Mapper Initialization Complete (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:00s; Memory used current: 98MB peak: 100MB)
|
||||
|
||||
|
||||
Start loading timing files (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 111MB peak: 112MB)
|
||||
Start loading timing files (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:00s; Memory used current: 111MB peak: 112MB)
|
||||
|
||||
|
||||
Finished loading timing files (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 111MB peak: 114MB)
|
||||
Finished loading timing files (Real Time elapsed 0h:00m:02s; CPU Time elapsed 0h:00m:00s; Memory used current: 111MB peak: 114MB)
|
||||
|
||||
@N: MF284 |Setting synthesis effort to medium for the design
|
||||
|
||||
|
||||
Starting Optimization and Mapping (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 140MB peak: 142MB)
|
||||
Starting Optimization and Mapping (Real Time elapsed 0h:00m:03s; CPU Time elapsed 0h:00m:00s; Memory used current: 140MB peak: 142MB)
|
||||
|
||||
|
||||
Available hyper_sources - for debug and ip models
|
||||
@ -333,61 +332,61 @@ Available hyper_sources - for debug and ip models
|
||||
@N: FX493 |Applying initial value "00000000" on instance RWBank[7:0].
|
||||
@N: FX493 |Applying initial value "0000" on instance S[3:0].
|
||||
|
||||
Finished RTL optimizations (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 141MB peak: 142MB)
|
||||
Finished RTL optimizations (Real Time elapsed 0h:00m:04s; CPU Time elapsed 0h:00m:00s; Memory used current: 141MB peak: 142MB)
|
||||
|
||||
@N: MO231 :"\\mac\icloud\repos\ram2e\cpld\ram2e.v":14:23:14:28|Found counter in view:work.RAM2E(verilog) instance FS[15:0]
|
||||
|
||||
Starting factoring (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 142MB peak: 142MB)
|
||||
Starting factoring (Real Time elapsed 0h:00m:04s; CPU Time elapsed 0h:00m:00s; Memory used current: 142MB peak: 142MB)
|
||||
|
||||
|
||||
Finished factoring (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 145MB peak: 145MB)
|
||||
Finished factoring (Real Time elapsed 0h:00m:05s; CPU Time elapsed 0h:00m:00s; Memory used current: 145MB peak: 145MB)
|
||||
|
||||
|
||||
Finished generic timing optimizations - Pass 1 (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:00s; Memory used current: 146MB peak: 146MB)
|
||||
Finished generic timing optimizations - Pass 1 (Real Time elapsed 0h:00m:05s; CPU Time elapsed 0h:00m:00s; Memory used current: 146MB peak: 146MB)
|
||||
|
||||
|
||||
Starting Early Timing Optimization (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:00s; Memory used current: 146MB peak: 146MB)
|
||||
Starting Early Timing Optimization (Real Time elapsed 0h:00m:05s; CPU Time elapsed 0h:00m:01s; Memory used current: 146MB peak: 146MB)
|
||||
|
||||
|
||||
Finished Early Timing Optimization (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 146MB peak: 146MB)
|
||||
Finished Early Timing Optimization (Real Time elapsed 0h:00m:05s; CPU Time elapsed 0h:00m:01s; Memory used current: 146MB peak: 146MB)
|
||||
|
||||
|
||||
Finished generic timing optimizations - Pass 2 (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 146MB peak: 146MB)
|
||||
Finished generic timing optimizations - Pass 2 (Real Time elapsed 0h:00m:05s; CPU Time elapsed 0h:00m:01s; Memory used current: 146MB peak: 146MB)
|
||||
|
||||
|
||||
Finished preparing to map (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 146MB peak: 146MB)
|
||||
Finished preparing to map (Real Time elapsed 0h:00m:05s; CPU Time elapsed 0h:00m:01s; Memory used current: 146MB peak: 147MB)
|
||||
|
||||
|
||||
Finished technology mapping (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 157MB peak: 160MB)
|
||||
Finished technology mapping (Real Time elapsed 0h:00m:06s; CPU Time elapsed 0h:00m:01s; Memory used current: 157MB peak: 160MB)
|
||||
|
||||
Pass CPU time Worst Slack Luts / Registers
|
||||
------------------------------------------------------------
|
||||
1 0h:00m:01s 6.90ns 281 / 125
|
||||
1 0h:00m:01s 6.90ns 280 / 124
|
||||
|
||||
Finished technology timing optimizations and critical path resynthesis (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 157MB peak: 160MB)
|
||||
Finished technology timing optimizations and critical path resynthesis (Real Time elapsed 0h:00m:06s; CPU Time elapsed 0h:00m:01s; Memory used current: 157MB peak: 160MB)
|
||||
|
||||
@N: FX164 |The option to pack registers in the IOB has not been specified. Please set syn_useioff attribute.
|
||||
@A: BN291 :"\\mac\icloud\repos\ram2e\cpld\ram2e.v":171:4:171:9|Boundary register BA_1_.fb (in view: work.RAM2E(verilog)) is packed into a complex cell. To disable register packing, set syn_keep=1 on the net between the register and the complex cell.
|
||||
@A: BN291 :"\\mac\icloud\repos\ram2e\cpld\ram2e.v":171:4:171:9|Boundary register BA_0_.fb (in view: work.RAM2E(verilog)) is packed into a complex cell. To disable register packing, set syn_keep=1 on the net between the register and the complex cell.
|
||||
@A: BN291 :"\\mac\icloud\repos\ram2e\cpld\ram2e.v":168:4:168:9|Boundary register BA_1_.fb (in view: work.RAM2E(verilog)) is packed into a complex cell. To disable register packing, set syn_keep=1 on the net between the register and the complex cell.
|
||||
@A: BN291 :"\\mac\icloud\repos\ram2e\cpld\ram2e.v":168:4:168:9|Boundary register BA_0_.fb (in view: work.RAM2E(verilog)) is packed into a complex cell. To disable register packing, set syn_keep=1 on the net between the register and the complex cell.
|
||||
|
||||
Finished restoring hierarchy (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 158MB peak: 160MB)
|
||||
Finished restoring hierarchy (Real Time elapsed 0h:00m:07s; CPU Time elapsed 0h:00m:01s; Memory used current: 158MB peak: 160MB)
|
||||
|
||||
|
||||
Start Writing Netlists (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 122MB peak: 160MB)
|
||||
Start Writing Netlists (Real Time elapsed 0h:00m:07s; CPU Time elapsed 0h:00m:01s; Memory used current: 122MB peak: 160MB)
|
||||
|
||||
Writing Analyst data base \\Mac\iCloud\Repos\RAM2E\CPLD\LCMXO2-1200HC-NODHGR\impl1\synwork\RAM2E_LCMXO2_1200HC_impl1_m.srm
|
||||
|
||||
Finished Writing Netlist Databases (Real Time elapsed 0h:00m:02s; CPU Time elapsed 0h:00m:02s; Memory used current: 158MB peak: 160MB)
|
||||
Finished Writing Netlist Databases (Real Time elapsed 0h:00m:09s; CPU Time elapsed 0h:00m:02s; Memory used current: 157MB peak: 160MB)
|
||||
|
||||
Writing EDIF Netlist and constraint files
|
||||
@N: FX1056 |Writing EDF file: \\Mac\iCloud\Repos\RAM2E\CPLD\LCMXO2-1200HC-NODHGR\impl1\RAM2E_LCMXO2_1200HC_impl1.edi
|
||||
N-2018.03L-SP1-1
|
||||
@N: BW106 |Synplicity Constraint File capacitance units using default value of 1pF
|
||||
|
||||
Finished Writing EDIF Netlist and constraint files (Real Time elapsed 0h:00m:03s; CPU Time elapsed 0h:00m:02s; Memory used current: 162MB peak: 164MB)
|
||||
Finished Writing EDIF Netlist and constraint files (Real Time elapsed 0h:00m:13s; CPU Time elapsed 0h:00m:02s; Memory used current: 161MB peak: 163MB)
|
||||
|
||||
|
||||
Start final timing analysis (Real Time elapsed 0h:00m:03s; CPU Time elapsed 0h:00m:03s; Memory used current: 161MB peak: 164MB)
|
||||
Start final timing analysis (Real Time elapsed 0h:00m:14s; CPU Time elapsed 0h:00m:03s; Memory used current: 160MB peak: 163MB)
|
||||
|
||||
@W: MT246 :"\\mac\icloud\repos\ram2e\cpld\lcmxo2-1200hc-nodhgr\refb.v":78:8:78:16|Blackbox EFB is missing a user supplied timing model. This may have a negative effect on timing analysis and optimizations (Quality of Results)
|
||||
@N: MT615 |Found clock C14M with period 69.84ns
|
||||
@ -395,7 +394,7 @@ Start final timing analysis (Real Time elapsed 0h:00m:03s; CPU Time elapsed 0h:0
|
||||
|
||||
|
||||
##### START OF TIMING REPORT #####[
|
||||
# Timing Report written on Fri Jun 7 20:50:03 2024
|
||||
# Timing Report written on Fri Jul 12 16:07:38 2024
|
||||
#
|
||||
|
||||
|
||||
@ -420,9 +419,9 @@ Worst slack in design: 6.897
|
||||
Requested Estimated Requested Estimated Clock Clock
|
||||
Starting Clock Frequency Frequency Period Period Slack Type Group
|
||||
----------------------------------------------------------------------------------------------------------------------
|
||||
C14M 14.3 MHz 112.1 MHz 69.841 8.918 31.599 declared default_clkgroup
|
||||
C14M 14.3 MHz 120.3 MHz 69.841 8.314 31.675 declared default_clkgroup
|
||||
RAM2E|PHI1 100.0 MHz 322.2 MHz 10.000 3.103 6.897 inferred Inferred_clkgroup_0
|
||||
System 100.0 MHz NA 10.000 NA 67.088 system system_clkgroup
|
||||
System 100.0 MHz NA 10.000 NA 66.719 system system_clkgroup
|
||||
======================================================================================================================
|
||||
Estimated period and frequency reported as NA means no slack depends directly on the clock waveform
|
||||
|
||||
@ -437,9 +436,9 @@ Clocks | rise to rise | fall to fall | rise
|
||||
----------------------------------------------------------------------------------------------------------------
|
||||
Starting Ending | constraint slack | constraint slack | constraint slack | constraint slack
|
||||
----------------------------------------------------------------------------------------------------------------
|
||||
System C14M | 69.841 67.088 | No paths - | No paths - | No paths -
|
||||
System C14M | 69.841 66.719 | No paths - | No paths - | No paths -
|
||||
C14M System | 69.841 68.797 | No paths - | No paths - | No paths -
|
||||
C14M C14M | 69.841 60.923 | No paths - | 34.920 31.599 | No paths -
|
||||
C14M C14M | 69.841 61.527 | No paths - | 34.920 31.675 | No paths -
|
||||
RAM2E|PHI1 C14M | No paths - | No paths - | No paths - | Diff grp -
|
||||
RAM2E|PHI1 RAM2E|PHI1 | No paths - | 10.000 6.897 | No paths - | No paths -
|
||||
================================================================================================================
|
||||
@ -468,10 +467,10 @@ Starting Points with Worst Slack
|
||||
Instance Reference Type Pin Net Time Slack
|
||||
Clock
|
||||
---------------------------------------------------------------------------
|
||||
S[2] C14M FD1S3AX Q S[2] 1.366 31.599
|
||||
S[3] C14M FD1S3AX Q S[3] 1.345 31.619
|
||||
S[1] C14M FD1S3AX Q S[1] 1.344 31.800
|
||||
S[0] C14M FD1S3AX Q S[0] 1.305 31.838
|
||||
S[2] C14M FD1S3AX Q S[2] 1.353 31.675
|
||||
S[3] C14M FD1S3AX Q S[3] 1.337 31.691
|
||||
S[0] C14M FD1S3AX Q S[0] 1.319 32.182
|
||||
S[1] C14M FD1S3AX Q S[1] 1.344 32.656
|
||||
RA[0] C14M FD1P3AX Q RA[0] 1.108 33.707
|
||||
RA[3] C14M FD1P3AX Q RA[3] 1.108 33.707
|
||||
RA[1] C14M FD1P3AX Q RA[1] 1.044 33.771
|
||||
@ -484,21 +483,21 @@ RA[5] C14M FD1P3AX Q RA[5] 1.044 33.771
|
||||
Ending Points with Worst Slack
|
||||
******************************
|
||||
|
||||
Starting Required
|
||||
Instance Reference Type Pin Net Time Slack
|
||||
Clock
|
||||
-----------------------------------------------------------------------------------
|
||||
VOE_i_0io C14M OFS1P3IX CD N_530 34.118 31.599
|
||||
VOE_i_0io C14M OFS1P3IX D VOE_ic_i 35.009 31.800
|
||||
Vout_0io[0] C14M OFS1P3DX SP Vout3 34.449 32.634
|
||||
Vout_0io[1] C14M OFS1P3DX SP Vout3 34.449 32.634
|
||||
Vout_0io[2] C14M OFS1P3DX SP Vout3 34.449 32.634
|
||||
Vout_0io[3] C14M OFS1P3DX SP Vout3 34.449 32.634
|
||||
Vout_0io[4] C14M OFS1P3DX SP Vout3 34.449 32.634
|
||||
Vout_0io[5] C14M OFS1P3DX SP Vout3 34.449 32.634
|
||||
Vout_0io[6] C14M OFS1P3DX SP Vout3 34.449 32.634
|
||||
Vout_0io[7] C14M OFS1P3DX SP Vout3 34.449 32.634
|
||||
===================================================================================
|
||||
Starting Required
|
||||
Instance Reference Type Pin Net Time Slack
|
||||
Clock
|
||||
----------------------------------------------------------------------------------
|
||||
VOE_i_0io C14M OFS1P3IX CD N_523_1 34.118 31.675
|
||||
RAT C14M FD1S3IX CD RATc_i 34.118 32.147
|
||||
Vout_0io[0] C14M OFS1P3DX SP Vout3 34.449 32.647
|
||||
Vout_0io[1] C14M OFS1P3DX SP Vout3 34.449 32.647
|
||||
Vout_0io[2] C14M OFS1P3DX SP Vout3 34.449 32.647
|
||||
Vout_0io[3] C14M OFS1P3DX SP Vout3 34.449 32.647
|
||||
Vout_0io[4] C14M OFS1P3DX SP Vout3 34.449 32.647
|
||||
Vout_0io[5] C14M OFS1P3DX SP Vout3 34.449 32.647
|
||||
Vout_0io[6] C14M OFS1P3DX SP Vout3 34.449 32.647
|
||||
Vout_0io[7] C14M OFS1P3DX SP Vout3 34.449 32.647
|
||||
==================================================================================
|
||||
|
||||
|
||||
|
||||
@ -512,9 +511,9 @@ Path information for path number 1:
|
||||
+ Clock delay at ending point: 0.000 (ideal)
|
||||
= Required time: 34.118
|
||||
|
||||
- Propagation time: 2.519
|
||||
- Propagation time: 2.442
|
||||
- Clock delay at starting point: 0.000 (ideal)
|
||||
= Slack (non-critical) : 31.599
|
||||
= Slack (non-critical) : 31.675
|
||||
|
||||
Number of logic level(s): 1
|
||||
Starting point: S[2] / Q
|
||||
@ -522,16 +521,16 @@ Path information for path number 1:
|
||||
The start point is clocked by C14M [rising] on pin CK
|
||||
The end point is clocked by C14M [falling] on pin SCLK
|
||||
|
||||
Instance / Net Pin Pin Arrival No. of
|
||||
Name Type Name Dir Delay Time Fan Out(s)
|
||||
------------------------------------------------------------------------------------------------------------
|
||||
S[2] FD1S3AX Q Out 1.366 1.366 -
|
||||
S[2] Net - - - - 58
|
||||
ram2e_ufm.un1_wb_adr_0_sqmuxa_2_0_a2_0[0] ORCALUT4 A In 0.000 1.366 -
|
||||
ram2e_ufm.un1_wb_adr_0_sqmuxa_2_0_a2_0[0] ORCALUT4 Z Out 1.153 2.519 -
|
||||
N_530 Net - - - - 3
|
||||
VOE_i_0io OFS1P3IX CD In 0.000 2.519 -
|
||||
============================================================================================================
|
||||
Instance / Net Pin Pin Arrival No. of
|
||||
Name Type Name Dir Delay Time Fan Out(s)
|
||||
---------------------------------------------------------------------------------------
|
||||
S[2] FD1S3AX Q Out 1.353 1.353 -
|
||||
S[2] Net - - - - 50
|
||||
ram2e_ufm.VOE_2_0_a2 ORCALUT4 A In 0.000 1.353 -
|
||||
ram2e_ufm.VOE_2_0_a2 ORCALUT4 Z Out 1.089 2.442 -
|
||||
N_523_1 Net - - - - 2
|
||||
VOE_i_0io OFS1P3IX CD In 0.000 2.442 -
|
||||
=======================================================================================
|
||||
|
||||
|
||||
|
||||
@ -620,7 +619,7 @@ Starting Points with Worst Slack
|
||||
Instance Reference Type Pin Net Time Slack
|
||||
Clock
|
||||
---------------------------------------------------------------------------------------------------
|
||||
ram2e_ufm.ufmefb.EFBInst_0 System EFB WBACKO wb_ack 0.000 67.088
|
||||
ram2e_ufm.ufmefb.EFBInst_0 System EFB WBACKO wb_ack 0.000 66.719
|
||||
ram2e_ufm.ufmefb.EFBInst_0 System EFB WBDATO0 wb_dato[0] 0.000 69.313
|
||||
ram2e_ufm.ufmefb.EFBInst_0 System EFB WBDATO1 wb_dato[1] 0.000 69.313
|
||||
ram2e_ufm.ufmefb.EFBInst_0 System EFB WBDATO2 wb_dato[2] 0.000 69.313
|
||||
@ -635,21 +634,21 @@ ram2e_ufm.ufmefb.EFBInst_0 System EFB WBDATO7 wb_dato[7]
|
||||
Ending Points with Worst Slack
|
||||
******************************
|
||||
|
||||
Starting Required
|
||||
Instance Reference Type Pin Net Time Slack
|
||||
Clock
|
||||
----------------------------------------------------------------------------------------
|
||||
ram2e_ufm.RWMask[0] System FD1P3AX SP N_104 69.369 67.088
|
||||
ram2e_ufm.RWMask[1] System FD1P3AX SP N_104 69.369 67.088
|
||||
ram2e_ufm.RWMask[2] System FD1P3AX SP N_104 69.369 67.088
|
||||
ram2e_ufm.RWMask[3] System FD1P3AX SP N_104 69.369 67.088
|
||||
ram2e_ufm.RWMask[4] System FD1P3AX SP N_104 69.369 67.088
|
||||
ram2e_ufm.RWMask[5] System FD1P3AX SP N_104 69.369 67.088
|
||||
ram2e_ufm.RWMask[6] System FD1P3AX SP N_104 69.369 67.088
|
||||
ram2e_ufm.RWMask[7] System FD1P3AX SP N_104 69.369 67.088
|
||||
ram2e_ufm.LEDEN System FD1P3AX SP N_98 69.369 67.736
|
||||
ram2e_ufm.wb_cyc_stb System FD1P3AX SP N_111 69.369 67.736
|
||||
========================================================================================
|
||||
Starting Required
|
||||
Instance Reference Type Pin Net Time Slack
|
||||
Clock
|
||||
---------------------------------------------------------------------------------------------------------------
|
||||
ram2e_ufm.wb_cyc_stb System FD1P3AX SP un1_CmdBitbangMXO212_1_i[0] 69.369 66.719
|
||||
ram2e_ufm.LEDEN System FD1P3AX SP un1_LEDEN_0_sqmuxa_1_i_0[0] 69.369 67.736
|
||||
ram2e_ufm.RWMask[0] System FD1P3AX SP un1_RWMask_0_sqmuxa_1_i_0[0] 69.369 68.105
|
||||
ram2e_ufm.RWMask[1] System FD1P3AX SP un1_RWMask_0_sqmuxa_1_i_0[0] 69.369 68.105
|
||||
ram2e_ufm.RWMask[2] System FD1P3AX SP un1_RWMask_0_sqmuxa_1_i_0[0] 69.369 68.105
|
||||
ram2e_ufm.RWMask[3] System FD1P3AX SP un1_RWMask_0_sqmuxa_1_i_0[0] 69.369 68.105
|
||||
ram2e_ufm.RWMask[4] System FD1P3AX SP un1_RWMask_0_sqmuxa_1_i_0[0] 69.369 68.105
|
||||
ram2e_ufm.RWMask[5] System FD1P3AX SP un1_RWMask_0_sqmuxa_1_i_0[0] 69.369 68.105
|
||||
ram2e_ufm.RWMask[6] System FD1P3AX SP un1_RWMask_0_sqmuxa_1_i_0[0] 69.369 68.105
|
||||
ram2e_ufm.RWMask[7] System FD1P3AX SP un1_RWMask_0_sqmuxa_1_i_0[0] 69.369 68.105
|
||||
===============================================================================================================
|
||||
|
||||
|
||||
|
||||
@ -663,30 +662,33 @@ Path information for path number 1:
|
||||
+ Clock delay at ending point: 0.000 (ideal)
|
||||
= Required time: 69.369
|
||||
|
||||
- Propagation time: 2.282
|
||||
- Propagation time: 2.650
|
||||
- Clock delay at starting point: 0.000 (ideal)
|
||||
- Estimated clock delay at start point: -0.000
|
||||
= Slack (non-critical) : 67.088
|
||||
= Slack (non-critical) : 66.719
|
||||
|
||||
Number of logic level(s): 2
|
||||
Number of logic level(s): 3
|
||||
Starting point: ram2e_ufm.ufmefb.EFBInst_0 / WBACKO
|
||||
Ending point: ram2e_ufm.RWMask[0] / SP
|
||||
Ending point: ram2e_ufm.wb_cyc_stb / SP
|
||||
The start point is clocked by System [rising]
|
||||
The end point is clocked by C14M [rising] on pin CK
|
||||
|
||||
Instance / Net Pin Pin Arrival No. of
|
||||
Name Type Name Dir Delay Time Fan Out(s)
|
||||
----------------------------------------------------------------------------------------------------------------
|
||||
ram2e_ufm.ufmefb.EFBInst_0 EFB WBACKO Out 0.000 0.000 -
|
||||
wb_ack Net - - - - 5
|
||||
ram2e_ufm.un1_RWMask_0_sqmuxa_1_i_a2_0_0[0] ORCALUT4 B In 0.000 0.000 -
|
||||
ram2e_ufm.un1_RWMask_0_sqmuxa_1_i_a2_0_0[0] ORCALUT4 Z Out 1.017 1.017 -
|
||||
un1_RWMask_0_sqmuxa_1_i_a2_0_0[0] Net - - - - 1
|
||||
ram2e_ufm.un1_RWMask_0_sqmuxa_1_i_0[0] ORCALUT4 D In 0.000 1.017 -
|
||||
ram2e_ufm.un1_RWMask_0_sqmuxa_1_i_0[0] ORCALUT4 Z Out 1.265 2.282 -
|
||||
N_104 Net - - - - 8
|
||||
ram2e_ufm.RWMask[0] FD1P3AX SP In 0.000 2.282 -
|
||||
================================================================================================================
|
||||
Instance / Net Pin Pin Arrival No. of
|
||||
Name Type Name Dir Delay Time Fan Out(s)
|
||||
---------------------------------------------------------------------------------------------------------------
|
||||
ram2e_ufm.ufmefb.EFBInst_0 EFB WBACKO Out 0.000 0.000 -
|
||||
wb_ack Net - - - - 4
|
||||
ram2e_ufm.un1_CmdBitbangMXO212_1_0_0_tz[0] ORCALUT4 B In 0.000 0.000 -
|
||||
ram2e_ufm.un1_CmdBitbangMXO212_1_0_0_tz[0] ORCALUT4 Z Out 1.017 1.017 -
|
||||
un1_CmdBitbangMXO212_1_0_0_tz[0] Net - - - - 1
|
||||
ram2e_ufm.un1_CmdBitbangMXO212_1_0_0_0[0] ORCALUT4 C In 0.000 1.017 -
|
||||
ram2e_ufm.un1_CmdBitbangMXO212_1_0_0_0[0] ORCALUT4 Z Out 1.017 2.034 -
|
||||
un1_CmdBitbangMXO212_1_0_0_0[0] Net - - - - 1
|
||||
ram2e_ufm.wb_cyc_stb_RNO_0 ORCALUT4 A In 0.000 2.034 -
|
||||
ram2e_ufm.wb_cyc_stb_RNO_0 ORCALUT4 Z Out 0.617 2.650 -
|
||||
un1_CmdBitbangMXO212_1_i[0] Net - - - - 1
|
||||
ram2e_ufm.wb_cyc_stb FD1P3AX SP In 0.000 2.650 -
|
||||
===============================================================================================================
|
||||
|
||||
|
||||
|
||||
@ -695,16 +697,16 @@ ram2e_ufm.RWMask[0] FD1P3AX SP In
|
||||
Timing exceptions that could not be applied
|
||||
None
|
||||
|
||||
Finished final timing analysis (Real Time elapsed 0h:00m:03s; CPU Time elapsed 0h:00m:03s; Memory used current: 161MB peak: 164MB)
|
||||
Finished final timing analysis (Real Time elapsed 0h:00m:17s; CPU Time elapsed 0h:00m:03s; Memory used current: 161MB peak: 163MB)
|
||||
|
||||
|
||||
Finished timing report (Real Time elapsed 0h:00m:03s; CPU Time elapsed 0h:00m:03s; Memory used current: 161MB peak: 164MB)
|
||||
Finished timing report (Real Time elapsed 0h:00m:17s; CPU Time elapsed 0h:00m:03s; Memory used current: 161MB peak: 163MB)
|
||||
|
||||
---------------------------------------
|
||||
Resource Usage Report
|
||||
Part: lcmxo2_1200hc-4
|
||||
|
||||
Register bits: 125 of 1280 (10%)
|
||||
Register bits: 124 of 1280 (10%)
|
||||
PIC Latch: 0
|
||||
I/O cells: 70
|
||||
|
||||
@ -713,7 +715,7 @@ Details:
|
||||
BB: 8
|
||||
CCU2D: 9
|
||||
EFB: 1
|
||||
FD1P3AX: 58
|
||||
FD1P3AX: 57
|
||||
FD1P3IX: 1
|
||||
FD1S3AX: 31
|
||||
FD1S3AY: 4
|
||||
@ -726,15 +728,16 @@ OB: 41
|
||||
OFS1P3BX: 6
|
||||
OFS1P3DX: 12
|
||||
OFS1P3IX: 3
|
||||
ORCALUT4: 275
|
||||
ORCALUT4: 268
|
||||
PFUMX: 10
|
||||
PUR: 1
|
||||
VHI: 3
|
||||
VLO: 3
|
||||
Mapper successful!
|
||||
|
||||
At Mapper Exit (Real Time elapsed 0h:00m:03s; CPU Time elapsed 0h:00m:03s; Memory used current: 32MB peak: 164MB)
|
||||
At Mapper Exit (Real Time elapsed 0h:00m:17s; CPU Time elapsed 0h:00m:03s; Memory used current: 32MB peak: 163MB)
|
||||
|
||||
Process took 0h:00m:03s realtime, 0h:00m:03s cputime
|
||||
# Fri Jun 7 20:50:03 2024
|
||||
Process took 0h:00m:18s realtime, 0h:00m:03s cputime
|
||||
# Fri Jul 12 16:07:42 2024
|
||||
|
||||
###########################################################]
|
||||
|
@ -0,0 +1,152 @@
|
||||
<HTML>
|
||||
<HEAD><TITLE>Bitgen Report</TITLE>
|
||||
<STYLE TYPE="text/css">
|
||||
<!--
|
||||
body,pre{
font-family:'Courier New', monospace;
color: #000000;
font-size:88%;
background-color: #ffffff;
}
h1 {
font-weight: bold;
margin-top: 24px;
margin-bottom: 10px;
border-bottom: 3px solid #000; font-size: 1em;
}
h2 {
font-weight: bold;
margin-top: 18px;
margin-bottom: 5px;
font-size: 0.90em;
}
h3 {
font-weight: bold;
margin-top: 12px;
margin-bottom: 5px;
font-size: 0.80em;
}
p {
font-size:78%;
}
P.Table {
margin-top: 4px;
margin-bottom: 4px;
margin-right: 4px;
margin-left: 4px;
}
table
{
border-width: 1px 1px 1px 1px;
border-style: solid solid solid solid;
border-color: black black black black;
border-collapse: collapse;
}
th {
font-weight:bold;
padding: 4px;
border-width: 1px 1px 1px 1px;
border-style: solid solid solid solid;
border-color: black black black black;
vertical-align:top;
text-align:left;
font-size:78%;
}
td {
padding: 4px;
border-width: 1px 1px 1px 1px;
border-style: solid solid solid solid;
border-color: black black black black;
vertical-align:top;
font-size:78%;
}
a {
color:#013C9A;
text-decoration:none;
}
a:visited {
color:#013C9A;
}
a:hover, a:active {
text-decoration:underline;
color:#5BAFD4;
}
.pass
{
background-color: #00ff00;
}
.fail
{
background-color: #ff0000;
}
.comment
{
font-size: 90%;
font-style: italic;
}
|
||||
-->
|
||||
</STYLE>
|
||||
</HEAD>
|
||||
<PRE><A name="Bgn"></A>BITGEN: Bitstream Generator Diamond (64-bit) 3.11.3.469
|
||||
Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved.
|
||||
Copyright (c) 1995 AT&T Corp. All rights reserved.
|
||||
Copyright (c) 1995-2001 Lucent Technologies Inc. All rights reserved.
|
||||
Copyright (c) 2001 Agere Systems All rights reserved.
|
||||
Copyright (c) 2002-2020 Lattice Semiconductor Corporation, All rights reserved.
|
||||
Fri Jun 07 20:50:24 2024
|
||||
|
||||
|
||||
Command: bitgen -g RamCfg:Reset -path //Mac/iCloud/Repos/RAM2E/CPLD/LCMXO2-1200HC-NODHGR -w -jedec -gui RAM2E_LCMXO2_1200HC_impl1.ncd RAM2E_LCMXO2_1200HC_impl1.prf
|
||||
|
||||
Loading design for application Bitgen from file RAM2E_LCMXO2_1200HC_impl1.ncd.
|
||||
Design name: RAM2E
|
||||
NCD version: 3.3
|
||||
Vendor: LATTICE
|
||||
Device: LCMXO2-1200HC
|
||||
Package: TQFP100
|
||||
Performance: 4
|
||||
Loading device for application Bitgen from file 'xo2c1200.nph' in environment: C:/lscc/diamond/3.11_x64/ispfpga.
|
||||
Package Status: Final Version 1.42.
|
||||
Performance Hardware Data Status: Final Version 34.4.
|
||||
|
||||
Running DRC.
|
||||
DRC detected 0 errors and 0 warnings.
|
||||
Reading Preference File from RAM2E_LCMXO2_1200HC_impl1.prf.
|
||||
|
||||
<A name="bgn_ps"></A>
|
||||
<B><U><big>Preference Summary:</big></U></B>
|
||||
|
||||
+---------------------------------+---------------------------------+
|
||||
| Preference | Current Setting |
|
||||
+---------------------------------+---------------------------------+
|
||||
| RamCfg | Reset** |
|
||||
+---------------------------------+---------------------------------+
|
||||
| MCCLK_FREQ | 2.08** |
|
||||
+---------------------------------+---------------------------------+
|
||||
| CONFIG_SECURE | OFF** |
|
||||
+---------------------------------+---------------------------------+
|
||||
| INBUF | ON** |
|
||||
+---------------------------------+---------------------------------+
|
||||
| JTAG_PORT | ENABLE** |
|
||||
+---------------------------------+---------------------------------+
|
||||
| SDM_PORT | DISABLE** |
|
||||
+---------------------------------+---------------------------------+
|
||||
| SLAVE_SPI_PORT | DISABLE** |
|
||||
+---------------------------------+---------------------------------+
|
||||
| MASTER_SPI_PORT | DISABLE** |
|
||||
+---------------------------------+---------------------------------+
|
||||
| I2C_PORT | DISABLE** |
|
||||
+---------------------------------+---------------------------------+
|
||||
| MUX_CONFIGURATION_PORTS | DISABLE** |
|
||||
+---------------------------------+---------------------------------+
|
||||
| CONFIGURATION | CFG** |
|
||||
+---------------------------------+---------------------------------+
|
||||
| COMPRESS_CONFIG | ON** |
|
||||
+---------------------------------+---------------------------------+
|
||||
| MY_ASSP | OFF** |
|
||||
+---------------------------------+---------------------------------+
|
||||
| ONE_TIME_PROGRAM | OFF** |
|
||||
+---------------------------------+---------------------------------+
|
||||
| ENABLE_TRANSFR | DISABLE** |
|
||||
+---------------------------------+---------------------------------+
|
||||
| SHAREDEBRINIT | DISABLE** |
|
||||
+---------------------------------+---------------------------------+
|
||||
| BACKGROUND_RECONFIG | OFF** |
|
||||
+---------------------------------+---------------------------------+
|
||||
* Default setting.
|
||||
** The specified setting matches the default setting.
|
||||
|
||||
|
||||
Creating bit map...
|
||||
|
||||
Bitstream Status: Final Version 1.95.
|
||||
|
||||
Saving bit stream in "RAM2E_LCMXO2_1200HC_impl1.jed".
|
||||
|
||||
===========
|
||||
UFM Summary.
|
||||
===========
|
||||
UFM Size: 511 Pages (128*511 Bits).
|
||||
UFM Utilization: General Purpose Flash Memory.
|
||||
|
||||
Available General Purpose Flash Memory: 511 Pages (Page 0 to Page 510).
|
||||
Initialized UFM Pages: 321 Pages (Page 190 to Page 510).
|
||||
|
||||
Total CPU Time: 3 secs
|
||||
Total REAL Time: 4 secs
|
||||
Peak Memory Usage: 275 MB
|
||||
|
||||
|
||||
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
</PRE></FONT>
|
||||
</BODY>
|
||||
</HTML>
|
@ -13,7 +13,7 @@ Hostname: ZANEMACWIN11
|
||||
|
||||
Implementation : impl1
|
||||
|
||||
# Written on Fri Jun 7 20:49:59 2024
|
||||
# Written on Fri Jul 12 16:07:16 2024
|
||||
|
||||
##### DESIGN INFO #######################################################
|
||||
|
||||
|
@ -18,24 +18,25 @@ Command line: map -a MachXO2 -p LCMXO2-1200HC -t TQFP100 -s 4 -oc Commercial
|
||||
RAM2E_LCMXO2_1200HC_impl1.prf -mp RAM2E_LCMXO2_1200HC_impl1.mrp -lpf //Mac/
|
||||
iCloud/Repos/RAM2E/CPLD/LCMXO2-1200HC-NODHGR/impl1/RAM2E_LCMXO2_1200HC_impl
|
||||
1_synplify.lpf -lpf //Mac/iCloud/Repos/RAM2E/CPLD/RAM2E-LCMXO2.lpf -c 0
|
||||
-gui
|
||||
-gui -msgset //Mac/iCloud/Repos/RAM2E/CPLD/LCMXO2-1200HC-NODHGR/promote.xml
|
||||
|
||||
Target Vendor: LATTICE
|
||||
Target Device: LCMXO2-1200HCTQFP100
|
||||
Target Performance: 4
|
||||
Mapper: xo2c00, version: Diamond (64-bit) 3.11.3.469
|
||||
Mapped on: 06/07/24 20:50:05
|
||||
Mapped on: 07/12/24 16:07:54
|
||||
|
||||
|
||||
<A name="mrp_ds"></A><B><U><big>Design Summary</big></U></B>
|
||||
Number of registers: 125 out of 1520 (8%)
|
||||
PFU registers: 103 out of 1280 (8%)
|
||||
Number of registers: 124 out of 1520 (8%)
|
||||
PFU registers: 102 out of 1280 (8%)
|
||||
PIO registers: 22 out of 240 (9%)
|
||||
Number of SLICEs: 148 out of 640 (23%)
|
||||
SLICEs as Logic/ROM: 148 out of 640 (23%)
|
||||
Number of SLICEs: 145 out of 640 (23%)
|
||||
SLICEs as Logic/ROM: 145 out of 640 (23%)
|
||||
SLICEs as RAM: 0 out of 480 (0%)
|
||||
SLICEs as Carry: 9 out of 640 (1%)
|
||||
Number of LUT4s: 296 out of 1280 (23%)
|
||||
Number used as logic LUTs: 278
|
||||
Number of LUT4s: 289 out of 1280 (23%)
|
||||
Number used as logic LUTs: 271
|
||||
Number used as distributed RAM: 0
|
||||
Number used as ripple logic: 18
|
||||
Number used as shift registers: 0
|
||||
@ -65,44 +66,45 @@ Mapped on: 06/07/24 20:50:05
|
||||
2. Number of logic LUT4s does not include count of distributed RAM and
|
||||
ripple logic.
|
||||
Number of clocks: 2
|
||||
Net C14M_c: 85 loads, 63 rising, 22 falling (Driver: PIO C14M )
|
||||
Net PHI1_c: 3 loads, 0 rising, 3 falling (Driver: PIO PHI1 )
|
||||
Net C14M_c: 84 loads, 62 rising, 22 falling (Driver: PIO C14M )
|
||||
|
||||
Net PHI1_c: 3 loads, 0 rising, 3 falling (Driver: PIO PHI1 )
|
||||
Number of Clock Enables: 13
|
||||
Net N_117_i: 2 loads, 0 LSLICEs
|
||||
Net RWBank14: 11 loads, 11 LSLICEs
|
||||
Net N_347_i: 2 loads, 0 LSLICEs
|
||||
Net RWBank14: 10 loads, 10 LSLICEs
|
||||
Net ram2e_ufm/wb_adr_0_sqmuxa_1_i: 1 loads, 1 LSLICEs
|
||||
Net un9_VOE_0_a2_0_a2: 1 loads, 1 LSLICEs
|
||||
Net un6_DOEEN_0_a2_0_a2: 2 loads, 2 LSLICEs
|
||||
Net ram2e_ufm/un1_wb_adr_0_sqmuxa_2_i[0]: 8 loads, 8 LSLICEs
|
||||
Net ram2e_ufm/un1_wb_cyc_stb_0_sqmuxa_1_i[0]: 1 loads, 1 LSLICEs
|
||||
Net ram2e_ufm/N_111: 1 loads, 1 LSLICEs
|
||||
Net ram2e_ufm/N_104: 4 loads, 4 LSLICEs
|
||||
Net ram2e_ufm/N_98: 1 loads, 1 LSLICEs
|
||||
Net un1_CKE48_0_i: 6 loads, 6 LSLICEs
|
||||
Net N_389_i: 2 loads, 0 LSLICEs
|
||||
Net ram2e_ufm/N_63: 1 loads, 1 LSLICEs
|
||||
Net ram2e_ufm/un1_wb_adr_0_sqmuxa_2_i_0[0]: 8 loads, 8 LSLICEs
|
||||
Net ram2e_ufm/un1_CmdBitbangMXO212_1_i[0]: 1 loads, 1 LSLICEs
|
||||
Net ram2e_ufm/un1_RWMask_0_sqmuxa_1_i_0[0]: 4 loads, 4 LSLICEs
|
||||
Net ram2e_ufm/un1_LEDEN_0_sqmuxa_1_i_0[0]: 1 loads, 1 LSLICEs
|
||||
Net un9_VOE_0_a2: 1 loads, 1 LSLICEs
|
||||
Net un1_CKE48_i: 6 loads, 6 LSLICEs
|
||||
Net N_346_i: 2 loads, 0 LSLICEs
|
||||
Net Vout3: 8 loads, 0 LSLICEs
|
||||
Number of LSRs: 8
|
||||
Net N_148: 2 loads, 2 LSLICEs
|
||||
Net N_430_i: 2 loads, 0 LSLICEs
|
||||
Number of LSRs: 9
|
||||
Net un1_CS_0_sqmuxa_i: 2 loads, 2 LSLICEs
|
||||
Net ram2e_ufm.wb_rst13: 2 loads, 0 LSLICEs
|
||||
Net RC7: 2 loads, 2 LSLICEs
|
||||
Net S[2]: 2 loads, 2 LSLICEs
|
||||
Net S[1]: 1 loads, 1 LSLICEs
|
||||
Net ram2e_ufm/wb_rst: 1 loads, 0 LSLICEs
|
||||
Net ram2e_ufm/nRWE_0_i_o3_RNIP8E61: 1 loads, 1 LSLICEs
|
||||
Net N_530: 1 loads, 0 LSLICEs
|
||||
Net N_301_i: 1 loads, 1 LSLICEs
|
||||
Net ram2e_ufm/wb_rst6_i: 1 loads, 1 LSLICEs
|
||||
Net N_523_1: 1 loads, 0 LSLICEs
|
||||
Net N_727_0: 1 loads, 1 LSLICEs
|
||||
Net RATc_i: 1 loads, 1 LSLICEs
|
||||
Number of nets driven by tri-state buffers: 0
|
||||
Top 10 highest fanout non-clock nets:
|
||||
Net S[2]: 60 loads
|
||||
Net S[3]: 48 loads
|
||||
Net S[1]: 46 loads
|
||||
Net S[0]: 31 loads
|
||||
Net FS[11]: 24 loads
|
||||
Net FS[10]: 23 loads
|
||||
Net FS[12]: 23 loads
|
||||
Net FS[9]: 23 loads
|
||||
Net FS[13]: 21 loads
|
||||
Net FS[8]: 18 loads
|
||||
Net S[2]: 51 loads
|
||||
Net S[1]: 44 loads
|
||||
Net S[3]: 40 loads
|
||||
Net S[0]: 32 loads
|
||||
Net FS[8]: 29 loads
|
||||
Net FS[9]: 26 loads
|
||||
Net FS[10]: 25 loads
|
||||
Net FS[11]: 23 loads
|
||||
Net FS[13]: 20 loads
|
||||
Net ram2e_ufm.wb_rst13: 17 loads
|
||||
|
||||
|
||||
|
||||
@ -124,9 +126,9 @@ WARNING - map: UFM was enabled in EFB: Enabling the configuration interface will
|
||||
Controller, GSR, Hardened User SPI Port, Hardened Primary User I2C Port.
|
||||
Functionality is restored after the Flash Memory (UFM/Configuration)
|
||||
Interface is disabled using Disable Configuration Interface command 0x26
|
||||
|
||||
followed by Bypass command 0xFF.
|
||||
WARNING - map: IO buffer missing for top level port nWE80...logic will be
|
||||
|
||||
discarded.
|
||||
|
||||
|
||||
@ -182,9 +184,9 @@ WARNING - map: IO buffer missing for top level port nWE80...logic will be
|
||||
| RAout[2] | OUTPUT | LVCMOS33 | |
|
||||
+---------------------+-----------+-----------+------------+
|
||||
| RAout[1] | OUTPUT | LVCMOS33 | |
|
||||
|
||||
+---------------------+-----------+-----------+------------+
|
||||
| RAout[0] | OUTPUT | LVCMOS33 | |
|
||||
|
||||
+---------------------+-----------+-----------+------------+
|
||||
| BA[1] | OUTPUT | LVCMOS33 | OUT |
|
||||
+---------------------+-----------+-----------+------------+
|
||||
@ -239,9 +241,9 @@ WARNING - map: IO buffer missing for top level port nWE80...logic will be
|
||||
| Din[7] | INPUT | LVCMOS33 | |
|
||||
+---------------------+-----------+-----------+------------+
|
||||
| Din[6] | INPUT | LVCMOS33 | |
|
||||
|
||||
+---------------------+-----------+-----------+------------+
|
||||
| Din[5] | INPUT | LVCMOS33 | |
|
||||
|
||||
+---------------------+-----------+-----------+------------+
|
||||
| Din[4] | INPUT | LVCMOS33 | |
|
||||
+---------------------+-----------+-----------+------------+
|
||||
@ -297,9 +299,9 @@ Signal FS_s_0_COUT[15] undriven or does not drive anything - clipped.
|
||||
Signal ram2e_ufm/ufmefb/CFGSTDBY undriven or does not drive anything - clipped.
|
||||
Signal ram2e_ufm/ufmefb/CFGWAKE undriven or does not drive anything - clipped.
|
||||
Signal ram2e_ufm/ufmefb/wbc_ufm_irq undriven or does not drive anything -
|
||||
|
||||
clipped.
|
||||
Signal ram2e_ufm/ufmefb/TCOC undriven or does not drive anything - clipped.
|
||||
|
||||
Signal ram2e_ufm/ufmefb/TCINT undriven or does not drive anything - clipped.
|
||||
Signal ram2e_ufm/ufmefb/SPIIRQO undriven or does not drive anything - clipped.
|
||||
Signal ram2e_ufm/ufmefb/SPICSNEN undriven or does not drive anything - clipped.
|
||||
@ -354,9 +356,9 @@ Signal ram2e_ufm/ufmefb/PLLCLKO undriven or does not drive anything - clipped.
|
||||
Signal FS_cry_0_S0[0] undriven or does not drive anything - clipped.
|
||||
Signal N_1 undriven or does not drive anything - clipped.
|
||||
Block RefReq.CN was optimized away.
|
||||
|
||||
Block RDOE_RNIAM8C was optimized away.
|
||||
Block nCASout.CN was optimized away.
|
||||
|
||||
Block ram2e_ufm/ufmefb/VCC was optimized away.
|
||||
Block ram2e_ufm/ufmefb/GND was optimized away.
|
||||
|
||||
@ -414,13 +416,68 @@ Instance Name: ram2e_ufm/ufmefb/EFBInst_0
|
||||
<A name="mrp_runtime"></A><B><U><big>Run Time and Memory Usage</big></U></B>
|
||||
-------------------------
|
||||
|
||||
Total CPU Time: 0 secs
|
||||
Total REAL Time: 2 secs
|
||||
Peak Memory Usage: 64 MB
|
||||
Total CPU Time: 1 secs
|
||||
Total REAL Time: 8 secs
|
||||
|
||||
Peak Memory Usage: 65 MB
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved.
|
||||
Copyright (c) 1995 AT&T Corp. All rights reserved.
|
||||
Copyright (c) 1995-2001 Lucent Technologies Inc. All rights reserved.
|
||||
|
@ -14,7 +14,7 @@ Performance Grade: 4
|
||||
PACKAGE: TQFP100
|
||||
Package Status: Final Version 1.42
|
||||
|
||||
Fri Jun 07 20:50:15 2024
|
||||
Fri Jul 12 16:08:20 2024
|
||||
|
||||
Pinout by Port Name:
|
||||
+-----------+----------+---------------+-------+-----------+-----------+------------------------------------------------------------+
|
||||
@ -320,7 +320,7 @@ Copyright (c) 1995 AT&T Corp. All rights reserved.
|
||||
Copyright (c) 1995-2001 Lucent Technologies Inc. All rights reserved.
|
||||
Copyright (c) 2001 Agere Systems All rights reserved.
|
||||
Copyright (c) 2002-2020 Lattice Semiconductor Corporation, All rights reserved.
|
||||
Fri Jun 07 20:50:20 2024
|
||||
Fri Jul 12 16:08:32 2024
|
||||
|
||||
|
||||
|
||||
|
@ -12,11 +12,12 @@ Copyright (c) 1995 AT&T Corp. All rights reserved.
|
||||
Copyright (c) 1995-2001 Lucent Technologies Inc. All rights reserved.
|
||||
Copyright (c) 2001 Agere Systems All rights reserved.
|
||||
Copyright (c) 2002-2020 Lattice Semiconductor Corporation, All rights reserved.
|
||||
Fri Jun 07 20:50:07 2024
|
||||
Fri Jul 12 16:08:03 2024
|
||||
|
||||
C:/lscc/diamond/3.11_x64/ispfpga\bin\nt64\par -f RAM2E_LCMXO2_1200HC_impl1.p2t
|
||||
RAM2E_LCMXO2_1200HC_impl1_map.ncd RAM2E_LCMXO2_1200HC_impl1.dir
|
||||
RAM2E_LCMXO2_1200HC_impl1.prf -gui
|
||||
RAM2E_LCMXO2_1200HC_impl1.prf -gui -msgset
|
||||
//Mac/iCloud/Repos/RAM2E/CPLD/LCMXO2-1200HC-NODHGR/promote.xml
|
||||
|
||||
|
||||
Preference file: RAM2E_LCMXO2_1200HC_impl1.prf.
|
||||
@ -25,22 +26,22 @@ Preference file: RAM2E_LCMXO2_1200HC_impl1.prf.
|
||||
Level/ Number Worst Timing Worst Timing Run NCD
|
||||
Cost [ncd] Unrouted Slack Score Slack(hold) Score(hold) Time Status
|
||||
---------- -------- ----- ------ ----------- ----------- ---- ------
|
||||
5_1 * 0 56.210 0 0.326 0 17 Completed
|
||||
5_1 * 0 56.179 0 0.319 0 38 Completed
|
||||
* : Design saved.
|
||||
|
||||
Total (real) run time for 1-seed: 17 secs
|
||||
Total (real) run time for 1-seed: 40 secs
|
||||
|
||||
par done!
|
||||
|
||||
Note: user must run 'Trace' for timing closure signoff.
|
||||
|
||||
Lattice Place and Route Report for Design "RAM2E_LCMXO2_1200HC_impl1_map.ncd"
|
||||
Fri Jun 07 20:50:07 2024
|
||||
Fri Jul 12 16:08:04 2024
|
||||
|
||||
|
||||
<A name="par_best"></A><B><U><big>Best Par Run</big></U></B>
|
||||
PAR: Place And Route Diamond (64-bit) 3.11.3.469.
|
||||
Command Line: par -w -l 5 -i 6 -t 1 -c 0 -e 0 -gui -exp parUseNBR=1:parCDP=0:parCDR=0:parPathBased=OFF RAM2E_LCMXO2_1200HC_impl1_map.ncd RAM2E_LCMXO2_1200HC_impl1.dir/5_1.ncd RAM2E_LCMXO2_1200HC_impl1.prf
|
||||
Command Line: par -w -l 5 -i 6 -t 1 -c 0 -e 0 -gui -msgset //Mac/iCloud/Repos/RAM2E/CPLD/LCMXO2-1200HC-NODHGR/promote.xml -exp parUseNBR=1:parCDP=0:parCDR=0:parPathBased=OFF RAM2E_LCMXO2_1200HC_impl1_map.ncd RAM2E_LCMXO2_1200HC_impl1.dir/5_1.ncd RAM2E_LCMXO2_1200HC_impl1.prf
|
||||
Preference file: RAM2E_LCMXO2_1200HC_impl1.prf.
|
||||
Placement level-cost: 5-1.
|
||||
Routing Iterations: 6
|
||||
@ -66,39 +67,39 @@ Ignore Preference Error(s): True
|
||||
70+4(JTAG)/80 93% bonded
|
||||
IOLOGIC 22/108 20% used
|
||||
|
||||
SLICE 148/640 23% used
|
||||
SLICE 145/640 22% used
|
||||
|
||||
EFB 1/1 100% used
|
||||
|
||||
|
||||
Number of Signals: 465
|
||||
Number of Connections: 1330
|
||||
Number of Signals: 447
|
||||
Number of Connections: 1292
|
||||
|
||||
Pin Constraint Summary:
|
||||
70 out of 70 pins locked (100% locked).
|
||||
|
||||
The following 1 signal is selected to use the primary clock routing resources:
|
||||
C14M_c (driver: C14M, clk load #: 85)
|
||||
C14M_c (driver: C14M, clk load #: 84)
|
||||
|
||||
WARNING - par: Signal "C14M_c" is selected to use Primary clock resources. However, its driver comp "C14M" is located at "62", which is not a dedicated pin for connecting to Primary clock resources. General routing has to be used to route this signal, and it might suffer from excessive delay or skew.
|
||||
|
||||
The following 1 signal is selected to use the secondary clock routing resources:
|
||||
RWBank14 (driver: ram2e_ufm/SLICE_82, clk load #: 0, sr load #: 0, ce load #: 11)
|
||||
RWBank14 (driver: ram2e_ufm/SLICE_89, clk load #: 0, sr load #: 0, ce load #: 10)
|
||||
|
||||
No signal is selected as Global Set/Reset.
|
||||
Starting Placer Phase 0.
|
||||
.......
|
||||
Finished Placer Phase 0. REAL time: 2 secs
|
||||
........
|
||||
Finished Placer Phase 0. REAL time: 4 secs
|
||||
|
||||
Starting Placer Phase 1.
|
||||
....................
|
||||
Placer score = 84481.
|
||||
Finished Placer Phase 1. REAL time: 8 secs
|
||||
Placer score = 83226.
|
||||
Finished Placer Phase 1. REAL time: 15 secs
|
||||
|
||||
Starting Placer Phase 2.
|
||||
.
|
||||
Placer score = 83723
|
||||
Finished Placer Phase 2. REAL time: 8 secs
|
||||
Placer score = 83139
|
||||
Finished Placer Phase 2. REAL time: 15 secs
|
||||
|
||||
|
||||
|
||||
@ -112,8 +113,8 @@ Global Clock Resources:
|
||||
DCC : 0 out of 8 (0%)
|
||||
|
||||
Global Clocks:
|
||||
PRIMARY "C14M_c" from comp "C14M" on PIO site "62 (PR5D)", clk load = 85
|
||||
SECONDARY "RWBank14" from F0 on comp "ram2e_ufm/SLICE_82" on site "R7C12A", clk load = 0, ce load = 11, sr load = 0
|
||||
PRIMARY "C14M_c" from comp "C14M" on PIO site "62 (PR5D)", clk load = 84
|
||||
SECONDARY "RWBank14" from F0 on comp "ram2e_ufm/SLICE_89" on site "R7C12C", clk load = 0, ce load = 10, sr load = 0
|
||||
|
||||
PRIMARY : 1 out of 8 (12%)
|
||||
SECONDARY: 1 out of 8 (12%)
|
||||
@ -140,20 +141,20 @@ I/O Bank Usage Summary:
|
||||
| 3 | 20 / 20 (100%) | 3.3V | - |
|
||||
+----------+----------------+------------+-----------+
|
||||
|
||||
Total placer CPU time: 7 secs
|
||||
Total placer CPU time: 8 secs
|
||||
|
||||
Dumping design to file RAM2E_LCMXO2_1200HC_impl1.dir/5_1.ncd.
|
||||
|
||||
0 connections routed; 1330 unrouted.
|
||||
0 connections routed; 1292 unrouted.
|
||||
Starting router resource preassignment
|
||||
WARNING - par: The driver of primary clock net C14M_c is not placed on one of the sites dedicated for primary clocks. This primary clock will be routed to an H-spine through general routing resource and might suffer from excessive delay or skew.
|
||||
|
||||
WARNING - par: The following clock signals will be routed by using generic routing resource and may suffer from excessive delay and/or skew.
|
||||
Signal=PHI1_c loads=5 clock_loads=3
|
||||
|
||||
Completed router resource preassignment. Real time: 14 secs
|
||||
Completed router resource preassignment. Real time: 29 secs
|
||||
|
||||
Start NBR router at 20:50:21 06/07/24
|
||||
Start NBR router at 16:08:33 07/12/24
|
||||
|
||||
*****************************************************************
|
||||
Info: NBR allows conflicts(one node used by more than one signal)
|
||||
@ -168,35 +169,35 @@ Note: NBR uses a different method to calculate timing slacks. The
|
||||
your design.
|
||||
*****************************************************************
|
||||
|
||||
Start NBR special constraint process at 20:50:21 06/07/24
|
||||
Start NBR special constraint process at 16:08:33 07/12/24
|
||||
|
||||
Start NBR section for initial routing at 20:50:21 06/07/24
|
||||
Start NBR section for initial routing at 16:08:33 07/12/24
|
||||
Level 4, iteration 1
|
||||
19(0.02%) conflicts; 0(0.00%) untouched conn; 0 (nbr) score;
|
||||
Estimated worst slack/total negative slack<setup>: 56.222ns/0.000ns; real time: 15 secs
|
||||
14(0.02%) conflicts; 0(0.00%) untouched conn; 0 (nbr) score;
|
||||
Estimated worst slack/total negative slack<setup>: 56.179ns/0.000ns; real time: 37 secs
|
||||
|
||||
Info: Initial congestion level at 75% usage is 0
|
||||
Info: Initial congestion area at 75% usage is 0 (0.00%)
|
||||
|
||||
Start NBR section for normal routing at 20:50:22 06/07/24
|
||||
Start NBR section for normal routing at 16:08:41 07/12/24
|
||||
Level 4, iteration 1
|
||||
6(0.01%) conflicts; 0(0.00%) untouched conn; 0 (nbr) score;
|
||||
Estimated worst slack/total negative slack<setup>: 56.222ns/0.000ns; real time: 15 secs
|
||||
Estimated worst slack/total negative slack<setup>: 56.179ns/0.000ns; real time: 37 secs
|
||||
Level 4, iteration 2
|
||||
1(0.00%) conflict; 0(0.00%) untouched conn; 0 (nbr) score;
|
||||
Estimated worst slack/total negative slack<setup>: 56.210ns/0.000ns; real time: 15 secs
|
||||
Estimated worst slack/total negative slack<setup>: 56.179ns/0.000ns; real time: 37 secs
|
||||
Level 4, iteration 3
|
||||
0(0.00%) conflict; 0(0.00%) untouched conn; 0 (nbr) score;
|
||||
Estimated worst slack/total negative slack<setup>: 56.210ns/0.000ns; real time: 15 secs
|
||||
Estimated worst slack/total negative slack<setup>: 56.179ns/0.000ns; real time: 37 secs
|
||||
|
||||
Start NBR section for setup/hold timing optimization with effort level 3 at 20:50:22 06/07/24
|
||||
Start NBR section for setup/hold timing optimization with effort level 3 at 16:08:41 07/12/24
|
||||
|
||||
Start NBR section for re-routing at 20:50:23 06/07/24
|
||||
Start NBR section for re-routing at 16:08:41 07/12/24
|
||||
Level 4, iteration 1
|
||||
0(0.00%) conflict; 0(0.00%) untouched conn; 0 (nbr) score;
|
||||
Estimated worst slack/total negative slack<setup>: 56.210ns/0.000ns; real time: 16 secs
|
||||
Estimated worst slack/total negative slack<setup>: 56.179ns/0.000ns; real time: 37 secs
|
||||
|
||||
Start NBR section for post-routing at 20:50:23 06/07/24
|
||||
Start NBR section for post-routing at 16:08:41 07/12/24
|
||||
|
||||
End NBR router with 0 unrouted connection
|
||||
|
||||
@ -204,7 +205,7 @@ NBR Summary
|
||||
-----------
|
||||
Number of unrouted connections : 0 (0.00%)
|
||||
Number of connections with timing violations : 0 (0.00%)
|
||||
Estimated worst slack<setup> : 56.210ns
|
||||
Estimated worst slack<setup> : 56.179ns
|
||||
Timing score<setup> : 0
|
||||
-----------
|
||||
Notes: The timing info is calculated for SETUP only and all PAR_ADJs are ignored.
|
||||
@ -215,9 +216,9 @@ WARNING - par: The following clock signals will be routed by using generic routi
|
||||
Signal=PHI1_c loads=5 clock_loads=3
|
||||
|
||||
Total CPU time 14 secs
|
||||
Total REAL time: 16 secs
|
||||
Total REAL time: 38 secs
|
||||
Completely routed.
|
||||
End of route. 1330 routed (100.00%); 0 unrouted.
|
||||
End of route. 1292 routed (100.00%); 0 unrouted.
|
||||
|
||||
Hold time timing score: 0, hold timing errors: 0
|
||||
|
||||
@ -231,14 +232,14 @@ All signals are completely routed.
|
||||
|
||||
PAR_SUMMARY::Run status = Completed
|
||||
PAR_SUMMARY::Number of unrouted conns = 0
|
||||
PAR_SUMMARY::Worst slack<setup/<ns>> = 56.210
|
||||
PAR_SUMMARY::Worst slack<setup/<ns>> = 56.179
|
||||
PAR_SUMMARY::Timing score<setup/<ns>> = 0.000
|
||||
PAR_SUMMARY::Worst slack<hold /<ns>> = 0.326
|
||||
PAR_SUMMARY::Worst slack<hold /<ns>> = 0.319
|
||||
PAR_SUMMARY::Timing score<hold /<ns>> = 0.000
|
||||
PAR_SUMMARY::Number of errors = 0
|
||||
|
||||
Total CPU time to completion: 14 secs
|
||||
Total REAL time to completion: 17 secs
|
||||
Total REAL time to completion: 39 secs
|
||||
|
||||
par done!
|
||||
|
||||
|
@ -13,7 +13,7 @@ Hostname: ZANEMACWIN11
|
||||
|
||||
Implementation : impl1
|
||||
|
||||
# Written on Fri Jun 7 20:49:58 2024
|
||||
# Written on Fri Jul 12 16:07:14 2024
|
||||
|
||||
##### FILES SYNTAX CHECKED ##############################################
|
||||
Constraint File(s): "\\Mac\iCloud\Repos\RAM2E\CPLD\RAM2E.sdc"
|
||||
@ -33,7 +33,7 @@ Clock Summary
|
||||
Start Requested Requested Clock Clock Clock
|
||||
Level Clock Frequency Period Type Group Load
|
||||
-----------------------------------------------------------------------------------------------
|
||||
0 - C14M 14.3 MHz 69.841 declared default_clkgroup 121
|
||||
0 - C14M 14.3 MHz 69.841 declared default_clkgroup 120
|
||||
|
||||
0 - System 100.0 MHz 10.000 system system_clkgroup 0
|
||||
|
||||
@ -47,7 +47,7 @@ Clock Load Summary
|
||||
Clock Source Clock Pin Non-clock Pin Non-clock Pin
|
||||
Clock Load Pin Seq Example Seq Example Comb Example
|
||||
--------------------------------------------------------------------------------------------
|
||||
C14M 121 C14M(port) RAT.C - un1_C14M.I[0](inv)
|
||||
C14M 120 C14M(port) RAT.C - un1_C14M.I[0](inv)
|
||||
|
||||
System 0 - - - -
|
||||
|
||||
|
@ -62,7 +62,7 @@
|
||||
</TR>
|
||||
<TR>
|
||||
<TD align='left' BGCOLOR='#DEE8F4' COLSPAN='1'><SPAN style="COLOR: #000000">Updated:</SPAN></TD>
|
||||
<TD align='left' BGCOLOR='#FFFFFF' COLSPAN='3'><SPAN style="COLOR: #000000">2024/06/07 20:50:28</SPAN></TD>
|
||||
<TD align='left' BGCOLOR='#FFFFFF' COLSPAN='3'><SPAN style="COLOR: #000000">2024/07/12 16:08:52</SPAN></TD>
|
||||
</TR>
|
||||
<TR>
|
||||
<TD align='left' BGCOLOR='#DEE8F4' COLSPAN='1'><SPAN style="COLOR: #000000">Implementation Location:</SPAN></TD>
|
||||
|
@ -12,7 +12,7 @@
|
||||
#OS: Windows 8 6.2
|
||||
#Hostname: ZANEMACWIN11
|
||||
|
||||
# Fri Jun 7 20:49:56 2024
|
||||
# Fri Jul 12 16:07:01 2024
|
||||
|
||||
#Implementation: impl1
|
||||
|
||||
@ -61,6 +61,7 @@ Synopsys Verilog Compiler, Version comp2018q2p1, Build 461R, Built Apr 1 2019 0
|
||||
@I::"\\Mac\iCloud\Repos\RAM2E\CPLD\LCMXO2-1200HC-NODHGR\REFB.v" (library work)
|
||||
@I::"\\Mac\iCloud\Repos\RAM2E\CPLD\DHGR-OFF.v" (library work)
|
||||
Verilog syntax check successful!
|
||||
File \\Mac\iCloud\Repos\RAM2E\CPLD\RAM2E.v changed - recompiling
|
||||
Selecting top level module RAM2E
|
||||
@N: CG364 :"C:\lscc\diamond\3.11_x64\synpbase\lib\lucent\machxo2.v":1120:7:1120:9|Synthesizing module VHI in library work.
|
||||
Running optimization stage 1 on VHI .......
|
||||
@ -86,12 +87,12 @@ Running optimization stage 2 on EFB .......
|
||||
Running optimization stage 2 on VLO .......
|
||||
Running optimization stage 2 on VHI .......
|
||||
|
||||
At c_ver Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 73MB peak: 74MB)
|
||||
At c_ver Exit (Real Time elapsed 0h:00m:05s; CPU Time elapsed 0h:00m:00s; Memory used current: 73MB peak: 74MB)
|
||||
|
||||
Process took 0h:00m:01s realtime, 0h:00m:01s cputime
|
||||
Process took 0h:00m:05s realtime, 0h:00m:01s cputime
|
||||
|
||||
Process completed successfully.
|
||||
# Fri Jun 7 20:49:57 2024
|
||||
# Fri Jul 12 16:07:10 2024
|
||||
|
||||
###########################################################]
|
||||
|
||||
@ -111,13 +112,14 @@ Implementation : impl1
|
||||
Synopsys Synopsys Netlist Linker, Version comp2018q2p1, Build 461R, Built Apr 1 2019 09:17:43
|
||||
|
||||
@N|Running in 64-bit mode
|
||||
File \\Mac\iCloud\Repos\RAM2E\CPLD\LCMXO2-1200HC-NODHGR\impl1\synwork\layer0.srs changed - recompiling
|
||||
|
||||
At syn_nfilter Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 67MB peak: 68MB)
|
||||
|
||||
Process took 0h:00m:01s realtime, 0h:00m:01s cputime
|
||||
|
||||
Process completed successfully.
|
||||
# Fri Jun 7 20:49:57 2024
|
||||
# Fri Jul 12 16:07:11 2024
|
||||
|
||||
###########################################################]
|
||||
|
||||
@ -127,12 +129,12 @@ For a summary of runtime and memory usage for all design units, please see file:
|
||||
|
||||
@END
|
||||
|
||||
At c_hdl Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 3MB peak: 4MB)
|
||||
At c_hdl Exit (Real Time elapsed 0h:00m:08s; CPU Time elapsed 0h:00m:00s; Memory used current: 3MB peak: 4MB)
|
||||
|
||||
Process took 0h:00m:01s realtime, 0h:00m:01s cputime
|
||||
Process took 0h:00m:08s realtime, 0h:00m:01s cputime
|
||||
|
||||
Process completed successfully.
|
||||
# Fri Jun 7 20:49:57 2024
|
||||
# Fri Jul 12 16:07:11 2024
|
||||
|
||||
###########################################################]
|
||||
|
||||
@ -152,18 +154,17 @@ Database state : \\Mac\iCloud\Repos\RAM2E\CPLD\LCMXO2-1200HC-NODHGR\impl1\synwor
|
||||
Synopsys Synopsys Netlist Linker, Version comp2018q2p1, Build 461R, Built Apr 1 2019 09:17:43
|
||||
|
||||
@N|Running in 64-bit mode
|
||||
File \\Mac\iCloud\Repos\RAM2E\CPLD\LCMXO2-1200HC-NODHGR\impl1\synwork\RAM2E_LCMXO2_1200HC_impl1_comp.srs changed - recompiling
|
||||
|
||||
At syn_nfilter Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 67MB peak: 68MB)
|
||||
|
||||
Process took 0h:00m:01s realtime, 0h:00m:01s cputime
|
||||
|
||||
Process completed successfully.
|
||||
# Fri Jun 7 20:49:58 2024
|
||||
# Fri Jul 12 16:07:14 2024
|
||||
|
||||
###########################################################]
|
||||
Premap Report
|
||||
|
||||
# Fri Jun 7 20:49:58 2024
|
||||
# Fri Jul 12 16:07:14 2024
|
||||
|
||||
|
||||
Copyright (C) 1994-2018 Synopsys, Inc.
|
||||
@ -208,7 +209,7 @@ Finished loading timing files (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h
|
||||
@A: FX681 :"\\mac\icloud\repos\ram2e\cpld\ram2e.v":21:4:21:9|Initial value on register S[3:0] is non-zero which can prevent the register from being packed into a block RAM or DSP.
|
||||
@N: MH105 |UMR3 is only supported for HAPS-80.
|
||||
@N: MH105 |UMR3 is only supported for HAPS-80.
|
||||
@N: BN115 :"\\mac\icloud\repos\ram2e\cpld\ram2e.v":140:9:140:12|Removing instance dhgr (in view: work.RAM2E(verilog)) of type view:work.DHGR(verilog) because it does not drive other instances.
|
||||
@N: BN115 :"\\mac\icloud\repos\ram2e\cpld\ram2e.v":136:9:136:12|Removing instance dhgr (in view: work.RAM2E(verilog)) of type view:work.DHGR(verilog) because it does not drive other instances.
|
||||
syn_allowed_resources : blockrams=7 set on top level netlist RAM2E
|
||||
|
||||
Finished netlist restructuring (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 141MB peak: 143MB)
|
||||
@ -221,7 +222,7 @@ Clock Summary
|
||||
Start Requested Requested Clock Clock Clock
|
||||
Level Clock Frequency Period Type Group Load
|
||||
-----------------------------------------------------------------------------------------------
|
||||
0 - C14M 14.3 MHz 69.841 declared default_clkgroup 121
|
||||
0 - C14M 14.3 MHz 69.841 declared default_clkgroup 120
|
||||
|
||||
0 - System 100.0 MHz 10.000 system system_clkgroup 0
|
||||
|
||||
@ -236,7 +237,7 @@ Clock Load Summary
|
||||
Clock Source Clock Pin Non-clock Pin Non-clock Pin
|
||||
Clock Load Pin Seq Example Seq Example Comb Example
|
||||
--------------------------------------------------------------------------------------------
|
||||
C14M 121 C14M(port) RAT.C - un1_C14M.I[0](inv)
|
||||
C14M 120 C14M(port) RAT.C - un1_C14M.I[0](inv)
|
||||
|
||||
System 0 - - - -
|
||||
|
||||
@ -256,14 +257,14 @@ Number of ICG latches not removed: 0
|
||||
|
||||
#### START OF PREMAP CLOCK OPTIMIZATION REPORT #####[
|
||||
|
||||
2 non-gated/non-generated clock tree(s) driving 125 clock pin(s) of sequential element(s)
|
||||
2 non-gated/non-generated clock tree(s) driving 124 clock pin(s) of sequential element(s)
|
||||
0 gated/generated clock tree(s) driving 0 clock pin(s) of sequential element(s)
|
||||
0 instances converted, 0 sequential instances remain driven by gated/generated clocks
|
||||
|
||||
=========================== Non-Gated/Non-Generated Clocks ============================
|
||||
Clock Tree ID Driving Element Drive Element Type Fanout Sample Instance
|
||||
---------------------------------------------------------------------------------------
|
||||
@KP:ckid0_0 C14M port 121 PHI1r
|
||||
@KP:ckid0_0 C14M port 120 PHI1r
|
||||
@KP:ckid0_1 PHI1 Unconstrained_port 4 RC[2:0]
|
||||
=======================================================================================
|
||||
|
||||
@ -273,27 +274,25 @@ Clock Tree ID Driving Element Drive Element Type Fanout Sample I
|
||||
@N: FX1143 |Skipping assigning INTERNAL_VREF to iobanks, because the table of mapping from pin to iobank is not initialized.
|
||||
Finished Pre Mapping Phase.
|
||||
|
||||
Starting constraint checker (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 141MB peak: 143MB)
|
||||
Starting constraint checker (Real Time elapsed 0h:00m:02s; CPU Time elapsed 0h:00m:00s; Memory used current: 141MB peak: 143MB)
|
||||
|
||||
|
||||
Finished constraint checker preprocessing (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 142MB peak: 143MB)
|
||||
Finished constraint checker preprocessing (Real Time elapsed 0h:00m:02s; CPU Time elapsed 0h:00m:00s; Memory used current: 142MB peak: 143MB)
|
||||
|
||||
None
|
||||
None
|
||||
|
||||
Finished constraint checker (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 142MB peak: 143MB)
|
||||
Finished constraint checker (Real Time elapsed 0h:00m:04s; CPU Time elapsed 0h:00m:00s; Memory used current: 142MB peak: 143MB)
|
||||
|
||||
Pre-mapping successful!
|
||||
|
||||
At Mapper Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 57MB peak: 143MB)
|
||||
At Mapper Exit (Real Time elapsed 0h:00m:04s; CPU Time elapsed 0h:00m:00s; Memory used current: 57MB peak: 143MB)
|
||||
|
||||
Process took 0h:00m:01s realtime, 0h:00m:01s cputime
|
||||
# Fri Jun 7 20:49:59 2024
|
||||
Process took 0h:00m:04s realtime, 0h:00m:01s cputime
|
||||
# Fri Jul 12 16:07:19 2024
|
||||
|
||||
###########################################################]
|
||||
Map & Optimize Report
|
||||
|
||||
# Fri Jun 7 20:50:00 2024
|
||||
# Fri Jul 12 16:07:22 2024
|
||||
|
||||
|
||||
Copyright (C) 1994-2018 Synopsys, Inc.
|
||||
@ -322,18 +321,18 @@ Mapper Startup Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:0
|
||||
Design Input Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 98MB peak: 100MB)
|
||||
|
||||
|
||||
Mapper Initialization Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 98MB peak: 100MB)
|
||||
Mapper Initialization Complete (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:00s; Memory used current: 98MB peak: 100MB)
|
||||
|
||||
|
||||
Start loading timing files (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 111MB peak: 112MB)
|
||||
Start loading timing files (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:00s; Memory used current: 111MB peak: 112MB)
|
||||
|
||||
|
||||
Finished loading timing files (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 111MB peak: 114MB)
|
||||
Finished loading timing files (Real Time elapsed 0h:00m:02s; CPU Time elapsed 0h:00m:00s; Memory used current: 111MB peak: 114MB)
|
||||
|
||||
@N: MF284 |Setting synthesis effort to medium for the design
|
||||
|
||||
|
||||
Starting Optimization and Mapping (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 140MB peak: 142MB)
|
||||
Starting Optimization and Mapping (Real Time elapsed 0h:00m:03s; CPU Time elapsed 0h:00m:00s; Memory used current: 140MB peak: 142MB)
|
||||
|
||||
|
||||
Available hyper_sources - for debug and ip models
|
||||
@ -342,61 +341,61 @@ Available hyper_sources - for debug and ip models
|
||||
@N: FX493 |Applying initial value "00000000" on instance RWBank[7:0].
|
||||
@N: FX493 |Applying initial value "0000" on instance S[3:0].
|
||||
|
||||
Finished RTL optimizations (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 141MB peak: 142MB)
|
||||
Finished RTL optimizations (Real Time elapsed 0h:00m:04s; CPU Time elapsed 0h:00m:00s; Memory used current: 141MB peak: 142MB)
|
||||
|
||||
@N: MO231 :"\\mac\icloud\repos\ram2e\cpld\ram2e.v":14:23:14:28|Found counter in view:work.RAM2E(verilog) instance FS[15:0]
|
||||
|
||||
Starting factoring (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 142MB peak: 142MB)
|
||||
Starting factoring (Real Time elapsed 0h:00m:04s; CPU Time elapsed 0h:00m:00s; Memory used current: 142MB peak: 142MB)
|
||||
|
||||
|
||||
Finished factoring (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 145MB peak: 145MB)
|
||||
Finished factoring (Real Time elapsed 0h:00m:05s; CPU Time elapsed 0h:00m:00s; Memory used current: 145MB peak: 145MB)
|
||||
|
||||
|
||||
Finished generic timing optimizations - Pass 1 (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:00s; Memory used current: 146MB peak: 146MB)
|
||||
Finished generic timing optimizations - Pass 1 (Real Time elapsed 0h:00m:05s; CPU Time elapsed 0h:00m:00s; Memory used current: 146MB peak: 146MB)
|
||||
|
||||
|
||||
Starting Early Timing Optimization (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:00s; Memory used current: 146MB peak: 146MB)
|
||||
Starting Early Timing Optimization (Real Time elapsed 0h:00m:05s; CPU Time elapsed 0h:00m:01s; Memory used current: 146MB peak: 146MB)
|
||||
|
||||
|
||||
Finished Early Timing Optimization (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 146MB peak: 146MB)
|
||||
Finished Early Timing Optimization (Real Time elapsed 0h:00m:05s; CPU Time elapsed 0h:00m:01s; Memory used current: 146MB peak: 146MB)
|
||||
|
||||
|
||||
Finished generic timing optimizations - Pass 2 (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 146MB peak: 146MB)
|
||||
Finished generic timing optimizations - Pass 2 (Real Time elapsed 0h:00m:05s; CPU Time elapsed 0h:00m:01s; Memory used current: 146MB peak: 146MB)
|
||||
|
||||
|
||||
Finished preparing to map (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 146MB peak: 146MB)
|
||||
Finished preparing to map (Real Time elapsed 0h:00m:05s; CPU Time elapsed 0h:00m:01s; Memory used current: 146MB peak: 147MB)
|
||||
|
||||
|
||||
Finished technology mapping (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 157MB peak: 160MB)
|
||||
Finished technology mapping (Real Time elapsed 0h:00m:06s; CPU Time elapsed 0h:00m:01s; Memory used current: 157MB peak: 160MB)
|
||||
|
||||
Pass CPU time Worst Slack Luts / Registers
|
||||
------------------------------------------------------------
|
||||
1 0h:00m:01s 6.90ns 281 / 125
|
||||
1 0h:00m:01s 6.90ns 280 / 124
|
||||
|
||||
Finished technology timing optimizations and critical path resynthesis (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 157MB peak: 160MB)
|
||||
Finished technology timing optimizations and critical path resynthesis (Real Time elapsed 0h:00m:06s; CPU Time elapsed 0h:00m:01s; Memory used current: 157MB peak: 160MB)
|
||||
|
||||
@N: FX164 |The option to pack registers in the IOB has not been specified. Please set syn_useioff attribute.
|
||||
@A: BN291 :"\\mac\icloud\repos\ram2e\cpld\ram2e.v":171:4:171:9|Boundary register BA_1_.fb (in view: work.RAM2E(verilog)) is packed into a complex cell. To disable register packing, set syn_keep=1 on the net between the register and the complex cell.
|
||||
@A: BN291 :"\\mac\icloud\repos\ram2e\cpld\ram2e.v":171:4:171:9|Boundary register BA_0_.fb (in view: work.RAM2E(verilog)) is packed into a complex cell. To disable register packing, set syn_keep=1 on the net between the register and the complex cell.
|
||||
@A: BN291 :"\\mac\icloud\repos\ram2e\cpld\ram2e.v":168:4:168:9|Boundary register BA_1_.fb (in view: work.RAM2E(verilog)) is packed into a complex cell. To disable register packing, set syn_keep=1 on the net between the register and the complex cell.
|
||||
@A: BN291 :"\\mac\icloud\repos\ram2e\cpld\ram2e.v":168:4:168:9|Boundary register BA_0_.fb (in view: work.RAM2E(verilog)) is packed into a complex cell. To disable register packing, set syn_keep=1 on the net between the register and the complex cell.
|
||||
|
||||
Finished restoring hierarchy (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 158MB peak: 160MB)
|
||||
Finished restoring hierarchy (Real Time elapsed 0h:00m:07s; CPU Time elapsed 0h:00m:01s; Memory used current: 158MB peak: 160MB)
|
||||
|
||||
|
||||
Start Writing Netlists (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 122MB peak: 160MB)
|
||||
Start Writing Netlists (Real Time elapsed 0h:00m:07s; CPU Time elapsed 0h:00m:01s; Memory used current: 122MB peak: 160MB)
|
||||
|
||||
Writing Analyst data base \\Mac\iCloud\Repos\RAM2E\CPLD\LCMXO2-1200HC-NODHGR\impl1\synwork\RAM2E_LCMXO2_1200HC_impl1_m.srm
|
||||
|
||||
Finished Writing Netlist Databases (Real Time elapsed 0h:00m:02s; CPU Time elapsed 0h:00m:02s; Memory used current: 158MB peak: 160MB)
|
||||
Finished Writing Netlist Databases (Real Time elapsed 0h:00m:09s; CPU Time elapsed 0h:00m:02s; Memory used current: 157MB peak: 160MB)
|
||||
|
||||
Writing EDIF Netlist and constraint files
|
||||
@N: FX1056 |Writing EDF file: \\Mac\iCloud\Repos\RAM2E\CPLD\LCMXO2-1200HC-NODHGR\impl1\RAM2E_LCMXO2_1200HC_impl1.edi
|
||||
N-2018.03L-SP1-1
|
||||
@N: BW106 |Synplicity Constraint File capacitance units using default value of 1pF
|
||||
|
||||
Finished Writing EDIF Netlist and constraint files (Real Time elapsed 0h:00m:03s; CPU Time elapsed 0h:00m:02s; Memory used current: 162MB peak: 164MB)
|
||||
Finished Writing EDIF Netlist and constraint files (Real Time elapsed 0h:00m:13s; CPU Time elapsed 0h:00m:02s; Memory used current: 161MB peak: 163MB)
|
||||
|
||||
|
||||
Start final timing analysis (Real Time elapsed 0h:00m:03s; CPU Time elapsed 0h:00m:03s; Memory used current: 161MB peak: 164MB)
|
||||
Start final timing analysis (Real Time elapsed 0h:00m:14s; CPU Time elapsed 0h:00m:03s; Memory used current: 160MB peak: 163MB)
|
||||
|
||||
@W: MT246 :"\\mac\icloud\repos\ram2e\cpld\lcmxo2-1200hc-nodhgr\refb.v":78:8:78:16|Blackbox EFB is missing a user supplied timing model. This may have a negative effect on timing analysis and optimizations (Quality of Results)
|
||||
@N: MT615 |Found clock C14M with period 69.84ns
|
||||
@ -404,7 +403,7 @@ Start final timing analysis (Real Time elapsed 0h:00m:03s; CPU Time elapsed 0h:0
|
||||
|
||||
|
||||
##### START OF TIMING REPORT #####[
|
||||
# Timing Report written on Fri Jun 7 20:50:03 2024
|
||||
# Timing Report written on Fri Jul 12 16:07:38 2024
|
||||
#
|
||||
|
||||
|
||||
@ -429,9 +428,9 @@ Worst slack in design: 6.897
|
||||
Requested Estimated Requested Estimated Clock Clock
|
||||
Starting Clock Frequency Frequency Period Period Slack Type Group
|
||||
----------------------------------------------------------------------------------------------------------------------
|
||||
C14M 14.3 MHz 112.1 MHz 69.841 8.918 31.599 declared default_clkgroup
|
||||
C14M 14.3 MHz 120.3 MHz 69.841 8.314 31.675 declared default_clkgroup
|
||||
RAM2E|PHI1 100.0 MHz 322.2 MHz 10.000 3.103 6.897 inferred Inferred_clkgroup_0
|
||||
System 100.0 MHz NA 10.000 NA 67.088 system system_clkgroup
|
||||
System 100.0 MHz NA 10.000 NA 66.719 system system_clkgroup
|
||||
======================================================================================================================
|
||||
Estimated period and frequency reported as NA means no slack depends directly on the clock waveform
|
||||
|
||||
@ -446,9 +445,9 @@ Clocks | rise to rise | fall to fall | rise
|
||||
----------------------------------------------------------------------------------------------------------------
|
||||
Starting Ending | constraint slack | constraint slack | constraint slack | constraint slack
|
||||
----------------------------------------------------------------------------------------------------------------
|
||||
System C14M | 69.841 67.088 | No paths - | No paths - | No paths -
|
||||
System C14M | 69.841 66.719 | No paths - | No paths - | No paths -
|
||||
C14M System | 69.841 68.797 | No paths - | No paths - | No paths -
|
||||
C14M C14M | 69.841 60.923 | No paths - | 34.920 31.599 | No paths -
|
||||
C14M C14M | 69.841 61.527 | No paths - | 34.920 31.675 | No paths -
|
||||
RAM2E|PHI1 C14M | No paths - | No paths - | No paths - | Diff grp -
|
||||
RAM2E|PHI1 RAM2E|PHI1 | No paths - | 10.000 6.897 | No paths - | No paths -
|
||||
================================================================================================================
|
||||
@ -477,10 +476,10 @@ Starting Points with Worst Slack
|
||||
Instance Reference Type Pin Net Time Slack
|
||||
Clock
|
||||
---------------------------------------------------------------------------
|
||||
S[2] C14M FD1S3AX Q S[2] 1.366 31.599
|
||||
S[3] C14M FD1S3AX Q S[3] 1.345 31.619
|
||||
S[1] C14M FD1S3AX Q S[1] 1.344 31.800
|
||||
S[0] C14M FD1S3AX Q S[0] 1.305 31.838
|
||||
S[2] C14M FD1S3AX Q S[2] 1.353 31.675
|
||||
S[3] C14M FD1S3AX Q S[3] 1.337 31.691
|
||||
S[0] C14M FD1S3AX Q S[0] 1.319 32.182
|
||||
S[1] C14M FD1S3AX Q S[1] 1.344 32.656
|
||||
RA[0] C14M FD1P3AX Q RA[0] 1.108 33.707
|
||||
RA[3] C14M FD1P3AX Q RA[3] 1.108 33.707
|
||||
RA[1] C14M FD1P3AX Q RA[1] 1.044 33.771
|
||||
@ -493,21 +492,21 @@ RA[5] C14M FD1P3AX Q RA[5] 1.044 33.771
|
||||
Ending Points with Worst Slack
|
||||
******************************
|
||||
|
||||
Starting Required
|
||||
Instance Reference Type Pin Net Time Slack
|
||||
Clock
|
||||
-----------------------------------------------------------------------------------
|
||||
VOE_i_0io C14M OFS1P3IX CD N_530 34.118 31.599
|
||||
VOE_i_0io C14M OFS1P3IX D VOE_ic_i 35.009 31.800
|
||||
Vout_0io[0] C14M OFS1P3DX SP Vout3 34.449 32.634
|
||||
Vout_0io[1] C14M OFS1P3DX SP Vout3 34.449 32.634
|
||||
Vout_0io[2] C14M OFS1P3DX SP Vout3 34.449 32.634
|
||||
Vout_0io[3] C14M OFS1P3DX SP Vout3 34.449 32.634
|
||||
Vout_0io[4] C14M OFS1P3DX SP Vout3 34.449 32.634
|
||||
Vout_0io[5] C14M OFS1P3DX SP Vout3 34.449 32.634
|
||||
Vout_0io[6] C14M OFS1P3DX SP Vout3 34.449 32.634
|
||||
Vout_0io[7] C14M OFS1P3DX SP Vout3 34.449 32.634
|
||||
===================================================================================
|
||||
Starting Required
|
||||
Instance Reference Type Pin Net Time Slack
|
||||
Clock
|
||||
----------------------------------------------------------------------------------
|
||||
VOE_i_0io C14M OFS1P3IX CD N_523_1 34.118 31.675
|
||||
RAT C14M FD1S3IX CD RATc_i 34.118 32.147
|
||||
Vout_0io[0] C14M OFS1P3DX SP Vout3 34.449 32.647
|
||||
Vout_0io[1] C14M OFS1P3DX SP Vout3 34.449 32.647
|
||||
Vout_0io[2] C14M OFS1P3DX SP Vout3 34.449 32.647
|
||||
Vout_0io[3] C14M OFS1P3DX SP Vout3 34.449 32.647
|
||||
Vout_0io[4] C14M OFS1P3DX SP Vout3 34.449 32.647
|
||||
Vout_0io[5] C14M OFS1P3DX SP Vout3 34.449 32.647
|
||||
Vout_0io[6] C14M OFS1P3DX SP Vout3 34.449 32.647
|
||||
Vout_0io[7] C14M OFS1P3DX SP Vout3 34.449 32.647
|
||||
==================================================================================
|
||||
|
||||
|
||||
|
||||
@ -521,9 +520,9 @@ Path information for path number 1:
|
||||
+ Clock delay at ending point: 0.000 (ideal)
|
||||
= Required time: 34.118
|
||||
|
||||
- Propagation time: 2.519
|
||||
- Propagation time: 2.442
|
||||
- Clock delay at starting point: 0.000 (ideal)
|
||||
= Slack (non-critical) : 31.599
|
||||
= Slack (non-critical) : 31.675
|
||||
|
||||
Number of logic level(s): 1
|
||||
Starting point: S[2] / Q
|
||||
@ -531,16 +530,16 @@ Path information for path number 1:
|
||||
The start point is clocked by C14M [rising] on pin CK
|
||||
The end point is clocked by C14M [falling] on pin SCLK
|
||||
|
||||
Instance / Net Pin Pin Arrival No. of
|
||||
Name Type Name Dir Delay Time Fan Out(s)
|
||||
------------------------------------------------------------------------------------------------------------
|
||||
S[2] FD1S3AX Q Out 1.366 1.366 -
|
||||
S[2] Net - - - - 58
|
||||
ram2e_ufm.un1_wb_adr_0_sqmuxa_2_0_a2_0[0] ORCALUT4 A In 0.000 1.366 -
|
||||
ram2e_ufm.un1_wb_adr_0_sqmuxa_2_0_a2_0[0] ORCALUT4 Z Out 1.153 2.519 -
|
||||
N_530 Net - - - - 3
|
||||
VOE_i_0io OFS1P3IX CD In 0.000 2.519 -
|
||||
============================================================================================================
|
||||
Instance / Net Pin Pin Arrival No. of
|
||||
Name Type Name Dir Delay Time Fan Out(s)
|
||||
---------------------------------------------------------------------------------------
|
||||
S[2] FD1S3AX Q Out 1.353 1.353 -
|
||||
S[2] Net - - - - 50
|
||||
ram2e_ufm.VOE_2_0_a2 ORCALUT4 A In 0.000 1.353 -
|
||||
ram2e_ufm.VOE_2_0_a2 ORCALUT4 Z Out 1.089 2.442 -
|
||||
N_523_1 Net - - - - 2
|
||||
VOE_i_0io OFS1P3IX CD In 0.000 2.442 -
|
||||
=======================================================================================
|
||||
|
||||
|
||||
|
||||
@ -629,7 +628,7 @@ Starting Points with Worst Slack
|
||||
Instance Reference Type Pin Net Time Slack
|
||||
Clock
|
||||
---------------------------------------------------------------------------------------------------
|
||||
ram2e_ufm.ufmefb.EFBInst_0 System EFB WBACKO wb_ack 0.000 67.088
|
||||
ram2e_ufm.ufmefb.EFBInst_0 System EFB WBACKO wb_ack 0.000 66.719
|
||||
ram2e_ufm.ufmefb.EFBInst_0 System EFB WBDATO0 wb_dato[0] 0.000 69.313
|
||||
ram2e_ufm.ufmefb.EFBInst_0 System EFB WBDATO1 wb_dato[1] 0.000 69.313
|
||||
ram2e_ufm.ufmefb.EFBInst_0 System EFB WBDATO2 wb_dato[2] 0.000 69.313
|
||||
@ -644,21 +643,21 @@ ram2e_ufm.ufmefb.EFBInst_0 System EFB WBDATO7 wb_dato[7]
|
||||
Ending Points with Worst Slack
|
||||
******************************
|
||||
|
||||
Starting Required
|
||||
Instance Reference Type Pin Net Time Slack
|
||||
Clock
|
||||
----------------------------------------------------------------------------------------
|
||||
ram2e_ufm.RWMask[0] System FD1P3AX SP N_104 69.369 67.088
|
||||
ram2e_ufm.RWMask[1] System FD1P3AX SP N_104 69.369 67.088
|
||||
ram2e_ufm.RWMask[2] System FD1P3AX SP N_104 69.369 67.088
|
||||
ram2e_ufm.RWMask[3] System FD1P3AX SP N_104 69.369 67.088
|
||||
ram2e_ufm.RWMask[4] System FD1P3AX SP N_104 69.369 67.088
|
||||
ram2e_ufm.RWMask[5] System FD1P3AX SP N_104 69.369 67.088
|
||||
ram2e_ufm.RWMask[6] System FD1P3AX SP N_104 69.369 67.088
|
||||
ram2e_ufm.RWMask[7] System FD1P3AX SP N_104 69.369 67.088
|
||||
ram2e_ufm.LEDEN System FD1P3AX SP N_98 69.369 67.736
|
||||
ram2e_ufm.wb_cyc_stb System FD1P3AX SP N_111 69.369 67.736
|
||||
========================================================================================
|
||||
Starting Required
|
||||
Instance Reference Type Pin Net Time Slack
|
||||
Clock
|
||||
---------------------------------------------------------------------------------------------------------------
|
||||
ram2e_ufm.wb_cyc_stb System FD1P3AX SP un1_CmdBitbangMXO212_1_i[0] 69.369 66.719
|
||||
ram2e_ufm.LEDEN System FD1P3AX SP un1_LEDEN_0_sqmuxa_1_i_0[0] 69.369 67.736
|
||||
ram2e_ufm.RWMask[0] System FD1P3AX SP un1_RWMask_0_sqmuxa_1_i_0[0] 69.369 68.105
|
||||
ram2e_ufm.RWMask[1] System FD1P3AX SP un1_RWMask_0_sqmuxa_1_i_0[0] 69.369 68.105
|
||||
ram2e_ufm.RWMask[2] System FD1P3AX SP un1_RWMask_0_sqmuxa_1_i_0[0] 69.369 68.105
|
||||
ram2e_ufm.RWMask[3] System FD1P3AX SP un1_RWMask_0_sqmuxa_1_i_0[0] 69.369 68.105
|
||||
ram2e_ufm.RWMask[4] System FD1P3AX SP un1_RWMask_0_sqmuxa_1_i_0[0] 69.369 68.105
|
||||
ram2e_ufm.RWMask[5] System FD1P3AX SP un1_RWMask_0_sqmuxa_1_i_0[0] 69.369 68.105
|
||||
ram2e_ufm.RWMask[6] System FD1P3AX SP un1_RWMask_0_sqmuxa_1_i_0[0] 69.369 68.105
|
||||
ram2e_ufm.RWMask[7] System FD1P3AX SP un1_RWMask_0_sqmuxa_1_i_0[0] 69.369 68.105
|
||||
===============================================================================================================
|
||||
|
||||
|
||||
|
||||
@ -672,30 +671,33 @@ Path information for path number 1:
|
||||
+ Clock delay at ending point: 0.000 (ideal)
|
||||
= Required time: 69.369
|
||||
|
||||
- Propagation time: 2.282
|
||||
- Propagation time: 2.650
|
||||
- Clock delay at starting point: 0.000 (ideal)
|
||||
- Estimated clock delay at start point: -0.000
|
||||
= Slack (non-critical) : 67.088
|
||||
= Slack (non-critical) : 66.719
|
||||
|
||||
Number of logic level(s): 2
|
||||
Number of logic level(s): 3
|
||||
Starting point: ram2e_ufm.ufmefb.EFBInst_0 / WBACKO
|
||||
Ending point: ram2e_ufm.RWMask[0] / SP
|
||||
Ending point: ram2e_ufm.wb_cyc_stb / SP
|
||||
The start point is clocked by System [rising]
|
||||
The end point is clocked by C14M [rising] on pin CK
|
||||
|
||||
Instance / Net Pin Pin Arrival No. of
|
||||
Name Type Name Dir Delay Time Fan Out(s)
|
||||
----------------------------------------------------------------------------------------------------------------
|
||||
ram2e_ufm.ufmefb.EFBInst_0 EFB WBACKO Out 0.000 0.000 -
|
||||
wb_ack Net - - - - 5
|
||||
ram2e_ufm.un1_RWMask_0_sqmuxa_1_i_a2_0_0[0] ORCALUT4 B In 0.000 0.000 -
|
||||
ram2e_ufm.un1_RWMask_0_sqmuxa_1_i_a2_0_0[0] ORCALUT4 Z Out 1.017 1.017 -
|
||||
un1_RWMask_0_sqmuxa_1_i_a2_0_0[0] Net - - - - 1
|
||||
ram2e_ufm.un1_RWMask_0_sqmuxa_1_i_0[0] ORCALUT4 D In 0.000 1.017 -
|
||||
ram2e_ufm.un1_RWMask_0_sqmuxa_1_i_0[0] ORCALUT4 Z Out 1.265 2.282 -
|
||||
N_104 Net - - - - 8
|
||||
ram2e_ufm.RWMask[0] FD1P3AX SP In 0.000 2.282 -
|
||||
================================================================================================================
|
||||
Instance / Net Pin Pin Arrival No. of
|
||||
Name Type Name Dir Delay Time Fan Out(s)
|
||||
---------------------------------------------------------------------------------------------------------------
|
||||
ram2e_ufm.ufmefb.EFBInst_0 EFB WBACKO Out 0.000 0.000 -
|
||||
wb_ack Net - - - - 4
|
||||
ram2e_ufm.un1_CmdBitbangMXO212_1_0_0_tz[0] ORCALUT4 B In 0.000 0.000 -
|
||||
ram2e_ufm.un1_CmdBitbangMXO212_1_0_0_tz[0] ORCALUT4 Z Out 1.017 1.017 -
|
||||
un1_CmdBitbangMXO212_1_0_0_tz[0] Net - - - - 1
|
||||
ram2e_ufm.un1_CmdBitbangMXO212_1_0_0_0[0] ORCALUT4 C In 0.000 1.017 -
|
||||
ram2e_ufm.un1_CmdBitbangMXO212_1_0_0_0[0] ORCALUT4 Z Out 1.017 2.034 -
|
||||
un1_CmdBitbangMXO212_1_0_0_0[0] Net - - - - 1
|
||||
ram2e_ufm.wb_cyc_stb_RNO_0 ORCALUT4 A In 0.000 2.034 -
|
||||
ram2e_ufm.wb_cyc_stb_RNO_0 ORCALUT4 Z Out 0.617 2.650 -
|
||||
un1_CmdBitbangMXO212_1_i[0] Net - - - - 1
|
||||
ram2e_ufm.wb_cyc_stb FD1P3AX SP In 0.000 2.650 -
|
||||
===============================================================================================================
|
||||
|
||||
|
||||
|
||||
@ -704,16 +706,16 @@ ram2e_ufm.RWMask[0] FD1P3AX SP In
|
||||
Timing exceptions that could not be applied
|
||||
None
|
||||
|
||||
Finished final timing analysis (Real Time elapsed 0h:00m:03s; CPU Time elapsed 0h:00m:03s; Memory used current: 161MB peak: 164MB)
|
||||
Finished final timing analysis (Real Time elapsed 0h:00m:17s; CPU Time elapsed 0h:00m:03s; Memory used current: 161MB peak: 163MB)
|
||||
|
||||
|
||||
Finished timing report (Real Time elapsed 0h:00m:03s; CPU Time elapsed 0h:00m:03s; Memory used current: 161MB peak: 164MB)
|
||||
Finished timing report (Real Time elapsed 0h:00m:17s; CPU Time elapsed 0h:00m:03s; Memory used current: 161MB peak: 163MB)
|
||||
|
||||
---------------------------------------
|
||||
Resource Usage Report
|
||||
Part: lcmxo2_1200hc-4
|
||||
|
||||
Register bits: 125 of 1280 (10%)
|
||||
Register bits: 124 of 1280 (10%)
|
||||
PIC Latch: 0
|
||||
I/O cells: 70
|
||||
|
||||
@ -722,7 +724,7 @@ Details:
|
||||
BB: 8
|
||||
CCU2D: 9
|
||||
EFB: 1
|
||||
FD1P3AX: 58
|
||||
FD1P3AX: 57
|
||||
FD1P3IX: 1
|
||||
FD1S3AX: 31
|
||||
FD1S3AY: 4
|
||||
@ -735,16 +737,17 @@ OB: 41
|
||||
OFS1P3BX: 6
|
||||
OFS1P3DX: 12
|
||||
OFS1P3IX: 3
|
||||
ORCALUT4: 275
|
||||
ORCALUT4: 268
|
||||
PFUMX: 10
|
||||
PUR: 1
|
||||
VHI: 3
|
||||
VLO: 3
|
||||
Mapper successful!
|
||||
|
||||
At Mapper Exit (Real Time elapsed 0h:00m:03s; CPU Time elapsed 0h:00m:03s; Memory used current: 32MB peak: 164MB)
|
||||
At Mapper Exit (Real Time elapsed 0h:00m:17s; CPU Time elapsed 0h:00m:03s; Memory used current: 32MB peak: 163MB)
|
||||
|
||||
Process took 0h:00m:03s realtime, 0h:00m:03s cputime
|
||||
# Fri Jun 7 20:50:03 2024
|
||||
Process took 0h:00m:18s realtime, 0h:00m:03s cputime
|
||||
# Fri Jul 12 16:07:42 2024
|
||||
|
||||
###########################################################]
|
||||
|
||||
|
@ -2,17 +2,19 @@
|
||||
Starting: parse design source files
|
||||
(VERI-1482) Analyzing Verilog file C:/lscc/diamond/3.11_x64/ispfpga/userware/NT/SYNTHESIS_HEADERS/machxo2.v
|
||||
(VERI-1482) Analyzing Verilog file //Mac/iCloud/Repos/RAM2E/CPLD/RAM2E.v
|
||||
WARNING - //Mac/iCloud/Repos/RAM2E/CPLD/RAM2E.v(140,9-140,25) (VERI-1362) CmdRWMaskSet is already implicitly declared on line 131
|
||||
WARNING - //Mac/iCloud/Repos/RAM2E/CPLD/RAM2E.v(141,9-141,22) (VERI-1362) CmdLEDSet is already implicitly declared on line 131
|
||||
(VERI-1482) Analyzing Verilog file //Mac/iCloud/Repos/RAM2E/CPLD/UFM-LCMXO2.v
|
||||
(VERI-1482) Analyzing Verilog file //Mac/iCloud/Repos/RAM2E/CPLD/LCMXO2-1200HC-NODHGR/REFB.v
|
||||
(VERI-1482) Analyzing Verilog file //Mac/iCloud/Repos/RAM2E/CPLD/DHGR-OFF.v
|
||||
INFO - //Mac/iCloud/Repos/RAM2E/CPLD/RAM2E.v(1,8-1,13) (VERI-1018) compiling module RAM2E
|
||||
INFO - //Mac/iCloud/Repos/RAM2E/CPLD/RAM2E.v(1,1-479,10) (VERI-9000) elaborating module 'RAM2E'
|
||||
INFO - //Mac/iCloud/Repos/RAM2E/CPLD/RAM2E.v(1,1-476,10) (VERI-9000) elaborating module 'RAM2E'
|
||||
INFO - //Mac/iCloud/Repos/RAM2E/CPLD/UFM-LCMXO2.v(1,1-335,10) (VERI-9000) elaborating module 'RAM2E_UFM_uniq_1'
|
||||
INFO - //Mac/iCloud/Repos/RAM2E/CPLD/DHGR-OFF.v(1,1-1,68) (VERI-9000) elaborating module 'DHGR_uniq_1'
|
||||
INFO - //Mac/iCloud/Repos/RAM2E/CPLD/LCMXO2-1200HC-NODHGR/REFB.v(8,1-113,10) (VERI-9000) elaborating module 'REFB_uniq_1'
|
||||
INFO - C:/lscc/diamond/3.11_x64/ispfpga/userware/NT/SYNTHESIS_HEADERS/machxo2.v(1120,1-1122,10) (VERI-9000) elaborating module 'VHI_uniq_1'
|
||||
INFO - C:/lscc/diamond/3.11_x64/ispfpga/userware/NT/SYNTHESIS_HEADERS/machxo2.v(1124,1-1126,10) (VERI-9000) elaborating module 'VLO_uniq_1'
|
||||
INFO - C:/lscc/diamond/3.11_x64/ispfpga/userware/NT/SYNTHESIS_HEADERS/machxo2.v(1800,1-1872,10) (VERI-9000) elaborating module 'EFB_uniq_1'
|
||||
Done: design load finished with (0) errors, and (0) warnings
|
||||
Done: design load finished with (0) errors, and (2) warnings
|
||||
|
||||
</PRE></BODY></HTML>
|
@ -1,3 +1,3 @@
|
||||
<?xml version="1.0" encoding="UTF-8"?>
|
||||
<userSetting name="//Mac/iCloud/Repos/RAM2E/CPLD/LCMXO2-1200HC-NODHGR/promote.xml" version="Diamond (64-bit) 3.11.3.469" date="Sat Jun 08 04:44:52 2024" vendor="Lattice Semiconductor Corporation" >
|
||||
<userSetting name="//Mac/iCloud/Repos/RAM2E/CPLD/LCMXO2-1200HC-NODHGR/promote.xml" version="Diamond (64-bit) 3.11.3.469" date="Fri Jul 12 16:09:34 2024" vendor="Lattice Semiconductor Corporation" >
|
||||
</userSetting>
|
||||
|
70
CPLD/LCMXO2-1200HC/RAM2E_LCMXO2_1200HC_tcl.html
Normal file
70
CPLD/LCMXO2-1200HC/RAM2E_LCMXO2_1200HC_tcl.html
Normal file
@ -0,0 +1,70 @@
|
||||
<HTML>
|
||||
<HEAD><TITLE>Lattice TCL Log</TITLE>
|
||||
<STYLE TYPE="text/css">
|
||||
<!--
|
||||
body,pre{
font-family:'Courier New', monospace;
color: #000000;
font-size:88%;
background-color: #ffffff;
}
h1 {
font-weight: bold;
margin-top: 24px;
margin-bottom: 10px;
border-bottom: 3px solid #000; font-size: 1em;
}
h2 {
font-weight: bold;
margin-top: 18px;
margin-bottom: 5px;
font-size: 0.90em;
}
h3 {
font-weight: bold;
margin-top: 12px;
margin-bottom: 5px;
font-size: 0.80em;
}
p {
font-size:78%;
}
P.Table {
margin-top: 4px;
margin-bottom: 4px;
margin-right: 4px;
margin-left: 4px;
}
table
{
border-width: 1px 1px 1px 1px;
border-style: solid solid solid solid;
border-color: black black black black;
border-collapse: collapse;
}
th {
font-weight:bold;
padding: 4px;
border-width: 1px 1px 1px 1px;
border-style: solid solid solid solid;
border-color: black black black black;
vertical-align:top;
text-align:left;
font-size:78%;
}
td {
padding: 4px;
border-width: 1px 1px 1px 1px;
border-style: solid solid solid solid;
border-color: black black black black;
vertical-align:top;
font-size:78%;
}
a {
color:#013C9A;
text-decoration:none;
}
a:visited {
color:#013C9A;
}
a:hover, a:active {
text-decoration:underline;
color:#5BAFD4;
}
.pass
{
background-color: #00ff00;
}
.fail
{
background-color: #ff0000;
}
.comment
{
font-size: 90%;
font-style: italic;
}
|
||||
-->
|
||||
</STYLE>
|
||||
</HEAD>
|
||||
<PRE><A name="pn240608044451"></A><B><U><big>pn240608044451</big></U></B>
|
||||
#Start recording tcl command: 6/7/2024 20:49:34
|
||||
#Project Location: //Mac/iCloud/Repos/RAM2E/CPLD/LCMXO2-1200HC; Project name: RAM2E_LCMXO2_1200HC
|
||||
prj_project open "//Mac/iCloud/Repos/RAM2E/CPLD/LCMXO2-1200HC/RAM2E_LCMXO2_1200HC.ldf"
|
||||
prj_run Export -impl impl1 -forceAll
|
||||
#Stop recording: 6/8/2024 04:44:51
|
||||
|
||||
|
||||
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
</PRE></FONT>
|
||||
</BODY>
|
||||
</HTML>
|
@ -1,6 +1,6 @@
|
||||
NOTE Copyright (C), 1992-2010, Lattice Semiconductor Corporation *
|
||||
NOTE All Rights Reserved *
|
||||
NOTE DATE CREATED: Fri Jun 07 20:50:35 2024 *
|
||||
NOTE DATE CREATED: Fri Jul 12 16:09:06 2024 *
|
||||
NOTE DESIGN NAME: RAM2E *
|
||||
NOTE DEVICE NAME: LCMXO2-1200HC-4TQFP100 *
|
||||
NOTE PIN ASSIGNMENTS *
|
||||
|
@ -1,7 +1,7 @@
|
||||
----------------------------------------------------------------------
|
||||
Report for cell RAM2E.verilog
|
||||
|
||||
Register bits: 125 of 1280 (10%)
|
||||
Register bits: 124 of 1280 (10%)
|
||||
PIC Latch: 0
|
||||
I/O cells: 70
|
||||
Cell usage:
|
||||
@ -9,7 +9,7 @@ I/O cells: 70
|
||||
BB 8 100.0
|
||||
CCU2D 9 100.0
|
||||
EFB 1 100.0
|
||||
FD1P3AX 58 100.0
|
||||
FD1P3AX 57 100.0
|
||||
FD1P3IX 1 100.0
|
||||
FD1S3AX 31 100.0
|
||||
FD1S3AY 4 100.0
|
||||
@ -22,7 +22,8 @@ I/O cells: 70
|
||||
OFS1P3BX 6 100.0
|
||||
OFS1P3DX 12 100.0
|
||||
OFS1P3IX 3 100.0
|
||||
ORCALUT4 275 100.0
|
||||
ORCALUT4 268 100.0
|
||||
PFUMX 10 100.0
|
||||
PUR 1 100.0
|
||||
VHI 3 100.0
|
||||
VLO 3 100.0
|
||||
@ -30,23 +31,24 @@ SUB MODULES
|
||||
RAM2E_UFM 1 100.0
|
||||
REFB 1 100.0
|
||||
|
||||
TOTAL 494
|
||||
TOTAL 496
|
||||
----------------------------------------------------------------------
|
||||
Report for cell RAM2E_UFM.netlist
|
||||
Instance path: ram2e_ufm
|
||||
Cell usage:
|
||||
cell count Res Usage(%)
|
||||
EFB 1 100.0
|
||||
FD1P3AX 29 50.0
|
||||
FD1P3AX 29 50.9
|
||||
FD1P3IX 1 100.0
|
||||
FD1S3IX 1 11.1
|
||||
ORCALUT4 268 97.5
|
||||
ORCALUT4 260 97.0
|
||||
PFUMX 10 100.0
|
||||
VHI 2 66.7
|
||||
VLO 2 66.7
|
||||
SUB MODULES
|
||||
REFB 1 100.0
|
||||
|
||||
TOTAL 305
|
||||
TOTAL 307
|
||||
----------------------------------------------------------------------
|
||||
Report for cell REFB.netlist
|
||||
Instance path: ram2e_ufm.ufmefb
|
||||
|
@ -4,10 +4,10 @@ Copyright (c) 1995 AT&T Corp. All rights reserved.
|
||||
Copyright (c) 1995-2001 Lucent Technologies Inc. All rights reserved.
|
||||
Copyright (c) 2001 Agere Systems All rights reserved.
|
||||
Copyright (c) 2002-2020 Lattice Semiconductor Corporation, All rights reserved.
|
||||
Fri Jun 07 20:50:31 2024
|
||||
Fri Jul 12 16:09:00 2024
|
||||
|
||||
|
||||
Command: bitgen -g RamCfg:Reset -path //Mac/iCloud/Repos/RAM2E/CPLD/LCMXO2-1200HC -w -jedec -gui RAM2E_LCMXO2_1200HC_impl1.ncd RAM2E_LCMXO2_1200HC_impl1.prf
|
||||
Command: bitgen -g RamCfg:Reset -path //Mac/iCloud/Repos/RAM2E/CPLD/LCMXO2-1200HC -w -jedec -gui -msgset //Mac/iCloud/Repos/RAM2E/CPLD/LCMXO2-1200HC/promote.xml RAM2E_LCMXO2_1200HC_impl1.ncd RAM2E_LCMXO2_1200HC_impl1.prf
|
||||
|
||||
Loading design for application Bitgen from file RAM2E_LCMXO2_1200HC_impl1.ncd.
|
||||
Design name: RAM2E
|
||||
@ -81,6 +81,6 @@ UFM Utilization: General Purpose Flash Memory.
|
||||
Available General Purpose Flash Memory: 511 Pages (Page 0 to Page 510).
|
||||
Initialized UFM Pages: 321 Pages (Page 190 to Page 510).
|
||||
|
||||
Total CPU Time: 3 secs
|
||||
Total REAL Time: 4 secs
|
||||
Total CPU Time: 4 secs
|
||||
Total REAL Time: 6 secs
|
||||
Peak Memory Usage: 275 MB
|
||||
|
File diff suppressed because it is too large
Load Diff
File diff suppressed because it is too large
Load Diff
@ -9,25 +9,26 @@ Command line: map -a MachXO2 -p LCMXO2-1200HC -t TQFP100 -s 4 -oc Commercial
|
||||
RAM2E_LCMXO2_1200HC_impl1.ngd -o RAM2E_LCMXO2_1200HC_impl1_map.ncd -pr
|
||||
RAM2E_LCMXO2_1200HC_impl1.prf -mp RAM2E_LCMXO2_1200HC_impl1.mrp -lpf //Mac/
|
||||
iCloud/Repos/RAM2E/CPLD/LCMXO2-1200HC/impl1/RAM2E_LCMXO2_1200HC_impl1_synpl
|
||||
ify.lpf -lpf //Mac/iCloud/Repos/RAM2E/CPLD/RAM2E-LCMXO2.lpf -c 0 -gui
|
||||
ify.lpf -lpf //Mac/iCloud/Repos/RAM2E/CPLD/RAM2E-LCMXO2.lpf -c 0 -gui
|
||||
-msgset //Mac/iCloud/Repos/RAM2E/CPLD/LCMXO2-1200HC/promote.xml
|
||||
Target Vendor: LATTICE
|
||||
Target Device: LCMXO2-1200HCTQFP100
|
||||
Target Performance: 4
|
||||
Mapper: xo2c00, version: Diamond (64-bit) 3.11.3.469
|
||||
Mapped on: 06/07/24 20:50:13
|
||||
Mapped on: 07/12/24 16:08:07
|
||||
|
||||
Design Summary
|
||||
--------------
|
||||
|
||||
Number of registers: 125 out of 1520 (8%)
|
||||
PFU registers: 103 out of 1280 (8%)
|
||||
Number of registers: 124 out of 1520 (8%)
|
||||
PFU registers: 102 out of 1280 (8%)
|
||||
PIO registers: 22 out of 240 (9%)
|
||||
Number of SLICEs: 148 out of 640 (23%)
|
||||
SLICEs as Logic/ROM: 148 out of 640 (23%)
|
||||
Number of SLICEs: 145 out of 640 (23%)
|
||||
SLICEs as Logic/ROM: 145 out of 640 (23%)
|
||||
SLICEs as RAM: 0 out of 480 (0%)
|
||||
SLICEs as Carry: 9 out of 640 (1%)
|
||||
Number of LUT4s: 295 out of 1280 (23%)
|
||||
Number used as logic LUTs: 277
|
||||
Number of LUT4s: 288 out of 1280 (23%)
|
||||
Number used as logic LUTs: 270
|
||||
Number used as distributed RAM: 0
|
||||
Number used as ripple logic: 18
|
||||
Number used as shift registers: 0
|
||||
@ -57,53 +58,54 @@ Design Summary
|
||||
2. Number of logic LUT4s does not include count of distributed RAM and
|
||||
ripple logic.
|
||||
Number of clocks: 2
|
||||
Net C14M_c: 85 loads, 63 rising, 22 falling (Driver: PIO C14M )
|
||||
Net C14M_c: 84 loads, 62 rising, 22 falling (Driver: PIO C14M )
|
||||
Net PHI1_c: 3 loads, 0 rising, 3 falling (Driver: PIO PHI1 )
|
||||
Number of Clock Enables: 13
|
||||
|
||||
Page 1
|
||||
|
||||
|
||||
|
||||
|
||||
Design: RAM2E Date: 06/07/24 20:50:13
|
||||
Design: RAM2E Date: 07/12/24 16:08:07
|
||||
|
||||
Design Summary (cont)
|
||||
---------------------
|
||||
Net N_117_i: 2 loads, 0 LSLICEs
|
||||
Net RWBank14: 11 loads, 11 LSLICEs
|
||||
Number of Clock Enables: 13
|
||||
Net N_347_i: 2 loads, 0 LSLICEs
|
||||
Net RWBank14: 10 loads, 10 LSLICEs
|
||||
Net ram2e_ufm/wb_adr_0_sqmuxa_1_i: 1 loads, 1 LSLICEs
|
||||
Net un9_VOE_0_a2_0_a2: 1 loads, 1 LSLICEs
|
||||
Net un6_DOEEN_0_a2_0_a2: 2 loads, 2 LSLICEs
|
||||
Net ram2e_ufm/un1_wb_adr_0_sqmuxa_2_i[0]: 8 loads, 8 LSLICEs
|
||||
Net ram2e_ufm/un1_wb_cyc_stb_0_sqmuxa_1_i[0]: 1 loads, 1 LSLICEs
|
||||
Net ram2e_ufm/N_111: 1 loads, 1 LSLICEs
|
||||
Net ram2e_ufm/N_104: 4 loads, 4 LSLICEs
|
||||
Net ram2e_ufm/N_98: 1 loads, 1 LSLICEs
|
||||
Net un1_CKE48_0_i: 6 loads, 6 LSLICEs
|
||||
Net N_389_i: 2 loads, 0 LSLICEs
|
||||
Net ram2e_ufm/N_63: 1 loads, 1 LSLICEs
|
||||
Net ram2e_ufm/un1_wb_adr_0_sqmuxa_2_i_0[0]: 8 loads, 8 LSLICEs
|
||||
Net ram2e_ufm/un1_CmdBitbangMXO212_1_i[0]: 1 loads, 1 LSLICEs
|
||||
Net ram2e_ufm/un1_RWMask_0_sqmuxa_1_i_0[0]: 4 loads, 4 LSLICEs
|
||||
Net ram2e_ufm/un1_LEDEN_0_sqmuxa_1_i_0[0]: 1 loads, 1 LSLICEs
|
||||
Net un9_VOE_0_a2: 1 loads, 1 LSLICEs
|
||||
Net un1_CKE48_i: 6 loads, 6 LSLICEs
|
||||
Net N_346_i: 2 loads, 0 LSLICEs
|
||||
Net Vout3: 8 loads, 0 LSLICEs
|
||||
Number of LSRs: 8
|
||||
Net N_148: 2 loads, 2 LSLICEs
|
||||
Net N_430_i: 2 loads, 0 LSLICEs
|
||||
Number of LSRs: 9
|
||||
Net un1_CS_0_sqmuxa_i: 2 loads, 2 LSLICEs
|
||||
Net ram2e_ufm.wb_rst13: 2 loads, 0 LSLICEs
|
||||
Net RC7: 2 loads, 2 LSLICEs
|
||||
Net S[2]: 2 loads, 2 LSLICEs
|
||||
Net S[1]: 1 loads, 1 LSLICEs
|
||||
Net ram2e_ufm/wb_rst: 1 loads, 0 LSLICEs
|
||||
Net ram2e_ufm/nRWE_0_i_o3_RNIP8E61: 1 loads, 1 LSLICEs
|
||||
Net N_530: 1 loads, 0 LSLICEs
|
||||
Net N_301_i: 1 loads, 1 LSLICEs
|
||||
Net ram2e_ufm/wb_rst6_i: 1 loads, 1 LSLICEs
|
||||
Net N_523_1: 1 loads, 0 LSLICEs
|
||||
Net N_727_0: 1 loads, 1 LSLICEs
|
||||
Net RATc_i: 1 loads, 1 LSLICEs
|
||||
Number of nets driven by tri-state buffers: 0
|
||||
Top 10 highest fanout non-clock nets:
|
||||
Net S[2]: 60 loads
|
||||
Net S[3]: 48 loads
|
||||
Net S[1]: 46 loads
|
||||
Net S[0]: 31 loads
|
||||
Net FS[11]: 24 loads
|
||||
Net FS[10]: 23 loads
|
||||
Net FS[12]: 23 loads
|
||||
Net FS[9]: 23 loads
|
||||
Net FS[13]: 21 loads
|
||||
Net FS[8]: 18 loads
|
||||
Net S[2]: 51 loads
|
||||
Net S[1]: 44 loads
|
||||
Net S[3]: 40 loads
|
||||
Net S[0]: 32 loads
|
||||
Net FS[8]: 29 loads
|
||||
Net FS[9]: 26 loads
|
||||
Net FS[10]: 25 loads
|
||||
Net FS[11]: 23 loads
|
||||
Net FS[13]: 20 loads
|
||||
Net ram2e_ufm.wb_rst13: 17 loads
|
||||
|
||||
|
||||
|
||||
@ -124,16 +126,18 @@ WARNING - map: UFM was enabled in EFB: Enabling the configuration interface will
|
||||
Functionality is restored after the Flash Memory (UFM/Configuration)
|
||||
Interface is disabled using Disable Configuration Interface command 0x26
|
||||
followed by Bypass command 0xFF.
|
||||
WARNING - map: IO buffer missing for top level port nWE80...logic will be
|
||||
discarded.
|
||||
|
||||
Page 2
|
||||
|
||||
|
||||
|
||||
|
||||
Design: RAM2E Date: 06/07/24 20:50:13
|
||||
Design: RAM2E Date: 07/12/24 16:08:07
|
||||
|
||||
Design Errors/Warnings (cont)
|
||||
-----------------------------
|
||||
WARNING - map: IO buffer missing for top level port nWE80...logic will be
|
||||
discarded.
|
||||
|
||||
IO (PIO) Attributes
|
||||
-------------------
|
||||
@ -187,10 +191,6 @@ IO (PIO) Attributes
|
||||
| RAout[2] | OUTPUT | LVCMOS33 | |
|
||||
+---------------------+-----------+-----------+------------+
|
||||
| RAout[1] | OUTPUT | LVCMOS33 | |
|
||||
+---------------------+-----------+-----------+------------+
|
||||
| RAout[0] | OUTPUT | LVCMOS33 | |
|
||||
+---------------------+-----------+-----------+------------+
|
||||
| BA[1] | OUTPUT | LVCMOS33 | OUT |
|
||||
+---------------------+-----------+-----------+------------+
|
||||
|
||||
Page 3
|
||||
@ -198,10 +198,14 @@ IO (PIO) Attributes
|
||||
|
||||
|
||||
|
||||
Design: RAM2E Date: 06/07/24 20:50:13
|
||||
Design: RAM2E Date: 07/12/24 16:08:07
|
||||
|
||||
IO (PIO) Attributes (cont)
|
||||
--------------------------
|
||||
| RAout[0] | OUTPUT | LVCMOS33 | |
|
||||
+---------------------+-----------+-----------+------------+
|
||||
| BA[1] | OUTPUT | LVCMOS33 | OUT |
|
||||
+---------------------+-----------+-----------+------------+
|
||||
| BA[0] | OUTPUT | LVCMOS33 | OUT |
|
||||
+---------------------+-----------+-----------+------------+
|
||||
| nRWEout | OUTPUT | LVCMOS33 | OUT |
|
||||
@ -253,10 +257,6 @@ IO (PIO) Attributes (cont)
|
||||
| Din[7] | INPUT | LVCMOS33 | |
|
||||
+---------------------+-----------+-----------+------------+
|
||||
| Din[6] | INPUT | LVCMOS33 | |
|
||||
+---------------------+-----------+-----------+------------+
|
||||
| Din[5] | INPUT | LVCMOS33 | |
|
||||
+---------------------+-----------+-----------+------------+
|
||||
| Din[4] | INPUT | LVCMOS33 | |
|
||||
+---------------------+-----------+-----------+------------+
|
||||
|
||||
Page 4
|
||||
@ -264,10 +264,14 @@ IO (PIO) Attributes (cont)
|
||||
|
||||
|
||||
|
||||
Design: RAM2E Date: 06/07/24 20:50:13
|
||||
Design: RAM2E Date: 07/12/24 16:08:07
|
||||
|
||||
IO (PIO) Attributes (cont)
|
||||
--------------------------
|
||||
| Din[5] | INPUT | LVCMOS33 | |
|
||||
+---------------------+-----------+-----------+------------+
|
||||
| Din[4] | INPUT | LVCMOS33 | |
|
||||
+---------------------+-----------+-----------+------------+
|
||||
| Din[3] | INPUT | LVCMOS33 | |
|
||||
+---------------------+-----------+-----------+------------+
|
||||
| Din[2] | INPUT | LVCMOS33 | |
|
||||
@ -320,20 +324,20 @@ Signal ram2e_ufm/ufmefb/CFGSTDBY undriven or does not drive anything - clipped.
|
||||
Signal ram2e_ufm/ufmefb/CFGWAKE undriven or does not drive anything - clipped.
|
||||
Signal ram2e_ufm/ufmefb/wbc_ufm_irq undriven or does not drive anything -
|
||||
clipped.
|
||||
Signal ram2e_ufm/ufmefb/TCOC undriven or does not drive anything - clipped.
|
||||
Signal ram2e_ufm/ufmefb/TCINT undriven or does not drive anything - clipped.
|
||||
Signal ram2e_ufm/ufmefb/SPIIRQO undriven or does not drive anything - clipped.
|
||||
Signal ram2e_ufm/ufmefb/SPICSNEN undriven or does not drive anything - clipped.
|
||||
|
||||
Page 5
|
||||
|
||||
|
||||
|
||||
|
||||
Design: RAM2E Date: 06/07/24 20:50:13
|
||||
Design: RAM2E Date: 07/12/24 16:08:07
|
||||
|
||||
Removed logic (cont)
|
||||
--------------------
|
||||
Signal ram2e_ufm/ufmefb/TCOC undriven or does not drive anything - clipped.
|
||||
Signal ram2e_ufm/ufmefb/TCINT undriven or does not drive anything - clipped.
|
||||
Signal ram2e_ufm/ufmefb/SPIIRQO undriven or does not drive anything - clipped.
|
||||
Signal ram2e_ufm/ufmefb/SPICSNEN undriven or does not drive anything - clipped.
|
||||
Signal ram2e_ufm/ufmefb/SPIMCSN7 undriven or does not drive anything - clipped.
|
||||
Signal ram2e_ufm/ufmefb/SPIMCSN6 undriven or does not drive anything - clipped.
|
||||
Signal ram2e_ufm/ufmefb/SPIMCSN5 undriven or does not drive anything - clipped.
|
||||
@ -386,20 +390,20 @@ Signal FS_cry_0_S0[0] undriven or does not drive anything - clipped.
|
||||
Signal N_1 undriven or does not drive anything - clipped.
|
||||
Block RefReq.CN was optimized away.
|
||||
Block RDOE_RNIAM8C was optimized away.
|
||||
Block nCASout.CN was optimized away.
|
||||
Block ram2e_ufm/ufmefb/VCC was optimized away.
|
||||
Block ram2e_ufm/ufmefb/GND was optimized away.
|
||||
|
||||
|
||||
Page 6
|
||||
|
||||
|
||||
|
||||
|
||||
Design: RAM2E Date: 06/07/24 20:50:13
|
||||
Design: RAM2E Date: 07/12/24 16:08:07
|
||||
|
||||
Removed logic (cont)
|
||||
--------------------
|
||||
Block nCASout.CN was optimized away.
|
||||
Block ram2e_ufm/ufmefb/VCC was optimized away.
|
||||
Block ram2e_ufm/ufmefb/GND was optimized away.
|
||||
|
||||
|
||||
|
||||
Embedded Functional Block Connection Summary
|
||||
@ -449,15 +453,77 @@ Instance Name: ram2e_ufm/ufmefb/EFBInst_0
|
||||
Run Time and Memory Usage
|
||||
-------------------------
|
||||
|
||||
Total CPU Time: 0 secs
|
||||
Total REAL Time: 2 secs
|
||||
Total CPU Time: 1 secs
|
||||
Total REAL Time: 12 secs
|
||||
Peak Memory Usage: 64 MB
|
||||
|
||||
Page 7
|
||||
|
||||
|
||||
|
||||
|
||||
Design: RAM2E Date: 07/12/24 16:08:07
|
||||
|
||||
Run Time and Memory Usage (cont)
|
||||
--------------------------------
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
Page 7
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
Page 8
|
||||
|
||||
|
||||
Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved.
|
||||
|
@ -6,7 +6,7 @@ Performance Grade: 4
|
||||
PACKAGE: TQFP100
|
||||
Package Status: Final Version 1.42
|
||||
|
||||
Fri Jun 07 20:50:24 2024
|
||||
Fri Jul 12 16:08:36 2024
|
||||
|
||||
Pinout by Port Name:
|
||||
+-----------+----------+---------------+-------+-----------+-----------+------------------------------------------------------------+
|
||||
@ -311,5 +311,5 @@ Copyright (c) 1995 AT&T Corp. All rights reserved.
|
||||
Copyright (c) 1995-2001 Lucent Technologies Inc. All rights reserved.
|
||||
Copyright (c) 2001 Agere Systems All rights reserved.
|
||||
Copyright (c) 2002-2020 Lattice Semiconductor Corporation, All rights reserved.
|
||||
Fri Jun 07 20:50:28 2024
|
||||
Fri Jul 12 16:08:42 2024
|
||||
|
||||
|
@ -1,5 +1,5 @@
|
||||
SCHEMATIC START ;
|
||||
# map: version Diamond (64-bit) 3.11.3.469 -- WARNING: Map write only section -- Fri Jun 07 20:50:14 2024
|
||||
# map: version Diamond (64-bit) 3.11.3.469 -- WARNING: Map write only section -- Fri Jul 12 16:08:08 2024
|
||||
|
||||
SYSCONFIG SDM_PORT=DISABLE SLAVE_SPI_PORT=DISABLE I2C_PORT=DISABLE MASTER_SPI_PORT=DISABLE COMPRESS_CONFIG=ON CONFIGURATION=CFG MY_ASSP=OFF ONE_TIME_PROGRAM=OFF CONFIG_SECURE=OFF MCCLK_FREQ=2.08 JTAG_PORT=ENABLE ENABLE_TRANSFR=DISABLE SHAREDEBRINIT=DISABLE MUX_CONFIGURATION_PORTS=DISABLE BACKGROUND_RECONFIG=OFF INBUF=ON ;
|
||||
LOCATE COMP "RD[0]" SITE "36" ;
|
||||
|
@ -3,7 +3,7 @@
|
||||
#OS: Windows 8 6.2
|
||||
#Hostname: ZANEMACWIN11
|
||||
|
||||
# Fri Jun 7 20:50:04 2024
|
||||
# Fri Jul 12 16:07:08 2024
|
||||
|
||||
#Implementation: impl1
|
||||
|
||||
@ -52,6 +52,7 @@ Synopsys Verilog Compiler, Version comp2018q2p1, Build 461R, Built Apr 1 2019 0
|
||||
@I::"\\Mac\iCloud\Repos\RAM2E\CPLD\LCMXO2-1200HC\REFB.v" (library work)
|
||||
@I::"\\Mac\iCloud\Repos\RAM2E\CPLD\DHGR-ON.v" (library work)
|
||||
Verilog syntax check successful!
|
||||
File \\Mac\iCloud\Repos\RAM2E\CPLD\RAM2E.v changed - recompiling
|
||||
Selecting top level module RAM2E
|
||||
@N: CG364 :"C:\lscc\diamond\3.11_x64\synpbase\lib\lucent\machxo2.v":1120:7:1120:9|Synthesizing module VHI in library work.
|
||||
Running optimization stage 1 on VHI .......
|
||||
@ -77,12 +78,12 @@ Running optimization stage 2 on EFB .......
|
||||
Running optimization stage 2 on VLO .......
|
||||
Running optimization stage 2 on VHI .......
|
||||
|
||||
At c_ver Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 73MB peak: 74MB)
|
||||
At c_ver Exit (Real Time elapsed 0h:00m:03s; CPU Time elapsed 0h:00m:00s; Memory used current: 73MB peak: 74MB)
|
||||
|
||||
Process took 0h:00m:01s realtime, 0h:00m:01s cputime
|
||||
Process took 0h:00m:03s realtime, 0h:00m:01s cputime
|
||||
|
||||
Process completed successfully.
|
||||
# Fri Jun 7 20:50:05 2024
|
||||
# Fri Jul 12 16:07:14 2024
|
||||
|
||||
###########################################################]
|
||||
|
||||
@ -102,13 +103,14 @@ Implementation : impl1
|
||||
Synopsys Synopsys Netlist Linker, Version comp2018q2p1, Build 461R, Built Apr 1 2019 09:17:43
|
||||
|
||||
@N|Running in 64-bit mode
|
||||
File \\Mac\iCloud\Repos\RAM2E\CPLD\LCMXO2-1200HC\impl1\synwork\layer0.srs changed - recompiling
|
||||
|
||||
At syn_nfilter Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 67MB peak: 68MB)
|
||||
|
||||
Process took 0h:00m:01s realtime, 0h:00m:01s cputime
|
||||
|
||||
Process completed successfully.
|
||||
# Fri Jun 7 20:50:05 2024
|
||||
# Fri Jul 12 16:07:14 2024
|
||||
|
||||
###########################################################]
|
||||
|
||||
@ -118,12 +120,12 @@ For a summary of runtime and memory usage for all design units, please see file:
|
||||
|
||||
@END
|
||||
|
||||
At c_hdl Exit (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:00s; Memory used current: 3MB peak: 4MB)
|
||||
At c_hdl Exit (Real Time elapsed 0h:00m:04s; CPU Time elapsed 0h:00m:00s; Memory used current: 3MB peak: 4MB)
|
||||
|
||||
Process took 0h:00m:01s realtime, 0h:00m:01s cputime
|
||||
Process took 0h:00m:04s realtime, 0h:00m:01s cputime
|
||||
|
||||
Process completed successfully.
|
||||
# Fri Jun 7 20:50:05 2024
|
||||
# Fri Jul 12 16:07:14 2024
|
||||
|
||||
###########################################################]
|
||||
|
||||
@ -143,18 +145,17 @@ Database state : \\Mac\iCloud\Repos\RAM2E\CPLD\LCMXO2-1200HC\impl1\synwork\|impl
|
||||
Synopsys Synopsys Netlist Linker, Version comp2018q2p1, Build 461R, Built Apr 1 2019 09:17:43
|
||||
|
||||
@N|Running in 64-bit mode
|
||||
File \\Mac\iCloud\Repos\RAM2E\CPLD\LCMXO2-1200HC\impl1\synwork\RAM2E_LCMXO2_1200HC_impl1_comp.srs changed - recompiling
|
||||
|
||||
At syn_nfilter Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 68MB peak: 68MB)
|
||||
At syn_nfilter Exit (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:00s; Memory used current: 68MB peak: 68MB)
|
||||
|
||||
Process took 0h:00m:01s realtime, 0h:00m:01s cputime
|
||||
|
||||
Process completed successfully.
|
||||
# Fri Jun 7 20:50:07 2024
|
||||
# Fri Jul 12 16:07:18 2024
|
||||
|
||||
###########################################################]
|
||||
Premap Report
|
||||
|
||||
# Fri Jun 7 20:50:07 2024
|
||||
# Fri Jul 12 16:07:19 2024
|
||||
|
||||
|
||||
Copyright (C) 1994-2018 Synopsys, Inc.
|
||||
@ -183,26 +184,26 @@ Printing clock summary report in "\\Mac\iCloud\Repos\RAM2E\CPLD\LCMXO2-1200HC\i
|
||||
@N: MF248 |Running in 64-bit mode.
|
||||
@N: MF666 |Clock conversion enabled. (Command "set_option -fix_gated_and_generated_clocks 1" in the project file.)
|
||||
|
||||
Design Input Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 100MB peak: 102MB)
|
||||
Design Input Complete (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:00s; Memory used current: 100MB peak: 102MB)
|
||||
|
||||
|
||||
Mapper Initialization Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 100MB peak: 102MB)
|
||||
Mapper Initialization Complete (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:00s; Memory used current: 100MB peak: 102MB)
|
||||
|
||||
|
||||
Start loading timing files (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 112MB peak: 113MB)
|
||||
Start loading timing files (Real Time elapsed 0h:00m:02s; CPU Time elapsed 0h:00m:00s; Memory used current: 112MB peak: 113MB)
|
||||
|
||||
|
||||
Finished loading timing files (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 112MB peak: 115MB)
|
||||
Finished loading timing files (Real Time elapsed 0h:00m:02s; CPU Time elapsed 0h:00m:00s; Memory used current: 112MB peak: 115MB)
|
||||
|
||||
@N: MF284 |Setting synthesis effort to medium for the design
|
||||
@W: FX474 |User-specified initial value defined for some sequential elements which can prevent optimum synthesis results from being achieved.
|
||||
@A: FX681 :"\\mac\icloud\repos\ram2e\cpld\ram2e.v":21:4:21:9|Initial value on register S[3:0] is non-zero which can prevent the register from being packed into a block RAM or DSP.
|
||||
@N: MH105 |UMR3 is only supported for HAPS-80.
|
||||
@N: MH105 |UMR3 is only supported for HAPS-80.
|
||||
@N: BN115 :"\\mac\icloud\repos\ram2e\cpld\ram2e.v":140:9:140:12|Removing instance dhgr (in view: work.RAM2E(verilog)) of type view:work.DHGR(verilog) because it does not drive other instances.
|
||||
@N: BN115 :"\\mac\icloud\repos\ram2e\cpld\ram2e.v":136:9:136:12|Removing instance dhgr (in view: work.RAM2E(verilog)) of type view:work.DHGR(verilog) because it does not drive other instances.
|
||||
syn_allowed_resources : blockrams=7 set on top level netlist RAM2E
|
||||
|
||||
Finished netlist restructuring (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 141MB peak: 143MB)
|
||||
Finished netlist restructuring (Real Time elapsed 0h:00m:03s; CPU Time elapsed 0h:00m:00s; Memory used current: 141MB peak: 143MB)
|
||||
|
||||
|
||||
|
||||
@ -212,7 +213,7 @@ Clock Summary
|
||||
Start Requested Requested Clock Clock Clock
|
||||
Level Clock Frequency Period Type Group Load
|
||||
-----------------------------------------------------------------------------------------------
|
||||
0 - C14M 14.3 MHz 69.841 declared default_clkgroup 121
|
||||
0 - C14M 14.3 MHz 69.841 declared default_clkgroup 120
|
||||
|
||||
0 - System 100.0 MHz 10.000 system system_clkgroup 0
|
||||
|
||||
@ -227,7 +228,7 @@ Clock Load Summary
|
||||
Clock Source Clock Pin Non-clock Pin Non-clock Pin
|
||||
Clock Load Pin Seq Example Seq Example Comb Example
|
||||
--------------------------------------------------------------------------------------------
|
||||
C14M 121 C14M(port) RAT.C - un1_C14M.I[0](inv)
|
||||
C14M 120 C14M(port) RAT.C - un1_C14M.I[0](inv)
|
||||
|
||||
System 0 - - - -
|
||||
|
||||
@ -247,14 +248,14 @@ Number of ICG latches not removed: 0
|
||||
|
||||
#### START OF PREMAP CLOCK OPTIMIZATION REPORT #####[
|
||||
|
||||
2 non-gated/non-generated clock tree(s) driving 125 clock pin(s) of sequential element(s)
|
||||
2 non-gated/non-generated clock tree(s) driving 124 clock pin(s) of sequential element(s)
|
||||
0 gated/generated clock tree(s) driving 0 clock pin(s) of sequential element(s)
|
||||
0 instances converted, 0 sequential instances remain driven by gated/generated clocks
|
||||
|
||||
=========================== Non-Gated/Non-Generated Clocks ============================
|
||||
Clock Tree ID Driving Element Drive Element Type Fanout Sample Instance
|
||||
---------------------------------------------------------------------------------------
|
||||
@KP:ckid0_0 C14M port 121 PHI1r
|
||||
@KP:ckid0_0 C14M port 120 PHI1r
|
||||
@KP:ckid0_1 PHI1 Unconstrained_port 4 RC[2:0]
|
||||
=======================================================================================
|
||||
|
||||
@ -264,27 +265,25 @@ Clock Tree ID Driving Element Drive Element Type Fanout Sample I
|
||||
@N: FX1143 |Skipping assigning INTERNAL_VREF to iobanks, because the table of mapping from pin to iobank is not initialized.
|
||||
Finished Pre Mapping Phase.
|
||||
|
||||
Starting constraint checker (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 141MB peak: 143MB)
|
||||
Starting constraint checker (Real Time elapsed 0h:00m:06s; CPU Time elapsed 0h:00m:00s; Memory used current: 141MB peak: 143MB)
|
||||
|
||||
|
||||
Finished constraint checker preprocessing (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 142MB peak: 143MB)
|
||||
Finished constraint checker preprocessing (Real Time elapsed 0h:00m:07s; CPU Time elapsed 0h:00m:00s; Memory used current: 142MB peak: 143MB)
|
||||
|
||||
None
|
||||
None
|
||||
|
||||
Finished constraint checker (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 142MB peak: 143MB)
|
||||
Finished constraint checker (Real Time elapsed 0h:00m:08s; CPU Time elapsed 0h:00m:00s; Memory used current: 142MB peak: 143MB)
|
||||
|
||||
Pre-mapping successful!
|
||||
|
||||
At Mapper Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 57MB peak: 143MB)
|
||||
At Mapper Exit (Real Time elapsed 0h:00m:08s; CPU Time elapsed 0h:00m:00s; Memory used current: 57MB peak: 143MB)
|
||||
|
||||
Process took 0h:00m:01s realtime, 0h:00m:01s cputime
|
||||
# Fri Jun 7 20:50:08 2024
|
||||
Process took 0h:00m:08s realtime, 0h:00m:01s cputime
|
||||
# Fri Jul 12 16:07:28 2024
|
||||
|
||||
###########################################################]
|
||||
Map & Optimize Report
|
||||
|
||||
# Fri Jun 7 20:50:08 2024
|
||||
# Fri Jul 12 16:07:30 2024
|
||||
|
||||
|
||||
Copyright (C) 1994-2018 Synopsys, Inc.
|
||||
@ -310,21 +309,21 @@ Mapper Startup Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:0
|
||||
@N: MF248 |Running in 64-bit mode.
|
||||
@N: MF666 |Clock conversion enabled. (Command "set_option -fix_gated_and_generated_clocks 1" in the project file.)
|
||||
|
||||
Design Input Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 98MB peak: 100MB)
|
||||
Design Input Complete (Real Time elapsed 0h:00m:02s; CPU Time elapsed 0h:00m:00s; Memory used current: 98MB peak: 100MB)
|
||||
|
||||
|
||||
Mapper Initialization Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 98MB peak: 100MB)
|
||||
Mapper Initialization Complete (Real Time elapsed 0h:00m:02s; CPU Time elapsed 0h:00m:00s; Memory used current: 98MB peak: 100MB)
|
||||
|
||||
|
||||
Start loading timing files (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 111MB peak: 112MB)
|
||||
Start loading timing files (Real Time elapsed 0h:00m:02s; CPU Time elapsed 0h:00m:00s; Memory used current: 111MB peak: 112MB)
|
||||
|
||||
|
||||
Finished loading timing files (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 111MB peak: 114MB)
|
||||
Finished loading timing files (Real Time elapsed 0h:00m:03s; CPU Time elapsed 0h:00m:00s; Memory used current: 111MB peak: 114MB)
|
||||
|
||||
@N: MF284 |Setting synthesis effort to medium for the design
|
||||
|
||||
|
||||
Starting Optimization and Mapping (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 140MB peak: 142MB)
|
||||
Starting Optimization and Mapping (Real Time elapsed 0h:00m:04s; CPU Time elapsed 0h:00m:00s; Memory used current: 140MB peak: 142MB)
|
||||
|
||||
|
||||
Available hyper_sources - for debug and ip models
|
||||
@ -333,61 +332,61 @@ Available hyper_sources - for debug and ip models
|
||||
@N: FX493 |Applying initial value "00000000" on instance RWBank[7:0].
|
||||
@N: FX493 |Applying initial value "0000" on instance S[3:0].
|
||||
|
||||
Finished RTL optimizations (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 141MB peak: 142MB)
|
||||
Finished RTL optimizations (Real Time elapsed 0h:00m:05s; CPU Time elapsed 0h:00m:00s; Memory used current: 141MB peak: 142MB)
|
||||
|
||||
@N: MO231 :"\\mac\icloud\repos\ram2e\cpld\ram2e.v":14:23:14:28|Found counter in view:work.RAM2E(verilog) instance FS[15:0]
|
||||
|
||||
Starting factoring (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 142MB peak: 142MB)
|
||||
Starting factoring (Real Time elapsed 0h:00m:05s; CPU Time elapsed 0h:00m:00s; Memory used current: 142MB peak: 142MB)
|
||||
|
||||
|
||||
Finished factoring (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 145MB peak: 145MB)
|
||||
Finished factoring (Real Time elapsed 0h:00m:06s; CPU Time elapsed 0h:00m:00s; Memory used current: 145MB peak: 145MB)
|
||||
|
||||
|
||||
Finished generic timing optimizations - Pass 1 (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 146MB peak: 146MB)
|
||||
Finished generic timing optimizations - Pass 1 (Real Time elapsed 0h:00m:06s; CPU Time elapsed 0h:00m:01s; Memory used current: 146MB peak: 146MB)
|
||||
|
||||
|
||||
Starting Early Timing Optimization (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 146MB peak: 146MB)
|
||||
Starting Early Timing Optimization (Real Time elapsed 0h:00m:07s; CPU Time elapsed 0h:00m:01s; Memory used current: 146MB peak: 146MB)
|
||||
|
||||
|
||||
Finished Early Timing Optimization (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 146MB peak: 146MB)
|
||||
Finished Early Timing Optimization (Real Time elapsed 0h:00m:07s; CPU Time elapsed 0h:00m:01s; Memory used current: 146MB peak: 146MB)
|
||||
|
||||
|
||||
Finished generic timing optimizations - Pass 2 (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 146MB peak: 146MB)
|
||||
Finished generic timing optimizations - Pass 2 (Real Time elapsed 0h:00m:07s; CPU Time elapsed 0h:00m:01s; Memory used current: 146MB peak: 146MB)
|
||||
|
||||
|
||||
Finished preparing to map (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 146MB peak: 146MB)
|
||||
Finished preparing to map (Real Time elapsed 0h:00m:08s; CPU Time elapsed 0h:00m:01s; Memory used current: 146MB peak: 147MB)
|
||||
|
||||
|
||||
Finished technology mapping (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 157MB peak: 160MB)
|
||||
Finished technology mapping (Real Time elapsed 0h:00m:08s; CPU Time elapsed 0h:00m:01s; Memory used current: 157MB peak: 160MB)
|
||||
|
||||
Pass CPU time Worst Slack Luts / Registers
|
||||
------------------------------------------------------------
|
||||
1 0h:00m:01s 6.90ns 281 / 125
|
||||
1 0h:00m:01s 6.90ns 280 / 124
|
||||
|
||||
Finished technology timing optimizations and critical path resynthesis (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 157MB peak: 160MB)
|
||||
Finished technology timing optimizations and critical path resynthesis (Real Time elapsed 0h:00m:09s; CPU Time elapsed 0h:00m:01s; Memory used current: 157MB peak: 160MB)
|
||||
|
||||
@N: FX164 |The option to pack registers in the IOB has not been specified. Please set syn_useioff attribute.
|
||||
@A: BN291 :"\\mac\icloud\repos\ram2e\cpld\ram2e.v":171:4:171:9|Boundary register BA_1_.fb (in view: work.RAM2E(verilog)) is packed into a complex cell. To disable register packing, set syn_keep=1 on the net between the register and the complex cell.
|
||||
@A: BN291 :"\\mac\icloud\repos\ram2e\cpld\ram2e.v":171:4:171:9|Boundary register BA_0_.fb (in view: work.RAM2E(verilog)) is packed into a complex cell. To disable register packing, set syn_keep=1 on the net between the register and the complex cell.
|
||||
@A: BN291 :"\\mac\icloud\repos\ram2e\cpld\ram2e.v":168:4:168:9|Boundary register BA_1_.fb (in view: work.RAM2E(verilog)) is packed into a complex cell. To disable register packing, set syn_keep=1 on the net between the register and the complex cell.
|
||||
@A: BN291 :"\\mac\icloud\repos\ram2e\cpld\ram2e.v":168:4:168:9|Boundary register BA_0_.fb (in view: work.RAM2E(verilog)) is packed into a complex cell. To disable register packing, set syn_keep=1 on the net between the register and the complex cell.
|
||||
|
||||
Finished restoring hierarchy (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 158MB peak: 160MB)
|
||||
Finished restoring hierarchy (Real Time elapsed 0h:00m:09s; CPU Time elapsed 0h:00m:01s; Memory used current: 158MB peak: 160MB)
|
||||
|
||||
|
||||
Start Writing Netlists (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 122MB peak: 160MB)
|
||||
Start Writing Netlists (Real Time elapsed 0h:00m:10s; CPU Time elapsed 0h:00m:01s; Memory used current: 122MB peak: 160MB)
|
||||
|
||||
Writing Analyst data base \\Mac\iCloud\Repos\RAM2E\CPLD\LCMXO2-1200HC\impl1\synwork\RAM2E_LCMXO2_1200HC_impl1_m.srm
|
||||
|
||||
Finished Writing Netlist Databases (Real Time elapsed 0h:00m:02s; CPU Time elapsed 0h:00m:01s; Memory used current: 158MB peak: 160MB)
|
||||
Finished Writing Netlist Databases (Real Time elapsed 0h:00m:12s; CPU Time elapsed 0h:00m:02s; Memory used current: 157MB peak: 160MB)
|
||||
|
||||
Writing EDIF Netlist and constraint files
|
||||
@N: FX1056 |Writing EDF file: \\Mac\iCloud\Repos\RAM2E\CPLD\LCMXO2-1200HC\impl1\RAM2E_LCMXO2_1200HC_impl1.edi
|
||||
N-2018.03L-SP1-1
|
||||
@N: BW106 |Synplicity Constraint File capacitance units using default value of 1pF
|
||||
|
||||
Finished Writing EDIF Netlist and constraint files (Real Time elapsed 0h:00m:02s; CPU Time elapsed 0h:00m:02s; Memory used current: 162MB peak: 164MB)
|
||||
Finished Writing EDIF Netlist and constraint files (Real Time elapsed 0h:00m:14s; CPU Time elapsed 0h:00m:03s; Memory used current: 161MB peak: 163MB)
|
||||
|
||||
|
||||
Start final timing analysis (Real Time elapsed 0h:00m:03s; CPU Time elapsed 0h:00m:02s; Memory used current: 161MB peak: 164MB)
|
||||
Start final timing analysis (Real Time elapsed 0h:00m:15s; CPU Time elapsed 0h:00m:03s; Memory used current: 160MB peak: 163MB)
|
||||
|
||||
@W: MT246 :"\\mac\icloud\repos\ram2e\cpld\lcmxo2-1200hc\refb.v":78:8:78:16|Blackbox EFB is missing a user supplied timing model. This may have a negative effect on timing analysis and optimizations (Quality of Results)
|
||||
@N: MT615 |Found clock C14M with period 69.84ns
|
||||
@ -395,7 +394,7 @@ Start final timing analysis (Real Time elapsed 0h:00m:03s; CPU Time elapsed 0h:0
|
||||
|
||||
|
||||
##### START OF TIMING REPORT #####[
|
||||
# Timing Report written on Fri Jun 7 20:50:12 2024
|
||||
# Timing Report written on Fri Jul 12 16:07:46 2024
|
||||
#
|
||||
|
||||
|
||||
@ -420,9 +419,9 @@ Worst slack in design: 6.897
|
||||
Requested Estimated Requested Estimated Clock Clock
|
||||
Starting Clock Frequency Frequency Period Period Slack Type Group
|
||||
----------------------------------------------------------------------------------------------------------------------
|
||||
C14M 14.3 MHz 112.1 MHz 69.841 8.918 31.599 declared default_clkgroup
|
||||
C14M 14.3 MHz 120.3 MHz 69.841 8.314 31.675 declared default_clkgroup
|
||||
RAM2E|PHI1 100.0 MHz 322.2 MHz 10.000 3.103 6.897 inferred Inferred_clkgroup_0
|
||||
System 100.0 MHz NA 10.000 NA 67.088 system system_clkgroup
|
||||
System 100.0 MHz NA 10.000 NA 66.719 system system_clkgroup
|
||||
======================================================================================================================
|
||||
Estimated period and frequency reported as NA means no slack depends directly on the clock waveform
|
||||
|
||||
@ -437,9 +436,9 @@ Clocks | rise to rise | fall to fall | rise
|
||||
----------------------------------------------------------------------------------------------------------------
|
||||
Starting Ending | constraint slack | constraint slack | constraint slack | constraint slack
|
||||
----------------------------------------------------------------------------------------------------------------
|
||||
System C14M | 69.841 67.088 | No paths - | No paths - | No paths -
|
||||
System C14M | 69.841 66.719 | No paths - | No paths - | No paths -
|
||||
C14M System | 69.841 68.797 | No paths - | No paths - | No paths -
|
||||
C14M C14M | 69.841 60.923 | No paths - | 34.920 31.599 | No paths -
|
||||
C14M C14M | 69.841 61.527 | No paths - | 34.920 31.675 | No paths -
|
||||
RAM2E|PHI1 C14M | No paths - | No paths - | No paths - | Diff grp -
|
||||
RAM2E|PHI1 RAM2E|PHI1 | No paths - | 10.000 6.897 | No paths - | No paths -
|
||||
================================================================================================================
|
||||
@ -468,10 +467,10 @@ Starting Points with Worst Slack
|
||||
Instance Reference Type Pin Net Time Slack
|
||||
Clock
|
||||
---------------------------------------------------------------------------
|
||||
S[2] C14M FD1S3AX Q S[2] 1.366 31.599
|
||||
S[3] C14M FD1S3AX Q S[3] 1.345 31.619
|
||||
S[1] C14M FD1S3AX Q S[1] 1.344 31.800
|
||||
S[0] C14M FD1S3AX Q S[0] 1.305 31.838
|
||||
S[2] C14M FD1S3AX Q S[2] 1.353 31.675
|
||||
S[3] C14M FD1S3AX Q S[3] 1.337 31.691
|
||||
S[0] C14M FD1S3AX Q S[0] 1.319 32.182
|
||||
S[1] C14M FD1S3AX Q S[1] 1.344 32.656
|
||||
RA[0] C14M FD1P3AX Q RA[0] 1.108 33.707
|
||||
RA[3] C14M FD1P3AX Q RA[3] 1.108 33.707
|
||||
RA[1] C14M FD1P3AX Q RA[1] 1.044 33.771
|
||||
@ -484,21 +483,21 @@ RA[5] C14M FD1P3AX Q RA[5] 1.044 33.771
|
||||
Ending Points with Worst Slack
|
||||
******************************
|
||||
|
||||
Starting Required
|
||||
Instance Reference Type Pin Net Time Slack
|
||||
Clock
|
||||
-----------------------------------------------------------------------------------
|
||||
VOE_i_0io C14M OFS1P3IX CD N_530 34.118 31.599
|
||||
VOE_i_0io C14M OFS1P3IX D VOE_ic_i 35.009 31.800
|
||||
Vout_0io[0] C14M OFS1P3DX SP Vout3 34.449 32.634
|
||||
Vout_0io[1] C14M OFS1P3DX SP Vout3 34.449 32.634
|
||||
Vout_0io[2] C14M OFS1P3DX SP Vout3 34.449 32.634
|
||||
Vout_0io[3] C14M OFS1P3DX SP Vout3 34.449 32.634
|
||||
Vout_0io[4] C14M OFS1P3DX SP Vout3 34.449 32.634
|
||||
Vout_0io[5] C14M OFS1P3DX SP Vout3 34.449 32.634
|
||||
Vout_0io[6] C14M OFS1P3DX SP Vout3 34.449 32.634
|
||||
Vout_0io[7] C14M OFS1P3DX SP Vout3 34.449 32.634
|
||||
===================================================================================
|
||||
Starting Required
|
||||
Instance Reference Type Pin Net Time Slack
|
||||
Clock
|
||||
----------------------------------------------------------------------------------
|
||||
VOE_i_0io C14M OFS1P3IX CD N_523_1 34.118 31.675
|
||||
RAT C14M FD1S3IX CD RATc_i 34.118 32.147
|
||||
Vout_0io[0] C14M OFS1P3DX SP Vout3 34.449 32.647
|
||||
Vout_0io[1] C14M OFS1P3DX SP Vout3 34.449 32.647
|
||||
Vout_0io[2] C14M OFS1P3DX SP Vout3 34.449 32.647
|
||||
Vout_0io[3] C14M OFS1P3DX SP Vout3 34.449 32.647
|
||||
Vout_0io[4] C14M OFS1P3DX SP Vout3 34.449 32.647
|
||||
Vout_0io[5] C14M OFS1P3DX SP Vout3 34.449 32.647
|
||||
Vout_0io[6] C14M OFS1P3DX SP Vout3 34.449 32.647
|
||||
Vout_0io[7] C14M OFS1P3DX SP Vout3 34.449 32.647
|
||||
==================================================================================
|
||||
|
||||
|
||||
|
||||
@ -512,9 +511,9 @@ Path information for path number 1:
|
||||
+ Clock delay at ending point: 0.000 (ideal)
|
||||
= Required time: 34.118
|
||||
|
||||
- Propagation time: 2.519
|
||||
- Propagation time: 2.442
|
||||
- Clock delay at starting point: 0.000 (ideal)
|
||||
= Slack (non-critical) : 31.599
|
||||
= Slack (non-critical) : 31.675
|
||||
|
||||
Number of logic level(s): 1
|
||||
Starting point: S[2] / Q
|
||||
@ -522,16 +521,16 @@ Path information for path number 1:
|
||||
The start point is clocked by C14M [rising] on pin CK
|
||||
The end point is clocked by C14M [falling] on pin SCLK
|
||||
|
||||
Instance / Net Pin Pin Arrival No. of
|
||||
Name Type Name Dir Delay Time Fan Out(s)
|
||||
------------------------------------------------------------------------------------------------------------
|
||||
S[2] FD1S3AX Q Out 1.366 1.366 -
|
||||
S[2] Net - - - - 58
|
||||
ram2e_ufm.un1_wb_adr_0_sqmuxa_2_0_a2_0[0] ORCALUT4 A In 0.000 1.366 -
|
||||
ram2e_ufm.un1_wb_adr_0_sqmuxa_2_0_a2_0[0] ORCALUT4 Z Out 1.153 2.519 -
|
||||
N_530 Net - - - - 3
|
||||
VOE_i_0io OFS1P3IX CD In 0.000 2.519 -
|
||||
============================================================================================================
|
||||
Instance / Net Pin Pin Arrival No. of
|
||||
Name Type Name Dir Delay Time Fan Out(s)
|
||||
---------------------------------------------------------------------------------------
|
||||
S[2] FD1S3AX Q Out 1.353 1.353 -
|
||||
S[2] Net - - - - 50
|
||||
ram2e_ufm.VOE_2_0_a2 ORCALUT4 A In 0.000 1.353 -
|
||||
ram2e_ufm.VOE_2_0_a2 ORCALUT4 Z Out 1.089 2.442 -
|
||||
N_523_1 Net - - - - 2
|
||||
VOE_i_0io OFS1P3IX CD In 0.000 2.442 -
|
||||
=======================================================================================
|
||||
|
||||
|
||||
|
||||
@ -620,7 +619,7 @@ Starting Points with Worst Slack
|
||||
Instance Reference Type Pin Net Time Slack
|
||||
Clock
|
||||
---------------------------------------------------------------------------------------------------
|
||||
ram2e_ufm.ufmefb.EFBInst_0 System EFB WBACKO wb_ack 0.000 67.088
|
||||
ram2e_ufm.ufmefb.EFBInst_0 System EFB WBACKO wb_ack 0.000 66.719
|
||||
ram2e_ufm.ufmefb.EFBInst_0 System EFB WBDATO0 wb_dato[0] 0.000 69.313
|
||||
ram2e_ufm.ufmefb.EFBInst_0 System EFB WBDATO1 wb_dato[1] 0.000 69.313
|
||||
ram2e_ufm.ufmefb.EFBInst_0 System EFB WBDATO2 wb_dato[2] 0.000 69.313
|
||||
@ -635,21 +634,21 @@ ram2e_ufm.ufmefb.EFBInst_0 System EFB WBDATO7 wb_dato[7]
|
||||
Ending Points with Worst Slack
|
||||
******************************
|
||||
|
||||
Starting Required
|
||||
Instance Reference Type Pin Net Time Slack
|
||||
Clock
|
||||
----------------------------------------------------------------------------------------
|
||||
ram2e_ufm.RWMask[0] System FD1P3AX SP N_104 69.369 67.088
|
||||
ram2e_ufm.RWMask[1] System FD1P3AX SP N_104 69.369 67.088
|
||||
ram2e_ufm.RWMask[2] System FD1P3AX SP N_104 69.369 67.088
|
||||
ram2e_ufm.RWMask[3] System FD1P3AX SP N_104 69.369 67.088
|
||||
ram2e_ufm.RWMask[4] System FD1P3AX SP N_104 69.369 67.088
|
||||
ram2e_ufm.RWMask[5] System FD1P3AX SP N_104 69.369 67.088
|
||||
ram2e_ufm.RWMask[6] System FD1P3AX SP N_104 69.369 67.088
|
||||
ram2e_ufm.RWMask[7] System FD1P3AX SP N_104 69.369 67.088
|
||||
ram2e_ufm.LEDEN System FD1P3AX SP N_98 69.369 67.736
|
||||
ram2e_ufm.wb_cyc_stb System FD1P3AX SP N_111 69.369 67.736
|
||||
========================================================================================
|
||||
Starting Required
|
||||
Instance Reference Type Pin Net Time Slack
|
||||
Clock
|
||||
---------------------------------------------------------------------------------------------------------------
|
||||
ram2e_ufm.wb_cyc_stb System FD1P3AX SP un1_CmdBitbangMXO212_1_i[0] 69.369 66.719
|
||||
ram2e_ufm.LEDEN System FD1P3AX SP un1_LEDEN_0_sqmuxa_1_i_0[0] 69.369 67.736
|
||||
ram2e_ufm.RWMask[0] System FD1P3AX SP un1_RWMask_0_sqmuxa_1_i_0[0] 69.369 68.105
|
||||
ram2e_ufm.RWMask[1] System FD1P3AX SP un1_RWMask_0_sqmuxa_1_i_0[0] 69.369 68.105
|
||||
ram2e_ufm.RWMask[2] System FD1P3AX SP un1_RWMask_0_sqmuxa_1_i_0[0] 69.369 68.105
|
||||
ram2e_ufm.RWMask[3] System FD1P3AX SP un1_RWMask_0_sqmuxa_1_i_0[0] 69.369 68.105
|
||||
ram2e_ufm.RWMask[4] System FD1P3AX SP un1_RWMask_0_sqmuxa_1_i_0[0] 69.369 68.105
|
||||
ram2e_ufm.RWMask[5] System FD1P3AX SP un1_RWMask_0_sqmuxa_1_i_0[0] 69.369 68.105
|
||||
ram2e_ufm.RWMask[6] System FD1P3AX SP un1_RWMask_0_sqmuxa_1_i_0[0] 69.369 68.105
|
||||
ram2e_ufm.RWMask[7] System FD1P3AX SP un1_RWMask_0_sqmuxa_1_i_0[0] 69.369 68.105
|
||||
===============================================================================================================
|
||||
|
||||
|
||||
|
||||
@ -663,30 +662,33 @@ Path information for path number 1:
|
||||
+ Clock delay at ending point: 0.000 (ideal)
|
||||
= Required time: 69.369
|
||||
|
||||
- Propagation time: 2.282
|
||||
- Propagation time: 2.650
|
||||
- Clock delay at starting point: 0.000 (ideal)
|
||||
- Estimated clock delay at start point: -0.000
|
||||
= Slack (non-critical) : 67.088
|
||||
= Slack (non-critical) : 66.719
|
||||
|
||||
Number of logic level(s): 2
|
||||
Number of logic level(s): 3
|
||||
Starting point: ram2e_ufm.ufmefb.EFBInst_0 / WBACKO
|
||||
Ending point: ram2e_ufm.RWMask[0] / SP
|
||||
Ending point: ram2e_ufm.wb_cyc_stb / SP
|
||||
The start point is clocked by System [rising]
|
||||
The end point is clocked by C14M [rising] on pin CK
|
||||
|
||||
Instance / Net Pin Pin Arrival No. of
|
||||
Name Type Name Dir Delay Time Fan Out(s)
|
||||
----------------------------------------------------------------------------------------------------------------
|
||||
ram2e_ufm.ufmefb.EFBInst_0 EFB WBACKO Out 0.000 0.000 -
|
||||
wb_ack Net - - - - 5
|
||||
ram2e_ufm.un1_RWMask_0_sqmuxa_1_i_a2_0_0[0] ORCALUT4 B In 0.000 0.000 -
|
||||
ram2e_ufm.un1_RWMask_0_sqmuxa_1_i_a2_0_0[0] ORCALUT4 Z Out 1.017 1.017 -
|
||||
un1_RWMask_0_sqmuxa_1_i_a2_0_0[0] Net - - - - 1
|
||||
ram2e_ufm.un1_RWMask_0_sqmuxa_1_i_0[0] ORCALUT4 D In 0.000 1.017 -
|
||||
ram2e_ufm.un1_RWMask_0_sqmuxa_1_i_0[0] ORCALUT4 Z Out 1.265 2.282 -
|
||||
N_104 Net - - - - 8
|
||||
ram2e_ufm.RWMask[0] FD1P3AX SP In 0.000 2.282 -
|
||||
================================================================================================================
|
||||
Instance / Net Pin Pin Arrival No. of
|
||||
Name Type Name Dir Delay Time Fan Out(s)
|
||||
---------------------------------------------------------------------------------------------------------------
|
||||
ram2e_ufm.ufmefb.EFBInst_0 EFB WBACKO Out 0.000 0.000 -
|
||||
wb_ack Net - - - - 4
|
||||
ram2e_ufm.un1_CmdBitbangMXO212_1_0_0_tz[0] ORCALUT4 B In 0.000 0.000 -
|
||||
ram2e_ufm.un1_CmdBitbangMXO212_1_0_0_tz[0] ORCALUT4 Z Out 1.017 1.017 -
|
||||
un1_CmdBitbangMXO212_1_0_0_tz[0] Net - - - - 1
|
||||
ram2e_ufm.un1_CmdBitbangMXO212_1_0_0_0[0] ORCALUT4 C In 0.000 1.017 -
|
||||
ram2e_ufm.un1_CmdBitbangMXO212_1_0_0_0[0] ORCALUT4 Z Out 1.017 2.034 -
|
||||
un1_CmdBitbangMXO212_1_0_0_0[0] Net - - - - 1
|
||||
ram2e_ufm.wb_cyc_stb_RNO_0 ORCALUT4 A In 0.000 2.034 -
|
||||
ram2e_ufm.wb_cyc_stb_RNO_0 ORCALUT4 Z Out 0.617 2.650 -
|
||||
un1_CmdBitbangMXO212_1_i[0] Net - - - - 1
|
||||
ram2e_ufm.wb_cyc_stb FD1P3AX SP In 0.000 2.650 -
|
||||
===============================================================================================================
|
||||
|
||||
|
||||
|
||||
@ -695,16 +697,16 @@ ram2e_ufm.RWMask[0] FD1P3AX SP In
|
||||
Timing exceptions that could not be applied
|
||||
None
|
||||
|
||||
Finished final timing analysis (Real Time elapsed 0h:00m:03s; CPU Time elapsed 0h:00m:02s; Memory used current: 161MB peak: 164MB)
|
||||
Finished final timing analysis (Real Time elapsed 0h:00m:16s; CPU Time elapsed 0h:00m:03s; Memory used current: 161MB peak: 163MB)
|
||||
|
||||
|
||||
Finished timing report (Real Time elapsed 0h:00m:03s; CPU Time elapsed 0h:00m:02s; Memory used current: 161MB peak: 164MB)
|
||||
Finished timing report (Real Time elapsed 0h:00m:16s; CPU Time elapsed 0h:00m:03s; Memory used current: 161MB peak: 163MB)
|
||||
|
||||
---------------------------------------
|
||||
Resource Usage Report
|
||||
Part: lcmxo2_1200hc-4
|
||||
|
||||
Register bits: 125 of 1280 (10%)
|
||||
Register bits: 124 of 1280 (10%)
|
||||
PIC Latch: 0
|
||||
I/O cells: 70
|
||||
|
||||
@ -713,7 +715,7 @@ Details:
|
||||
BB: 8
|
||||
CCU2D: 9
|
||||
EFB: 1
|
||||
FD1P3AX: 58
|
||||
FD1P3AX: 57
|
||||
FD1P3IX: 1
|
||||
FD1S3AX: 31
|
||||
FD1S3AY: 4
|
||||
@ -726,15 +728,16 @@ OB: 41
|
||||
OFS1P3BX: 6
|
||||
OFS1P3DX: 12
|
||||
OFS1P3IX: 3
|
||||
ORCALUT4: 275
|
||||
ORCALUT4: 268
|
||||
PFUMX: 10
|
||||
PUR: 1
|
||||
VHI: 3
|
||||
VLO: 3
|
||||
Mapper successful!
|
||||
|
||||
At Mapper Exit (Real Time elapsed 0h:00m:03s; CPU Time elapsed 0h:00m:02s; Memory used current: 33MB peak: 164MB)
|
||||
At Mapper Exit (Real Time elapsed 0h:00m:16s; CPU Time elapsed 0h:00m:03s; Memory used current: 33MB peak: 163MB)
|
||||
|
||||
Process took 0h:00m:03s realtime, 0h:00m:02s cputime
|
||||
# Fri Jun 7 20:50:12 2024
|
||||
Process took 0h:00m:16s realtime, 0h:00m:03s cputime
|
||||
# Fri Jul 12 16:07:48 2024
|
||||
|
||||
###########################################################]
|
||||
|
152
CPLD/LCMXO2-1200HC/impl1/RAM2E_LCMXO2_1200HC_impl1_bgn.html
Normal file
152
CPLD/LCMXO2-1200HC/impl1/RAM2E_LCMXO2_1200HC_impl1_bgn.html
Normal file
@ -0,0 +1,152 @@
|
||||
<HTML>
|
||||
<HEAD><TITLE>Bitgen Report</TITLE>
|
||||
<STYLE TYPE="text/css">
|
||||
<!--
|
||||
body,pre{
font-family:'Courier New', monospace;
color: #000000;
font-size:88%;
background-color: #ffffff;
}
h1 {
font-weight: bold;
margin-top: 24px;
margin-bottom: 10px;
border-bottom: 3px solid #000; font-size: 1em;
}
h2 {
font-weight: bold;
margin-top: 18px;
margin-bottom: 5px;
font-size: 0.90em;
}
h3 {
font-weight: bold;
margin-top: 12px;
margin-bottom: 5px;
font-size: 0.80em;
}
p {
font-size:78%;
}
P.Table {
margin-top: 4px;
margin-bottom: 4px;
margin-right: 4px;
margin-left: 4px;
}
table
{
border-width: 1px 1px 1px 1px;
border-style: solid solid solid solid;
border-color: black black black black;
border-collapse: collapse;
}
th {
font-weight:bold;
padding: 4px;
border-width: 1px 1px 1px 1px;
border-style: solid solid solid solid;
border-color: black black black black;
vertical-align:top;
text-align:left;
font-size:78%;
}
td {
padding: 4px;
border-width: 1px 1px 1px 1px;
border-style: solid solid solid solid;
border-color: black black black black;
vertical-align:top;
font-size:78%;
}
a {
color:#013C9A;
text-decoration:none;
}
a:visited {
color:#013C9A;
}
a:hover, a:active {
text-decoration:underline;
color:#5BAFD4;
}
.pass
{
background-color: #00ff00;
}
.fail
{
background-color: #ff0000;
}
.comment
{
font-size: 90%;
font-style: italic;
}
|
||||
-->
|
||||
</STYLE>
|
||||
</HEAD>
|
||||
<PRE><A name="Bgn"></A>BITGEN: Bitstream Generator Diamond (64-bit) 3.11.3.469
|
||||
Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved.
|
||||
Copyright (c) 1995 AT&T Corp. All rights reserved.
|
||||
Copyright (c) 1995-2001 Lucent Technologies Inc. All rights reserved.
|
||||
Copyright (c) 2001 Agere Systems All rights reserved.
|
||||
Copyright (c) 2002-2020 Lattice Semiconductor Corporation, All rights reserved.
|
||||
Fri Jun 07 20:50:31 2024
|
||||
|
||||
|
||||
Command: bitgen -g RamCfg:Reset -path //Mac/iCloud/Repos/RAM2E/CPLD/LCMXO2-1200HC -w -jedec -gui RAM2E_LCMXO2_1200HC_impl1.ncd RAM2E_LCMXO2_1200HC_impl1.prf
|
||||
|
||||
Loading design for application Bitgen from file RAM2E_LCMXO2_1200HC_impl1.ncd.
|
||||
Design name: RAM2E
|
||||
NCD version: 3.3
|
||||
Vendor: LATTICE
|
||||
Device: LCMXO2-1200HC
|
||||
Package: TQFP100
|
||||
Performance: 4
|
||||
Loading device for application Bitgen from file 'xo2c1200.nph' in environment: C:/lscc/diamond/3.11_x64/ispfpga.
|
||||
Package Status: Final Version 1.42.
|
||||
Performance Hardware Data Status: Final Version 34.4.
|
||||
|
||||
Running DRC.
|
||||
DRC detected 0 errors and 0 warnings.
|
||||
Reading Preference File from RAM2E_LCMXO2_1200HC_impl1.prf.
|
||||
|
||||
<A name="bgn_ps"></A>
|
||||
<B><U><big>Preference Summary:</big></U></B>
|
||||
|
||||
+---------------------------------+---------------------------------+
|
||||
| Preference | Current Setting |
|
||||
+---------------------------------+---------------------------------+
|
||||
| RamCfg | Reset** |
|
||||
+---------------------------------+---------------------------------+
|
||||
| MCCLK_FREQ | 2.08** |
|
||||
+---------------------------------+---------------------------------+
|
||||
| CONFIG_SECURE | OFF** |
|
||||
+---------------------------------+---------------------------------+
|
||||
| INBUF | ON** |
|
||||
+---------------------------------+---------------------------------+
|
||||
| JTAG_PORT | ENABLE** |
|
||||
+---------------------------------+---------------------------------+
|
||||
| SDM_PORT | DISABLE** |
|
||||
+---------------------------------+---------------------------------+
|
||||
| SLAVE_SPI_PORT | DISABLE** |
|
||||
+---------------------------------+---------------------------------+
|
||||
| MASTER_SPI_PORT | DISABLE** |
|
||||
+---------------------------------+---------------------------------+
|
||||
| I2C_PORT | DISABLE** |
|
||||
+---------------------------------+---------------------------------+
|
||||
| MUX_CONFIGURATION_PORTS | DISABLE** |
|
||||
+---------------------------------+---------------------------------+
|
||||
| CONFIGURATION | CFG** |
|
||||
+---------------------------------+---------------------------------+
|
||||
| COMPRESS_CONFIG | ON** |
|
||||
+---------------------------------+---------------------------------+
|
||||
| MY_ASSP | OFF** |
|
||||
+---------------------------------+---------------------------------+
|
||||
| ONE_TIME_PROGRAM | OFF** |
|
||||
+---------------------------------+---------------------------------+
|
||||
| ENABLE_TRANSFR | DISABLE** |
|
||||
+---------------------------------+---------------------------------+
|
||||
| SHAREDEBRINIT | DISABLE** |
|
||||
+---------------------------------+---------------------------------+
|
||||
| BACKGROUND_RECONFIG | OFF** |
|
||||
+---------------------------------+---------------------------------+
|
||||
* Default setting.
|
||||
** The specified setting matches the default setting.
|
||||
|
||||
|
||||
Creating bit map...
|
||||
|
||||
Bitstream Status: Final Version 1.95.
|
||||
|
||||
Saving bit stream in "RAM2E_LCMXO2_1200HC_impl1.jed".
|
||||
|
||||
===========
|
||||
UFM Summary.
|
||||
===========
|
||||
UFM Size: 511 Pages (128*511 Bits).
|
||||
UFM Utilization: General Purpose Flash Memory.
|
||||
|
||||
Available General Purpose Flash Memory: 511 Pages (Page 0 to Page 510).
|
||||
Initialized UFM Pages: 321 Pages (Page 190 to Page 510).
|
||||
|
||||
Total CPU Time: 3 secs
|
||||
Total REAL Time: 4 secs
|
||||
Peak Memory Usage: 275 MB
|
||||
|
||||
|
||||
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
</PRE></FONT>
|
||||
</BODY>
|
||||
</HTML>
|
@ -13,7 +13,7 @@ Hostname: ZANEMACWIN11
|
||||
|
||||
Implementation : impl1
|
||||
|
||||
# Written on Fri Jun 7 20:50:08 2024
|
||||
# Written on Fri Jul 12 16:07:27 2024
|
||||
|
||||
##### DESIGN INFO #######################################################
|
||||
|
||||
|
@ -17,24 +17,25 @@ Command line: map -a MachXO2 -p LCMXO2-1200HC -t TQFP100 -s 4 -oc Commercial
|
||||
RAM2E_LCMXO2_1200HC_impl1.ngd -o RAM2E_LCMXO2_1200HC_impl1_map.ncd -pr
|
||||
RAM2E_LCMXO2_1200HC_impl1.prf -mp RAM2E_LCMXO2_1200HC_impl1.mrp -lpf //Mac/
|
||||
iCloud/Repos/RAM2E/CPLD/LCMXO2-1200HC/impl1/RAM2E_LCMXO2_1200HC_impl1_synpl
|
||||
ify.lpf -lpf //Mac/iCloud/Repos/RAM2E/CPLD/RAM2E-LCMXO2.lpf -c 0 -gui
|
||||
ify.lpf -lpf //Mac/iCloud/Repos/RAM2E/CPLD/RAM2E-LCMXO2.lpf -c 0 -gui
|
||||
-msgset //Mac/iCloud/Repos/RAM2E/CPLD/LCMXO2-1200HC/promote.xml
|
||||
Target Vendor: LATTICE
|
||||
Target Device: LCMXO2-1200HCTQFP100
|
||||
Target Performance: 4
|
||||
Mapper: xo2c00, version: Diamond (64-bit) 3.11.3.469
|
||||
Mapped on: 06/07/24 20:50:13
|
||||
Mapped on: 07/12/24 16:08:07
|
||||
|
||||
|
||||
<A name="mrp_ds"></A><B><U><big>Design Summary</big></U></B>
|
||||
Number of registers: 125 out of 1520 (8%)
|
||||
PFU registers: 103 out of 1280 (8%)
|
||||
Number of registers: 124 out of 1520 (8%)
|
||||
PFU registers: 102 out of 1280 (8%)
|
||||
PIO registers: 22 out of 240 (9%)
|
||||
Number of SLICEs: 148 out of 640 (23%)
|
||||
SLICEs as Logic/ROM: 148 out of 640 (23%)
|
||||
Number of SLICEs: 145 out of 640 (23%)
|
||||
SLICEs as Logic/ROM: 145 out of 640 (23%)
|
||||
SLICEs as RAM: 0 out of 480 (0%)
|
||||
SLICEs as Carry: 9 out of 640 (1%)
|
||||
Number of LUT4s: 295 out of 1280 (23%)
|
||||
Number used as logic LUTs: 277
|
||||
Number of LUT4s: 288 out of 1280 (23%)
|
||||
Number used as logic LUTs: 270
|
||||
Number used as distributed RAM: 0
|
||||
Number used as ripple logic: 18
|
||||
Number used as shift registers: 0
|
||||
@ -64,44 +65,45 @@ Mapped on: 06/07/24 20:50:13
|
||||
2. Number of logic LUT4s does not include count of distributed RAM and
|
||||
ripple logic.
|
||||
Number of clocks: 2
|
||||
Net C14M_c: 85 loads, 63 rising, 22 falling (Driver: PIO C14M )
|
||||
Net C14M_c: 84 loads, 62 rising, 22 falling (Driver: PIO C14M )
|
||||
Net PHI1_c: 3 loads, 0 rising, 3 falling (Driver: PIO PHI1 )
|
||||
Number of Clock Enables: 13
|
||||
|
||||
Net N_117_i: 2 loads, 0 LSLICEs
|
||||
Net RWBank14: 11 loads, 11 LSLICEs
|
||||
Number of Clock Enables: 13
|
||||
Net N_347_i: 2 loads, 0 LSLICEs
|
||||
Net RWBank14: 10 loads, 10 LSLICEs
|
||||
Net ram2e_ufm/wb_adr_0_sqmuxa_1_i: 1 loads, 1 LSLICEs
|
||||
Net un9_VOE_0_a2_0_a2: 1 loads, 1 LSLICEs
|
||||
Net un6_DOEEN_0_a2_0_a2: 2 loads, 2 LSLICEs
|
||||
Net ram2e_ufm/un1_wb_adr_0_sqmuxa_2_i[0]: 8 loads, 8 LSLICEs
|
||||
Net ram2e_ufm/un1_wb_cyc_stb_0_sqmuxa_1_i[0]: 1 loads, 1 LSLICEs
|
||||
Net ram2e_ufm/N_111: 1 loads, 1 LSLICEs
|
||||
Net ram2e_ufm/N_104: 4 loads, 4 LSLICEs
|
||||
Net ram2e_ufm/N_98: 1 loads, 1 LSLICEs
|
||||
Net un1_CKE48_0_i: 6 loads, 6 LSLICEs
|
||||
Net N_389_i: 2 loads, 0 LSLICEs
|
||||
Net ram2e_ufm/N_63: 1 loads, 1 LSLICEs
|
||||
Net ram2e_ufm/un1_wb_adr_0_sqmuxa_2_i_0[0]: 8 loads, 8 LSLICEs
|
||||
Net ram2e_ufm/un1_CmdBitbangMXO212_1_i[0]: 1 loads, 1 LSLICEs
|
||||
Net ram2e_ufm/un1_RWMask_0_sqmuxa_1_i_0[0]: 4 loads, 4 LSLICEs
|
||||
Net ram2e_ufm/un1_LEDEN_0_sqmuxa_1_i_0[0]: 1 loads, 1 LSLICEs
|
||||
Net un9_VOE_0_a2: 1 loads, 1 LSLICEs
|
||||
Net un1_CKE48_i: 6 loads, 6 LSLICEs
|
||||
Net N_346_i: 2 loads, 0 LSLICEs
|
||||
Net Vout3: 8 loads, 0 LSLICEs
|
||||
Number of LSRs: 8
|
||||
Net N_148: 2 loads, 2 LSLICEs
|
||||
Net N_430_i: 2 loads, 0 LSLICEs
|
||||
Number of LSRs: 9
|
||||
Net un1_CS_0_sqmuxa_i: 2 loads, 2 LSLICEs
|
||||
Net ram2e_ufm.wb_rst13: 2 loads, 0 LSLICEs
|
||||
Net RC7: 2 loads, 2 LSLICEs
|
||||
Net S[2]: 2 loads, 2 LSLICEs
|
||||
Net S[1]: 1 loads, 1 LSLICEs
|
||||
Net ram2e_ufm/wb_rst: 1 loads, 0 LSLICEs
|
||||
Net ram2e_ufm/nRWE_0_i_o3_RNIP8E61: 1 loads, 1 LSLICEs
|
||||
Net N_530: 1 loads, 0 LSLICEs
|
||||
Net N_301_i: 1 loads, 1 LSLICEs
|
||||
Net ram2e_ufm/wb_rst6_i: 1 loads, 1 LSLICEs
|
||||
Net N_523_1: 1 loads, 0 LSLICEs
|
||||
Net N_727_0: 1 loads, 1 LSLICEs
|
||||
Net RATc_i: 1 loads, 1 LSLICEs
|
||||
Number of nets driven by tri-state buffers: 0
|
||||
Top 10 highest fanout non-clock nets:
|
||||
Net S[2]: 60 loads
|
||||
Net S[3]: 48 loads
|
||||
Net S[1]: 46 loads
|
||||
Net S[0]: 31 loads
|
||||
Net FS[11]: 24 loads
|
||||
Net FS[10]: 23 loads
|
||||
Net FS[12]: 23 loads
|
||||
Net FS[9]: 23 loads
|
||||
Net FS[13]: 21 loads
|
||||
Net FS[8]: 18 loads
|
||||
Net S[2]: 51 loads
|
||||
Net S[1]: 44 loads
|
||||
Net S[3]: 40 loads
|
||||
Net S[0]: 32 loads
|
||||
Net FS[8]: 29 loads
|
||||
Net FS[9]: 26 loads
|
||||
Net FS[10]: 25 loads
|
||||
Net FS[11]: 23 loads
|
||||
Net FS[13]: 20 loads
|
||||
Net ram2e_ufm.wb_rst13: 17 loads
|
||||
|
||||
|
||||
|
||||
@ -124,12 +126,12 @@ WARNING - map: UFM was enabled in EFB: Enabling the configuration interface will
|
||||
Functionality is restored after the Flash Memory (UFM/Configuration)
|
||||
Interface is disabled using Disable Configuration Interface command 0x26
|
||||
followed by Bypass command 0xFF.
|
||||
|
||||
WARNING - map: IO buffer missing for top level port nWE80...logic will be
|
||||
discarded.
|
||||
|
||||
|
||||
|
||||
|
||||
<A name="mrp_ioa"></A><B><U><big>IO (PIO) Attributes</big></U></B>
|
||||
|
||||
+---------------------+-----------+-----------+------------+
|
||||
@ -182,11 +184,11 @@ WARNING - map: IO buffer missing for top level port nWE80...logic will be
|
||||
+---------------------+-----------+-----------+------------+
|
||||
| RAout[1] | OUTPUT | LVCMOS33 | |
|
||||
+---------------------+-----------+-----------+------------+
|
||||
|
||||
| RAout[0] | OUTPUT | LVCMOS33 | |
|
||||
+---------------------+-----------+-----------+------------+
|
||||
| BA[1] | OUTPUT | LVCMOS33 | OUT |
|
||||
+---------------------+-----------+-----------+------------+
|
||||
|
||||
| BA[0] | OUTPUT | LVCMOS33 | OUT |
|
||||
+---------------------+-----------+-----------+------------+
|
||||
| nRWEout | OUTPUT | LVCMOS33 | OUT |
|
||||
@ -239,11 +241,11 @@ WARNING - map: IO buffer missing for top level port nWE80...logic will be
|
||||
+---------------------+-----------+-----------+------------+
|
||||
| Din[6] | INPUT | LVCMOS33 | |
|
||||
+---------------------+-----------+-----------+------------+
|
||||
|
||||
| Din[5] | INPUT | LVCMOS33 | |
|
||||
+---------------------+-----------+-----------+------------+
|
||||
| Din[4] | INPUT | LVCMOS33 | |
|
||||
+---------------------+-----------+-----------+------------+
|
||||
|
||||
| Din[3] | INPUT | LVCMOS33 | |
|
||||
+---------------------+-----------+-----------+------------+
|
||||
| Din[2] | INPUT | LVCMOS33 | |
|
||||
@ -297,11 +299,11 @@ Signal ram2e_ufm/ufmefb/CFGSTDBY undriven or does not drive anything - clipped.
|
||||
Signal ram2e_ufm/ufmefb/CFGWAKE undriven or does not drive anything - clipped.
|
||||
Signal ram2e_ufm/ufmefb/wbc_ufm_irq undriven or does not drive anything -
|
||||
clipped.
|
||||
|
||||
Signal ram2e_ufm/ufmefb/TCOC undriven or does not drive anything - clipped.
|
||||
Signal ram2e_ufm/ufmefb/TCINT undriven or does not drive anything - clipped.
|
||||
Signal ram2e_ufm/ufmefb/SPIIRQO undriven or does not drive anything - clipped.
|
||||
Signal ram2e_ufm/ufmefb/SPICSNEN undriven or does not drive anything - clipped.
|
||||
|
||||
Signal ram2e_ufm/ufmefb/SPIMCSN7 undriven or does not drive anything - clipped.
|
||||
Signal ram2e_ufm/ufmefb/SPIMCSN6 undriven or does not drive anything - clipped.
|
||||
Signal ram2e_ufm/ufmefb/SPIMCSN5 undriven or does not drive anything - clipped.
|
||||
@ -354,11 +356,11 @@ Signal FS_cry_0_S0[0] undriven or does not drive anything - clipped.
|
||||
Signal N_1 undriven or does not drive anything - clipped.
|
||||
Block RefReq.CN was optimized away.
|
||||
Block RDOE_RNIAM8C was optimized away.
|
||||
|
||||
Block nCASout.CN was optimized away.
|
||||
Block ram2e_ufm/ufmefb/VCC was optimized away.
|
||||
Block ram2e_ufm/ufmefb/GND was optimized away.
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
@ -413,9 +415,10 @@ Instance Name: ram2e_ufm/ufmefb/EFBInst_0
|
||||
<A name="mrp_runtime"></A><B><U><big>Run Time and Memory Usage</big></U></B>
|
||||
-------------------------
|
||||
|
||||
Total CPU Time: 0 secs
|
||||
Total REAL Time: 2 secs
|
||||
Total CPU Time: 1 secs
|
||||
Total REAL Time: 12 secs
|
||||
Peak Memory Usage: 64 MB
|
||||
|
||||
|
||||
|
||||
|
||||
@ -423,6 +426,58 @@ Instance Name: ram2e_ufm/ufmefb/EFBInst_0
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved.
|
||||
Copyright (c) 1995 AT&T Corp. All rights reserved.
|
||||
Copyright (c) 1995-2001 Lucent Technologies Inc. All rights reserved.
|
||||
|
@ -14,7 +14,7 @@ Performance Grade: 4
|
||||
PACKAGE: TQFP100
|
||||
Package Status: Final Version 1.42
|
||||
|
||||
Fri Jun 07 20:50:24 2024
|
||||
Fri Jul 12 16:08:36 2024
|
||||
|
||||
Pinout by Port Name:
|
||||
+-----------+----------+---------------+-------+-----------+-----------+------------------------------------------------------------+
|
||||
@ -320,7 +320,7 @@ Copyright (c) 1995 AT&T Corp. All rights reserved.
|
||||
Copyright (c) 1995-2001 Lucent Technologies Inc. All rights reserved.
|
||||
Copyright (c) 2001 Agere Systems All rights reserved.
|
||||
Copyright (c) 2002-2020 Lattice Semiconductor Corporation, All rights reserved.
|
||||
Fri Jun 07 20:50:28 2024
|
||||
Fri Jul 12 16:08:42 2024
|
||||
|
||||
|
||||
|
||||
|
@ -12,11 +12,12 @@ Copyright (c) 1995 AT&T Corp. All rights reserved.
|
||||
Copyright (c) 1995-2001 Lucent Technologies Inc. All rights reserved.
|
||||
Copyright (c) 2001 Agere Systems All rights reserved.
|
||||
Copyright (c) 2002-2020 Lattice Semiconductor Corporation, All rights reserved.
|
||||
Fri Jun 07 20:50:15 2024
|
||||
Fri Jul 12 16:08:22 2024
|
||||
|
||||
C:/lscc/diamond/3.11_x64/ispfpga\bin\nt64\par -f RAM2E_LCMXO2_1200HC_impl1.p2t
|
||||
RAM2E_LCMXO2_1200HC_impl1_map.ncd RAM2E_LCMXO2_1200HC_impl1.dir
|
||||
RAM2E_LCMXO2_1200HC_impl1.prf -gui
|
||||
RAM2E_LCMXO2_1200HC_impl1.prf -gui -msgset
|
||||
//Mac/iCloud/Repos/RAM2E/CPLD/LCMXO2-1200HC/promote.xml
|
||||
|
||||
|
||||
Preference file: RAM2E_LCMXO2_1200HC_impl1.prf.
|
||||
@ -25,22 +26,22 @@ Preference file: RAM2E_LCMXO2_1200HC_impl1.prf.
|
||||
Level/ Number Worst Timing Worst Timing Run NCD
|
||||
Cost [ncd] Unrouted Slack Score Slack(hold) Score(hold) Time Status
|
||||
---------- -------- ----- ------ ----------- ----------- ---- ------
|
||||
5_1 * 0 54.468 0 0.379 0 16 Completed
|
||||
5_1 * 0 55.594 0 0.379 0 34 Completed
|
||||
* : Design saved.
|
||||
|
||||
Total (real) run time for 1-seed: 16 secs
|
||||
Total (real) run time for 1-seed: 36 secs
|
||||
|
||||
par done!
|
||||
|
||||
Note: user must run 'Trace' for timing closure signoff.
|
||||
|
||||
Lattice Place and Route Report for Design "RAM2E_LCMXO2_1200HC_impl1_map.ncd"
|
||||
Fri Jun 07 20:50:15 2024
|
||||
Fri Jul 12 16:08:23 2024
|
||||
|
||||
|
||||
<A name="par_best"></A><B><U><big>Best Par Run</big></U></B>
|
||||
PAR: Place And Route Diamond (64-bit) 3.11.3.469.
|
||||
Command Line: par -w -l 5 -i 6 -t 1 -c 0 -e 0 -gui -exp parUseNBR=1:parCDP=0:parCDR=0:parPathBased=OFF RAM2E_LCMXO2_1200HC_impl1_map.ncd RAM2E_LCMXO2_1200HC_impl1.dir/5_1.ncd RAM2E_LCMXO2_1200HC_impl1.prf
|
||||
Command Line: par -w -l 5 -i 6 -t 1 -c 0 -e 0 -gui -msgset //Mac/iCloud/Repos/RAM2E/CPLD/LCMXO2-1200HC/promote.xml -exp parUseNBR=1:parCDP=0:parCDR=0:parPathBased=OFF RAM2E_LCMXO2_1200HC_impl1_map.ncd RAM2E_LCMXO2_1200HC_impl1.dir/5_1.ncd RAM2E_LCMXO2_1200HC_impl1.prf
|
||||
Preference file: RAM2E_LCMXO2_1200HC_impl1.prf.
|
||||
Placement level-cost: 5-1.
|
||||
Routing Iterations: 6
|
||||
@ -66,39 +67,39 @@ Ignore Preference Error(s): True
|
||||
70+4(JTAG)/80 93% bonded
|
||||
IOLOGIC 22/108 20% used
|
||||
|
||||
SLICE 148/640 23% used
|
||||
SLICE 145/640 22% used
|
||||
|
||||
EFB 1/1 100% used
|
||||
|
||||
|
||||
Number of Signals: 464
|
||||
Number of Connections: 1330
|
||||
Number of Signals: 446
|
||||
Number of Connections: 1292
|
||||
|
||||
Pin Constraint Summary:
|
||||
70 out of 70 pins locked (100% locked).
|
||||
|
||||
The following 1 signal is selected to use the primary clock routing resources:
|
||||
C14M_c (driver: C14M, clk load #: 85)
|
||||
C14M_c (driver: C14M, clk load #: 84)
|
||||
|
||||
WARNING - par: Signal "C14M_c" is selected to use Primary clock resources. However, its driver comp "C14M" is located at "62", which is not a dedicated pin for connecting to Primary clock resources. General routing has to be used to route this signal, and it might suffer from excessive delay or skew.
|
||||
|
||||
The following 1 signal is selected to use the secondary clock routing resources:
|
||||
RWBank14 (driver: ram2e_ufm/SLICE_82, clk load #: 0, sr load #: 0, ce load #: 11)
|
||||
RWBank14 (driver: ram2e_ufm/SLICE_89, clk load #: 0, sr load #: 0, ce load #: 10)
|
||||
|
||||
No signal is selected as Global Set/Reset.
|
||||
Starting Placer Phase 0.
|
||||
........
|
||||
Finished Placer Phase 0. REAL time: 2 secs
|
||||
Finished Placer Phase 0. REAL time: 3 secs
|
||||
|
||||
Starting Placer Phase 1.
|
||||
....................
|
||||
Placer score = 86293.
|
||||
Finished Placer Phase 1. REAL time: 9 secs
|
||||
Placer score = 83662.
|
||||
Finished Placer Phase 1. REAL time: 12 secs
|
||||
|
||||
Starting Placer Phase 2.
|
||||
.
|
||||
Placer score = 85792
|
||||
Finished Placer Phase 2. REAL time: 9 secs
|
||||
Placer score = 83395
|
||||
Finished Placer Phase 2. REAL time: 12 secs
|
||||
|
||||
|
||||
|
||||
@ -112,8 +113,8 @@ Global Clock Resources:
|
||||
DCC : 0 out of 8 (0%)
|
||||
|
||||
Global Clocks:
|
||||
PRIMARY "C14M_c" from comp "C14M" on PIO site "62 (PR5D)", clk load = 85
|
||||
SECONDARY "RWBank14" from F0 on comp "ram2e_ufm/SLICE_82" on site "R7C14A", clk load = 0, ce load = 11, sr load = 0
|
||||
PRIMARY "C14M_c" from comp "C14M" on PIO site "62 (PR5D)", clk load = 84
|
||||
SECONDARY "RWBank14" from F0 on comp "ram2e_ufm/SLICE_89" on site "R7C14C", clk load = 0, ce load = 10, sr load = 0
|
||||
|
||||
PRIMARY : 1 out of 8 (12%)
|
||||
SECONDARY: 1 out of 8 (12%)
|
||||
@ -144,16 +145,16 @@ Total placer CPU time: 7 secs
|
||||
|
||||
Dumping design to file RAM2E_LCMXO2_1200HC_impl1.dir/5_1.ncd.
|
||||
|
||||
0 connections routed; 1330 unrouted.
|
||||
0 connections routed; 1292 unrouted.
|
||||
Starting router resource preassignment
|
||||
WARNING - par: The driver of primary clock net C14M_c is not placed on one of the sites dedicated for primary clocks. This primary clock will be routed to an H-spine through general routing resource and might suffer from excessive delay or skew.
|
||||
|
||||
WARNING - par: The following clock signals will be routed by using generic routing resource and may suffer from excessive delay and/or skew.
|
||||
Signal=PHI1_c loads=5 clock_loads=3
|
||||
|
||||
Completed router resource preassignment. Real time: 14 secs
|
||||
Completed router resource preassignment. Real time: 20 secs
|
||||
|
||||
Start NBR router at 20:50:29 06/07/24
|
||||
Start NBR router at 16:08:43 07/12/24
|
||||
|
||||
*****************************************************************
|
||||
Info: NBR allows conflicts(one node used by more than one signal)
|
||||
@ -168,35 +169,35 @@ Note: NBR uses a different method to calculate timing slacks. The
|
||||
your design.
|
||||
*****************************************************************
|
||||
|
||||
Start NBR special constraint process at 20:50:29 06/07/24
|
||||
Start NBR special constraint process at 16:08:43 07/12/24
|
||||
|
||||
Start NBR section for initial routing at 20:50:29 06/07/24
|
||||
Start NBR section for initial routing at 16:08:43 07/12/24
|
||||
Level 4, iteration 1
|
||||
25(0.03%) conflicts; 0(0.00%) untouched conn; 0 (nbr) score;
|
||||
Estimated worst slack/total negative slack<setup>: 54.468ns/0.000ns; real time: 15 secs
|
||||
22(0.03%) conflicts; 0(0.00%) untouched conn; 0 (nbr) score;
|
||||
Estimated worst slack/total negative slack<setup>: 55.594ns/0.000ns; real time: 29 secs
|
||||
|
||||
Info: Initial congestion level at 75% usage is 0
|
||||
Info: Initial congestion area at 75% usage is 0 (0.00%)
|
||||
|
||||
Start NBR section for normal routing at 20:50:30 06/07/24
|
||||
Start NBR section for normal routing at 16:08:52 07/12/24
|
||||
Level 4, iteration 1
|
||||
5(0.01%) conflicts; 0(0.00%) untouched conn; 0 (nbr) score;
|
||||
Estimated worst slack/total negative slack<setup>: 54.468ns/0.000ns; real time: 15 secs
|
||||
8(0.01%) conflicts; 0(0.00%) untouched conn; 0 (nbr) score;
|
||||
Estimated worst slack/total negative slack<setup>: 55.594ns/0.000ns; real time: 31 secs
|
||||
Level 4, iteration 2
|
||||
5(0.01%) conflicts; 0(0.00%) untouched conn; 0 (nbr) score;
|
||||
Estimated worst slack/total negative slack<setup>: 54.468ns/0.000ns; real time: 15 secs
|
||||
2(0.00%) conflicts; 0(0.00%) untouched conn; 0 (nbr) score;
|
||||
Estimated worst slack/total negative slack<setup>: 55.594ns/0.000ns; real time: 32 secs
|
||||
Level 4, iteration 3
|
||||
0(0.00%) conflict; 0(0.00%) untouched conn; 0 (nbr) score;
|
||||
Estimated worst slack/total negative slack<setup>: 54.468ns/0.000ns; real time: 15 secs
|
||||
Estimated worst slack/total negative slack<setup>: 55.594ns/0.000ns; real time: 32 secs
|
||||
|
||||
Start NBR section for setup/hold timing optimization with effort level 3 at 20:50:30 06/07/24
|
||||
Start NBR section for setup/hold timing optimization with effort level 3 at 16:08:55 07/12/24
|
||||
|
||||
Start NBR section for re-routing at 20:50:30 06/07/24
|
||||
Start NBR section for re-routing at 16:08:55 07/12/24
|
||||
Level 4, iteration 1
|
||||
0(0.00%) conflict; 0(0.00%) untouched conn; 0 (nbr) score;
|
||||
Estimated worst slack/total negative slack<setup>: 54.468ns/0.000ns; real time: 15 secs
|
||||
Estimated worst slack/total negative slack<setup>: 55.594ns/0.000ns; real time: 32 secs
|
||||
|
||||
Start NBR section for post-routing at 20:50:30 06/07/24
|
||||
Start NBR section for post-routing at 16:08:55 07/12/24
|
||||
|
||||
End NBR router with 0 unrouted connection
|
||||
|
||||
@ -204,7 +205,7 @@ NBR Summary
|
||||
-----------
|
||||
Number of unrouted connections : 0 (0.00%)
|
||||
Number of connections with timing violations : 0 (0.00%)
|
||||
Estimated worst slack<setup> : 54.468ns
|
||||
Estimated worst slack<setup> : 55.594ns
|
||||
Timing score<setup> : 0
|
||||
-----------
|
||||
Notes: The timing info is calculated for SETUP only and all PAR_ADJs are ignored.
|
||||
@ -215,9 +216,9 @@ WARNING - par: The following clock signals will be routed by using generic routi
|
||||
Signal=PHI1_c loads=5 clock_loads=3
|
||||
|
||||
Total CPU time 13 secs
|
||||
Total REAL time: 16 secs
|
||||
Total REAL time: 34 secs
|
||||
Completely routed.
|
||||
End of route. 1330 routed (100.00%); 0 unrouted.
|
||||
End of route. 1292 routed (100.00%); 0 unrouted.
|
||||
|
||||
Hold time timing score: 0, hold timing errors: 0
|
||||
|
||||
@ -231,14 +232,14 @@ All signals are completely routed.
|
||||
|
||||
PAR_SUMMARY::Run status = Completed
|
||||
PAR_SUMMARY::Number of unrouted conns = 0
|
||||
PAR_SUMMARY::Worst slack<setup/<ns>> = 54.468
|
||||
PAR_SUMMARY::Worst slack<setup/<ns>> = 55.594
|
||||
PAR_SUMMARY::Timing score<setup/<ns>> = 0.000
|
||||
PAR_SUMMARY::Worst slack<hold /<ns>> = 0.379
|
||||
PAR_SUMMARY::Timing score<hold /<ns>> = 0.000
|
||||
PAR_SUMMARY::Number of errors = 0
|
||||
|
||||
Total CPU time to completion: 13 secs
|
||||
Total REAL time to completion: 16 secs
|
||||
Total CPU time to completion: 14 secs
|
||||
Total REAL time to completion: 35 secs
|
||||
|
||||
par done!
|
||||
|
||||
|
@ -13,7 +13,7 @@ Hostname: ZANEMACWIN11
|
||||
|
||||
Implementation : impl1
|
||||
|
||||
# Written on Fri Jun 7 20:50:07 2024
|
||||
# Written on Fri Jul 12 16:07:21 2024
|
||||
|
||||
##### FILES SYNTAX CHECKED ##############################################
|
||||
Constraint File(s): "\\Mac\iCloud\Repos\RAM2E\CPLD\RAM2E.sdc"
|
||||
@ -33,7 +33,7 @@ Clock Summary
|
||||
Start Requested Requested Clock Clock Clock
|
||||
Level Clock Frequency Period Type Group Load
|
||||
-----------------------------------------------------------------------------------------------
|
||||
0 - C14M 14.3 MHz 69.841 declared default_clkgroup 121
|
||||
0 - C14M 14.3 MHz 69.841 declared default_clkgroup 120
|
||||
|
||||
0 - System 100.0 MHz 10.000 system system_clkgroup 0
|
||||
|
||||
@ -47,7 +47,7 @@ Clock Load Summary
|
||||
Clock Source Clock Pin Non-clock Pin Non-clock Pin
|
||||
Clock Load Pin Seq Example Seq Example Comb Example
|
||||
--------------------------------------------------------------------------------------------
|
||||
C14M 121 C14M(port) RAT.C - un1_C14M.I[0](inv)
|
||||
C14M 120 C14M(port) RAT.C - un1_C14M.I[0](inv)
|
||||
|
||||
System 0 - - - -
|
||||
|
||||
|
@ -62,7 +62,7 @@
|
||||
</TR>
|
||||
<TR>
|
||||
<TD align='left' BGCOLOR='#DEE8F4' COLSPAN='1'><SPAN style="COLOR: #000000">Updated:</SPAN></TD>
|
||||
<TD align='left' BGCOLOR='#FFFFFF' COLSPAN='3'><SPAN style="COLOR: #000000">2024/06/07 20:50:35</SPAN></TD>
|
||||
<TD align='left' BGCOLOR='#FFFFFF' COLSPAN='3'><SPAN style="COLOR: #000000">2024/07/12 16:09:06</SPAN></TD>
|
||||
</TR>
|
||||
<TR>
|
||||
<TD align='left' BGCOLOR='#DEE8F4' COLSPAN='1'><SPAN style="COLOR: #000000">Implementation Location:</SPAN></TD>
|
||||
|
@ -12,7 +12,7 @@
|
||||
#OS: Windows 8 6.2
|
||||
#Hostname: ZANEMACWIN11
|
||||
|
||||
# Fri Jun 7 20:50:04 2024
|
||||
# Fri Jul 12 16:07:08 2024
|
||||
|
||||
#Implementation: impl1
|
||||
|
||||
@ -61,6 +61,7 @@ Synopsys Verilog Compiler, Version comp2018q2p1, Build 461R, Built Apr 1 2019 0
|
||||
@I::"\\Mac\iCloud\Repos\RAM2E\CPLD\LCMXO2-1200HC\REFB.v" (library work)
|
||||
@I::"\\Mac\iCloud\Repos\RAM2E\CPLD\DHGR-ON.v" (library work)
|
||||
Verilog syntax check successful!
|
||||
File \\Mac\iCloud\Repos\RAM2E\CPLD\RAM2E.v changed - recompiling
|
||||
Selecting top level module RAM2E
|
||||
@N: CG364 :"C:\lscc\diamond\3.11_x64\synpbase\lib\lucent\machxo2.v":1120:7:1120:9|Synthesizing module VHI in library work.
|
||||
Running optimization stage 1 on VHI .......
|
||||
@ -86,12 +87,12 @@ Running optimization stage 2 on EFB .......
|
||||
Running optimization stage 2 on VLO .......
|
||||
Running optimization stage 2 on VHI .......
|
||||
|
||||
At c_ver Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 73MB peak: 74MB)
|
||||
At c_ver Exit (Real Time elapsed 0h:00m:03s; CPU Time elapsed 0h:00m:00s; Memory used current: 73MB peak: 74MB)
|
||||
|
||||
Process took 0h:00m:01s realtime, 0h:00m:01s cputime
|
||||
Process took 0h:00m:03s realtime, 0h:00m:01s cputime
|
||||
|
||||
Process completed successfully.
|
||||
# Fri Jun 7 20:50:05 2024
|
||||
# Fri Jul 12 16:07:14 2024
|
||||
|
||||
###########################################################]
|
||||
|
||||
@ -111,13 +112,14 @@ Implementation : impl1
|
||||
Synopsys Synopsys Netlist Linker, Version comp2018q2p1, Build 461R, Built Apr 1 2019 09:17:43
|
||||
|
||||
@N|Running in 64-bit mode
|
||||
File \\Mac\iCloud\Repos\RAM2E\CPLD\LCMXO2-1200HC\impl1\synwork\layer0.srs changed - recompiling
|
||||
|
||||
At syn_nfilter Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 67MB peak: 68MB)
|
||||
|
||||
Process took 0h:00m:01s realtime, 0h:00m:01s cputime
|
||||
|
||||
Process completed successfully.
|
||||
# Fri Jun 7 20:50:05 2024
|
||||
# Fri Jul 12 16:07:14 2024
|
||||
|
||||
###########################################################]
|
||||
|
||||
@ -127,12 +129,12 @@ For a summary of runtime and memory usage for all design units, please see file:
|
||||
|
||||
@END
|
||||
|
||||
At c_hdl Exit (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:00s; Memory used current: 3MB peak: 4MB)
|
||||
At c_hdl Exit (Real Time elapsed 0h:00m:04s; CPU Time elapsed 0h:00m:00s; Memory used current: 3MB peak: 4MB)
|
||||
|
||||
Process took 0h:00m:01s realtime, 0h:00m:01s cputime
|
||||
Process took 0h:00m:04s realtime, 0h:00m:01s cputime
|
||||
|
||||
Process completed successfully.
|
||||
# Fri Jun 7 20:50:05 2024
|
||||
# Fri Jul 12 16:07:14 2024
|
||||
|
||||
###########################################################]
|
||||
|
||||
@ -152,18 +154,17 @@ Database state : \\Mac\iCloud\Repos\RAM2E\CPLD\LCMXO2-1200HC\impl1\synwork\|impl
|
||||
Synopsys Synopsys Netlist Linker, Version comp2018q2p1, Build 461R, Built Apr 1 2019 09:17:43
|
||||
|
||||
@N|Running in 64-bit mode
|
||||
File \\Mac\iCloud\Repos\RAM2E\CPLD\LCMXO2-1200HC\impl1\synwork\RAM2E_LCMXO2_1200HC_impl1_comp.srs changed - recompiling
|
||||
|
||||
At syn_nfilter Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 68MB peak: 68MB)
|
||||
At syn_nfilter Exit (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:00s; Memory used current: 68MB peak: 68MB)
|
||||
|
||||
Process took 0h:00m:01s realtime, 0h:00m:01s cputime
|
||||
|
||||
Process completed successfully.
|
||||
# Fri Jun 7 20:50:07 2024
|
||||
# Fri Jul 12 16:07:18 2024
|
||||
|
||||
###########################################################]
|
||||
Premap Report
|
||||
|
||||
# Fri Jun 7 20:50:07 2024
|
||||
# Fri Jul 12 16:07:19 2024
|
||||
|
||||
|
||||
Copyright (C) 1994-2018 Synopsys, Inc.
|
||||
@ -192,26 +193,26 @@ Printing clock summary report in "\\Mac\iCloud\Repos\RAM2E\CPLD\LCMXO2-1200HC\i
|
||||
@N: MF248 |Running in 64-bit mode.
|
||||
@N: MF666 |Clock conversion enabled. (Command "set_option -fix_gated_and_generated_clocks 1" in the project file.)
|
||||
|
||||
Design Input Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 100MB peak: 102MB)
|
||||
Design Input Complete (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:00s; Memory used current: 100MB peak: 102MB)
|
||||
|
||||
|
||||
Mapper Initialization Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 100MB peak: 102MB)
|
||||
Mapper Initialization Complete (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:00s; Memory used current: 100MB peak: 102MB)
|
||||
|
||||
|
||||
Start loading timing files (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 112MB peak: 113MB)
|
||||
Start loading timing files (Real Time elapsed 0h:00m:02s; CPU Time elapsed 0h:00m:00s; Memory used current: 112MB peak: 113MB)
|
||||
|
||||
|
||||
Finished loading timing files (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 112MB peak: 115MB)
|
||||
Finished loading timing files (Real Time elapsed 0h:00m:02s; CPU Time elapsed 0h:00m:00s; Memory used current: 112MB peak: 115MB)
|
||||
|
||||
@N: MF284 |Setting synthesis effort to medium for the design
|
||||
@W: FX474 |User-specified initial value defined for some sequential elements which can prevent optimum synthesis results from being achieved.
|
||||
@A: FX681 :"\\mac\icloud\repos\ram2e\cpld\ram2e.v":21:4:21:9|Initial value on register S[3:0] is non-zero which can prevent the register from being packed into a block RAM or DSP.
|
||||
@N: MH105 |UMR3 is only supported for HAPS-80.
|
||||
@N: MH105 |UMR3 is only supported for HAPS-80.
|
||||
@N: BN115 :"\\mac\icloud\repos\ram2e\cpld\ram2e.v":140:9:140:12|Removing instance dhgr (in view: work.RAM2E(verilog)) of type view:work.DHGR(verilog) because it does not drive other instances.
|
||||
@N: BN115 :"\\mac\icloud\repos\ram2e\cpld\ram2e.v":136:9:136:12|Removing instance dhgr (in view: work.RAM2E(verilog)) of type view:work.DHGR(verilog) because it does not drive other instances.
|
||||
syn_allowed_resources : blockrams=7 set on top level netlist RAM2E
|
||||
|
||||
Finished netlist restructuring (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 141MB peak: 143MB)
|
||||
Finished netlist restructuring (Real Time elapsed 0h:00m:03s; CPU Time elapsed 0h:00m:00s; Memory used current: 141MB peak: 143MB)
|
||||
|
||||
|
||||
|
||||
@ -221,7 +222,7 @@ Clock Summary
|
||||
Start Requested Requested Clock Clock Clock
|
||||
Level Clock Frequency Period Type Group Load
|
||||
-----------------------------------------------------------------------------------------------
|
||||
0 - C14M 14.3 MHz 69.841 declared default_clkgroup 121
|
||||
0 - C14M 14.3 MHz 69.841 declared default_clkgroup 120
|
||||
|
||||
0 - System 100.0 MHz 10.000 system system_clkgroup 0
|
||||
|
||||
@ -236,7 +237,7 @@ Clock Load Summary
|
||||
Clock Source Clock Pin Non-clock Pin Non-clock Pin
|
||||
Clock Load Pin Seq Example Seq Example Comb Example
|
||||
--------------------------------------------------------------------------------------------
|
||||
C14M 121 C14M(port) RAT.C - un1_C14M.I[0](inv)
|
||||
C14M 120 C14M(port) RAT.C - un1_C14M.I[0](inv)
|
||||
|
||||
System 0 - - - -
|
||||
|
||||
@ -256,14 +257,14 @@ Number of ICG latches not removed: 0
|
||||
|
||||
#### START OF PREMAP CLOCK OPTIMIZATION REPORT #####[
|
||||
|
||||
2 non-gated/non-generated clock tree(s) driving 125 clock pin(s) of sequential element(s)
|
||||
2 non-gated/non-generated clock tree(s) driving 124 clock pin(s) of sequential element(s)
|
||||
0 gated/generated clock tree(s) driving 0 clock pin(s) of sequential element(s)
|
||||
0 instances converted, 0 sequential instances remain driven by gated/generated clocks
|
||||
|
||||
=========================== Non-Gated/Non-Generated Clocks ============================
|
||||
Clock Tree ID Driving Element Drive Element Type Fanout Sample Instance
|
||||
---------------------------------------------------------------------------------------
|
||||
@KP:ckid0_0 C14M port 121 PHI1r
|
||||
@KP:ckid0_0 C14M port 120 PHI1r
|
||||
@KP:ckid0_1 PHI1 Unconstrained_port 4 RC[2:0]
|
||||
=======================================================================================
|
||||
|
||||
@ -273,27 +274,25 @@ Clock Tree ID Driving Element Drive Element Type Fanout Sample I
|
||||
@N: FX1143 |Skipping assigning INTERNAL_VREF to iobanks, because the table of mapping from pin to iobank is not initialized.
|
||||
Finished Pre Mapping Phase.
|
||||
|
||||
Starting constraint checker (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 141MB peak: 143MB)
|
||||
Starting constraint checker (Real Time elapsed 0h:00m:06s; CPU Time elapsed 0h:00m:00s; Memory used current: 141MB peak: 143MB)
|
||||
|
||||
|
||||
Finished constraint checker preprocessing (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 142MB peak: 143MB)
|
||||
Finished constraint checker preprocessing (Real Time elapsed 0h:00m:07s; CPU Time elapsed 0h:00m:00s; Memory used current: 142MB peak: 143MB)
|
||||
|
||||
None
|
||||
None
|
||||
|
||||
Finished constraint checker (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 142MB peak: 143MB)
|
||||
Finished constraint checker (Real Time elapsed 0h:00m:08s; CPU Time elapsed 0h:00m:00s; Memory used current: 142MB peak: 143MB)
|
||||
|
||||
Pre-mapping successful!
|
||||
|
||||
At Mapper Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 57MB peak: 143MB)
|
||||
At Mapper Exit (Real Time elapsed 0h:00m:08s; CPU Time elapsed 0h:00m:00s; Memory used current: 57MB peak: 143MB)
|
||||
|
||||
Process took 0h:00m:01s realtime, 0h:00m:01s cputime
|
||||
# Fri Jun 7 20:50:08 2024
|
||||
Process took 0h:00m:08s realtime, 0h:00m:01s cputime
|
||||
# Fri Jul 12 16:07:28 2024
|
||||
|
||||
###########################################################]
|
||||
Map & Optimize Report
|
||||
|
||||
# Fri Jun 7 20:50:08 2024
|
||||
# Fri Jul 12 16:07:30 2024
|
||||
|
||||
|
||||
Copyright (C) 1994-2018 Synopsys, Inc.
|
||||
@ -319,21 +318,21 @@ Mapper Startup Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:0
|
||||
@N: MF248 |Running in 64-bit mode.
|
||||
@N: MF666 |Clock conversion enabled. (Command "set_option -fix_gated_and_generated_clocks 1" in the project file.)
|
||||
|
||||
Design Input Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 98MB peak: 100MB)
|
||||
Design Input Complete (Real Time elapsed 0h:00m:02s; CPU Time elapsed 0h:00m:00s; Memory used current: 98MB peak: 100MB)
|
||||
|
||||
|
||||
Mapper Initialization Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 98MB peak: 100MB)
|
||||
Mapper Initialization Complete (Real Time elapsed 0h:00m:02s; CPU Time elapsed 0h:00m:00s; Memory used current: 98MB peak: 100MB)
|
||||
|
||||
|
||||
Start loading timing files (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 111MB peak: 112MB)
|
||||
Start loading timing files (Real Time elapsed 0h:00m:02s; CPU Time elapsed 0h:00m:00s; Memory used current: 111MB peak: 112MB)
|
||||
|
||||
|
||||
Finished loading timing files (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 111MB peak: 114MB)
|
||||
Finished loading timing files (Real Time elapsed 0h:00m:03s; CPU Time elapsed 0h:00m:00s; Memory used current: 111MB peak: 114MB)
|
||||
|
||||
@N: MF284 |Setting synthesis effort to medium for the design
|
||||
|
||||
|
||||
Starting Optimization and Mapping (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 140MB peak: 142MB)
|
||||
Starting Optimization and Mapping (Real Time elapsed 0h:00m:04s; CPU Time elapsed 0h:00m:00s; Memory used current: 140MB peak: 142MB)
|
||||
|
||||
|
||||
Available hyper_sources - for debug and ip models
|
||||
@ -342,61 +341,61 @@ Available hyper_sources - for debug and ip models
|
||||
@N: FX493 |Applying initial value "00000000" on instance RWBank[7:0].
|
||||
@N: FX493 |Applying initial value "0000" on instance S[3:0].
|
||||
|
||||
Finished RTL optimizations (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 141MB peak: 142MB)
|
||||
Finished RTL optimizations (Real Time elapsed 0h:00m:05s; CPU Time elapsed 0h:00m:00s; Memory used current: 141MB peak: 142MB)
|
||||
|
||||
@N: MO231 :"\\mac\icloud\repos\ram2e\cpld\ram2e.v":14:23:14:28|Found counter in view:work.RAM2E(verilog) instance FS[15:0]
|
||||
|
||||
Starting factoring (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 142MB peak: 142MB)
|
||||
Starting factoring (Real Time elapsed 0h:00m:05s; CPU Time elapsed 0h:00m:00s; Memory used current: 142MB peak: 142MB)
|
||||
|
||||
|
||||
Finished factoring (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 145MB peak: 145MB)
|
||||
Finished factoring (Real Time elapsed 0h:00m:06s; CPU Time elapsed 0h:00m:00s; Memory used current: 145MB peak: 145MB)
|
||||
|
||||
|
||||
Finished generic timing optimizations - Pass 1 (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 146MB peak: 146MB)
|
||||
Finished generic timing optimizations - Pass 1 (Real Time elapsed 0h:00m:06s; CPU Time elapsed 0h:00m:01s; Memory used current: 146MB peak: 146MB)
|
||||
|
||||
|
||||
Starting Early Timing Optimization (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 146MB peak: 146MB)
|
||||
Starting Early Timing Optimization (Real Time elapsed 0h:00m:07s; CPU Time elapsed 0h:00m:01s; Memory used current: 146MB peak: 146MB)
|
||||
|
||||
|
||||
Finished Early Timing Optimization (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 146MB peak: 146MB)
|
||||
Finished Early Timing Optimization (Real Time elapsed 0h:00m:07s; CPU Time elapsed 0h:00m:01s; Memory used current: 146MB peak: 146MB)
|
||||
|
||||
|
||||
Finished generic timing optimizations - Pass 2 (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 146MB peak: 146MB)
|
||||
Finished generic timing optimizations - Pass 2 (Real Time elapsed 0h:00m:07s; CPU Time elapsed 0h:00m:01s; Memory used current: 146MB peak: 146MB)
|
||||
|
||||
|
||||
Finished preparing to map (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 146MB peak: 146MB)
|
||||
Finished preparing to map (Real Time elapsed 0h:00m:08s; CPU Time elapsed 0h:00m:01s; Memory used current: 146MB peak: 147MB)
|
||||
|
||||
|
||||
Finished technology mapping (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 157MB peak: 160MB)
|
||||
Finished technology mapping (Real Time elapsed 0h:00m:08s; CPU Time elapsed 0h:00m:01s; Memory used current: 157MB peak: 160MB)
|
||||
|
||||
Pass CPU time Worst Slack Luts / Registers
|
||||
------------------------------------------------------------
|
||||
1 0h:00m:01s 6.90ns 281 / 125
|
||||
1 0h:00m:01s 6.90ns 280 / 124
|
||||
|
||||
Finished technology timing optimizations and critical path resynthesis (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 157MB peak: 160MB)
|
||||
Finished technology timing optimizations and critical path resynthesis (Real Time elapsed 0h:00m:09s; CPU Time elapsed 0h:00m:01s; Memory used current: 157MB peak: 160MB)
|
||||
|
||||
@N: FX164 |The option to pack registers in the IOB has not been specified. Please set syn_useioff attribute.
|
||||
@A: BN291 :"\\mac\icloud\repos\ram2e\cpld\ram2e.v":171:4:171:9|Boundary register BA_1_.fb (in view: work.RAM2E(verilog)) is packed into a complex cell. To disable register packing, set syn_keep=1 on the net between the register and the complex cell.
|
||||
@A: BN291 :"\\mac\icloud\repos\ram2e\cpld\ram2e.v":171:4:171:9|Boundary register BA_0_.fb (in view: work.RAM2E(verilog)) is packed into a complex cell. To disable register packing, set syn_keep=1 on the net between the register and the complex cell.
|
||||
@A: BN291 :"\\mac\icloud\repos\ram2e\cpld\ram2e.v":168:4:168:9|Boundary register BA_1_.fb (in view: work.RAM2E(verilog)) is packed into a complex cell. To disable register packing, set syn_keep=1 on the net between the register and the complex cell.
|
||||
@A: BN291 :"\\mac\icloud\repos\ram2e\cpld\ram2e.v":168:4:168:9|Boundary register BA_0_.fb (in view: work.RAM2E(verilog)) is packed into a complex cell. To disable register packing, set syn_keep=1 on the net between the register and the complex cell.
|
||||
|
||||
Finished restoring hierarchy (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 158MB peak: 160MB)
|
||||
Finished restoring hierarchy (Real Time elapsed 0h:00m:09s; CPU Time elapsed 0h:00m:01s; Memory used current: 158MB peak: 160MB)
|
||||
|
||||
|
||||
Start Writing Netlists (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 122MB peak: 160MB)
|
||||
Start Writing Netlists (Real Time elapsed 0h:00m:10s; CPU Time elapsed 0h:00m:01s; Memory used current: 122MB peak: 160MB)
|
||||
|
||||
Writing Analyst data base \\Mac\iCloud\Repos\RAM2E\CPLD\LCMXO2-1200HC\impl1\synwork\RAM2E_LCMXO2_1200HC_impl1_m.srm
|
||||
|
||||
Finished Writing Netlist Databases (Real Time elapsed 0h:00m:02s; CPU Time elapsed 0h:00m:01s; Memory used current: 158MB peak: 160MB)
|
||||
Finished Writing Netlist Databases (Real Time elapsed 0h:00m:12s; CPU Time elapsed 0h:00m:02s; Memory used current: 157MB peak: 160MB)
|
||||
|
||||
Writing EDIF Netlist and constraint files
|
||||
@N: FX1056 |Writing EDF file: \\Mac\iCloud\Repos\RAM2E\CPLD\LCMXO2-1200HC\impl1\RAM2E_LCMXO2_1200HC_impl1.edi
|
||||
N-2018.03L-SP1-1
|
||||
@N: BW106 |Synplicity Constraint File capacitance units using default value of 1pF
|
||||
|
||||
Finished Writing EDIF Netlist and constraint files (Real Time elapsed 0h:00m:02s; CPU Time elapsed 0h:00m:02s; Memory used current: 162MB peak: 164MB)
|
||||
Finished Writing EDIF Netlist and constraint files (Real Time elapsed 0h:00m:14s; CPU Time elapsed 0h:00m:03s; Memory used current: 161MB peak: 163MB)
|
||||
|
||||
|
||||
Start final timing analysis (Real Time elapsed 0h:00m:03s; CPU Time elapsed 0h:00m:02s; Memory used current: 161MB peak: 164MB)
|
||||
Start final timing analysis (Real Time elapsed 0h:00m:15s; CPU Time elapsed 0h:00m:03s; Memory used current: 160MB peak: 163MB)
|
||||
|
||||
@W: MT246 :"\\mac\icloud\repos\ram2e\cpld\lcmxo2-1200hc\refb.v":78:8:78:16|Blackbox EFB is missing a user supplied timing model. This may have a negative effect on timing analysis and optimizations (Quality of Results)
|
||||
@N: MT615 |Found clock C14M with period 69.84ns
|
||||
@ -404,7 +403,7 @@ Start final timing analysis (Real Time elapsed 0h:00m:03s; CPU Time elapsed 0h:0
|
||||
|
||||
|
||||
##### START OF TIMING REPORT #####[
|
||||
# Timing Report written on Fri Jun 7 20:50:12 2024
|
||||
# Timing Report written on Fri Jul 12 16:07:46 2024
|
||||
#
|
||||
|
||||
|
||||
@ -429,9 +428,9 @@ Worst slack in design: 6.897
|
||||
Requested Estimated Requested Estimated Clock Clock
|
||||
Starting Clock Frequency Frequency Period Period Slack Type Group
|
||||
----------------------------------------------------------------------------------------------------------------------
|
||||
C14M 14.3 MHz 112.1 MHz 69.841 8.918 31.599 declared default_clkgroup
|
||||
C14M 14.3 MHz 120.3 MHz 69.841 8.314 31.675 declared default_clkgroup
|
||||
RAM2E|PHI1 100.0 MHz 322.2 MHz 10.000 3.103 6.897 inferred Inferred_clkgroup_0
|
||||
System 100.0 MHz NA 10.000 NA 67.088 system system_clkgroup
|
||||
System 100.0 MHz NA 10.000 NA 66.719 system system_clkgroup
|
||||
======================================================================================================================
|
||||
Estimated period and frequency reported as NA means no slack depends directly on the clock waveform
|
||||
|
||||
@ -446,9 +445,9 @@ Clocks | rise to rise | fall to fall | rise
|
||||
----------------------------------------------------------------------------------------------------------------
|
||||
Starting Ending | constraint slack | constraint slack | constraint slack | constraint slack
|
||||
----------------------------------------------------------------------------------------------------------------
|
||||
System C14M | 69.841 67.088 | No paths - | No paths - | No paths -
|
||||
System C14M | 69.841 66.719 | No paths - | No paths - | No paths -
|
||||
C14M System | 69.841 68.797 | No paths - | No paths - | No paths -
|
||||
C14M C14M | 69.841 60.923 | No paths - | 34.920 31.599 | No paths -
|
||||
C14M C14M | 69.841 61.527 | No paths - | 34.920 31.675 | No paths -
|
||||
RAM2E|PHI1 C14M | No paths - | No paths - | No paths - | Diff grp -
|
||||
RAM2E|PHI1 RAM2E|PHI1 | No paths - | 10.000 6.897 | No paths - | No paths -
|
||||
================================================================================================================
|
||||
@ -477,10 +476,10 @@ Starting Points with Worst Slack
|
||||
Instance Reference Type Pin Net Time Slack
|
||||
Clock
|
||||
---------------------------------------------------------------------------
|
||||
S[2] C14M FD1S3AX Q S[2] 1.366 31.599
|
||||
S[3] C14M FD1S3AX Q S[3] 1.345 31.619
|
||||
S[1] C14M FD1S3AX Q S[1] 1.344 31.800
|
||||
S[0] C14M FD1S3AX Q S[0] 1.305 31.838
|
||||
S[2] C14M FD1S3AX Q S[2] 1.353 31.675
|
||||
S[3] C14M FD1S3AX Q S[3] 1.337 31.691
|
||||
S[0] C14M FD1S3AX Q S[0] 1.319 32.182
|
||||
S[1] C14M FD1S3AX Q S[1] 1.344 32.656
|
||||
RA[0] C14M FD1P3AX Q RA[0] 1.108 33.707
|
||||
RA[3] C14M FD1P3AX Q RA[3] 1.108 33.707
|
||||
RA[1] C14M FD1P3AX Q RA[1] 1.044 33.771
|
||||
@ -493,21 +492,21 @@ RA[5] C14M FD1P3AX Q RA[5] 1.044 33.771
|
||||
Ending Points with Worst Slack
|
||||
******************************
|
||||
|
||||
Starting Required
|
||||
Instance Reference Type Pin Net Time Slack
|
||||
Clock
|
||||
-----------------------------------------------------------------------------------
|
||||
VOE_i_0io C14M OFS1P3IX CD N_530 34.118 31.599
|
||||
VOE_i_0io C14M OFS1P3IX D VOE_ic_i 35.009 31.800
|
||||
Vout_0io[0] C14M OFS1P3DX SP Vout3 34.449 32.634
|
||||
Vout_0io[1] C14M OFS1P3DX SP Vout3 34.449 32.634
|
||||
Vout_0io[2] C14M OFS1P3DX SP Vout3 34.449 32.634
|
||||
Vout_0io[3] C14M OFS1P3DX SP Vout3 34.449 32.634
|
||||
Vout_0io[4] C14M OFS1P3DX SP Vout3 34.449 32.634
|
||||
Vout_0io[5] C14M OFS1P3DX SP Vout3 34.449 32.634
|
||||
Vout_0io[6] C14M OFS1P3DX SP Vout3 34.449 32.634
|
||||
Vout_0io[7] C14M OFS1P3DX SP Vout3 34.449 32.634
|
||||
===================================================================================
|
||||
Starting Required
|
||||
Instance Reference Type Pin Net Time Slack
|
||||
Clock
|
||||
----------------------------------------------------------------------------------
|
||||
VOE_i_0io C14M OFS1P3IX CD N_523_1 34.118 31.675
|
||||
RAT C14M FD1S3IX CD RATc_i 34.118 32.147
|
||||
Vout_0io[0] C14M OFS1P3DX SP Vout3 34.449 32.647
|
||||
Vout_0io[1] C14M OFS1P3DX SP Vout3 34.449 32.647
|
||||
Vout_0io[2] C14M OFS1P3DX SP Vout3 34.449 32.647
|
||||
Vout_0io[3] C14M OFS1P3DX SP Vout3 34.449 32.647
|
||||
Vout_0io[4] C14M OFS1P3DX SP Vout3 34.449 32.647
|
||||
Vout_0io[5] C14M OFS1P3DX SP Vout3 34.449 32.647
|
||||
Vout_0io[6] C14M OFS1P3DX SP Vout3 34.449 32.647
|
||||
Vout_0io[7] C14M OFS1P3DX SP Vout3 34.449 32.647
|
||||
==================================================================================
|
||||
|
||||
|
||||
|
||||
@ -521,9 +520,9 @@ Path information for path number 1:
|
||||
+ Clock delay at ending point: 0.000 (ideal)
|
||||
= Required time: 34.118
|
||||
|
||||
- Propagation time: 2.519
|
||||
- Propagation time: 2.442
|
||||
- Clock delay at starting point: 0.000 (ideal)
|
||||
= Slack (non-critical) : 31.599
|
||||
= Slack (non-critical) : 31.675
|
||||
|
||||
Number of logic level(s): 1
|
||||
Starting point: S[2] / Q
|
||||
@ -531,16 +530,16 @@ Path information for path number 1:
|
||||
The start point is clocked by C14M [rising] on pin CK
|
||||
The end point is clocked by C14M [falling] on pin SCLK
|
||||
|
||||
Instance / Net Pin Pin Arrival No. of
|
||||
Name Type Name Dir Delay Time Fan Out(s)
|
||||
------------------------------------------------------------------------------------------------------------
|
||||
S[2] FD1S3AX Q Out 1.366 1.366 -
|
||||
S[2] Net - - - - 58
|
||||
ram2e_ufm.un1_wb_adr_0_sqmuxa_2_0_a2_0[0] ORCALUT4 A In 0.000 1.366 -
|
||||
ram2e_ufm.un1_wb_adr_0_sqmuxa_2_0_a2_0[0] ORCALUT4 Z Out 1.153 2.519 -
|
||||
N_530 Net - - - - 3
|
||||
VOE_i_0io OFS1P3IX CD In 0.000 2.519 -
|
||||
============================================================================================================
|
||||
Instance / Net Pin Pin Arrival No. of
|
||||
Name Type Name Dir Delay Time Fan Out(s)
|
||||
---------------------------------------------------------------------------------------
|
||||
S[2] FD1S3AX Q Out 1.353 1.353 -
|
||||
S[2] Net - - - - 50
|
||||
ram2e_ufm.VOE_2_0_a2 ORCALUT4 A In 0.000 1.353 -
|
||||
ram2e_ufm.VOE_2_0_a2 ORCALUT4 Z Out 1.089 2.442 -
|
||||
N_523_1 Net - - - - 2
|
||||
VOE_i_0io OFS1P3IX CD In 0.000 2.442 -
|
||||
=======================================================================================
|
||||
|
||||
|
||||
|
||||
@ -629,7 +628,7 @@ Starting Points with Worst Slack
|
||||
Instance Reference Type Pin Net Time Slack
|
||||
Clock
|
||||
---------------------------------------------------------------------------------------------------
|
||||
ram2e_ufm.ufmefb.EFBInst_0 System EFB WBACKO wb_ack 0.000 67.088
|
||||
ram2e_ufm.ufmefb.EFBInst_0 System EFB WBACKO wb_ack 0.000 66.719
|
||||
ram2e_ufm.ufmefb.EFBInst_0 System EFB WBDATO0 wb_dato[0] 0.000 69.313
|
||||
ram2e_ufm.ufmefb.EFBInst_0 System EFB WBDATO1 wb_dato[1] 0.000 69.313
|
||||
ram2e_ufm.ufmefb.EFBInst_0 System EFB WBDATO2 wb_dato[2] 0.000 69.313
|
||||
@ -644,21 +643,21 @@ ram2e_ufm.ufmefb.EFBInst_0 System EFB WBDATO7 wb_dato[7]
|
||||
Ending Points with Worst Slack
|
||||
******************************
|
||||
|
||||
Starting Required
|
||||
Instance Reference Type Pin Net Time Slack
|
||||
Clock
|
||||
----------------------------------------------------------------------------------------
|
||||
ram2e_ufm.RWMask[0] System FD1P3AX SP N_104 69.369 67.088
|
||||
ram2e_ufm.RWMask[1] System FD1P3AX SP N_104 69.369 67.088
|
||||
ram2e_ufm.RWMask[2] System FD1P3AX SP N_104 69.369 67.088
|
||||
ram2e_ufm.RWMask[3] System FD1P3AX SP N_104 69.369 67.088
|
||||
ram2e_ufm.RWMask[4] System FD1P3AX SP N_104 69.369 67.088
|
||||
ram2e_ufm.RWMask[5] System FD1P3AX SP N_104 69.369 67.088
|
||||
ram2e_ufm.RWMask[6] System FD1P3AX SP N_104 69.369 67.088
|
||||
ram2e_ufm.RWMask[7] System FD1P3AX SP N_104 69.369 67.088
|
||||
ram2e_ufm.LEDEN System FD1P3AX SP N_98 69.369 67.736
|
||||
ram2e_ufm.wb_cyc_stb System FD1P3AX SP N_111 69.369 67.736
|
||||
========================================================================================
|
||||
Starting Required
|
||||
Instance Reference Type Pin Net Time Slack
|
||||
Clock
|
||||
---------------------------------------------------------------------------------------------------------------
|
||||
ram2e_ufm.wb_cyc_stb System FD1P3AX SP un1_CmdBitbangMXO212_1_i[0] 69.369 66.719
|
||||
ram2e_ufm.LEDEN System FD1P3AX SP un1_LEDEN_0_sqmuxa_1_i_0[0] 69.369 67.736
|
||||
ram2e_ufm.RWMask[0] System FD1P3AX SP un1_RWMask_0_sqmuxa_1_i_0[0] 69.369 68.105
|
||||
ram2e_ufm.RWMask[1] System FD1P3AX SP un1_RWMask_0_sqmuxa_1_i_0[0] 69.369 68.105
|
||||
ram2e_ufm.RWMask[2] System FD1P3AX SP un1_RWMask_0_sqmuxa_1_i_0[0] 69.369 68.105
|
||||
ram2e_ufm.RWMask[3] System FD1P3AX SP un1_RWMask_0_sqmuxa_1_i_0[0] 69.369 68.105
|
||||
ram2e_ufm.RWMask[4] System FD1P3AX SP un1_RWMask_0_sqmuxa_1_i_0[0] 69.369 68.105
|
||||
ram2e_ufm.RWMask[5] System FD1P3AX SP un1_RWMask_0_sqmuxa_1_i_0[0] 69.369 68.105
|
||||
ram2e_ufm.RWMask[6] System FD1P3AX SP un1_RWMask_0_sqmuxa_1_i_0[0] 69.369 68.105
|
||||
ram2e_ufm.RWMask[7] System FD1P3AX SP un1_RWMask_0_sqmuxa_1_i_0[0] 69.369 68.105
|
||||
===============================================================================================================
|
||||
|
||||
|
||||
|
||||
@ -672,30 +671,33 @@ Path information for path number 1:
|
||||
+ Clock delay at ending point: 0.000 (ideal)
|
||||
= Required time: 69.369
|
||||
|
||||
- Propagation time: 2.282
|
||||
- Propagation time: 2.650
|
||||
- Clock delay at starting point: 0.000 (ideal)
|
||||
- Estimated clock delay at start point: -0.000
|
||||
= Slack (non-critical) : 67.088
|
||||
= Slack (non-critical) : 66.719
|
||||
|
||||
Number of logic level(s): 2
|
||||
Number of logic level(s): 3
|
||||
Starting point: ram2e_ufm.ufmefb.EFBInst_0 / WBACKO
|
||||
Ending point: ram2e_ufm.RWMask[0] / SP
|
||||
Ending point: ram2e_ufm.wb_cyc_stb / SP
|
||||
The start point is clocked by System [rising]
|
||||
The end point is clocked by C14M [rising] on pin CK
|
||||
|
||||
Instance / Net Pin Pin Arrival No. of
|
||||
Name Type Name Dir Delay Time Fan Out(s)
|
||||
----------------------------------------------------------------------------------------------------------------
|
||||
ram2e_ufm.ufmefb.EFBInst_0 EFB WBACKO Out 0.000 0.000 -
|
||||
wb_ack Net - - - - 5
|
||||
ram2e_ufm.un1_RWMask_0_sqmuxa_1_i_a2_0_0[0] ORCALUT4 B In 0.000 0.000 -
|
||||
ram2e_ufm.un1_RWMask_0_sqmuxa_1_i_a2_0_0[0] ORCALUT4 Z Out 1.017 1.017 -
|
||||
un1_RWMask_0_sqmuxa_1_i_a2_0_0[0] Net - - - - 1
|
||||
ram2e_ufm.un1_RWMask_0_sqmuxa_1_i_0[0] ORCALUT4 D In 0.000 1.017 -
|
||||
ram2e_ufm.un1_RWMask_0_sqmuxa_1_i_0[0] ORCALUT4 Z Out 1.265 2.282 -
|
||||
N_104 Net - - - - 8
|
||||
ram2e_ufm.RWMask[0] FD1P3AX SP In 0.000 2.282 -
|
||||
================================================================================================================
|
||||
Instance / Net Pin Pin Arrival No. of
|
||||
Name Type Name Dir Delay Time Fan Out(s)
|
||||
---------------------------------------------------------------------------------------------------------------
|
||||
ram2e_ufm.ufmefb.EFBInst_0 EFB WBACKO Out 0.000 0.000 -
|
||||
wb_ack Net - - - - 4
|
||||
ram2e_ufm.un1_CmdBitbangMXO212_1_0_0_tz[0] ORCALUT4 B In 0.000 0.000 -
|
||||
ram2e_ufm.un1_CmdBitbangMXO212_1_0_0_tz[0] ORCALUT4 Z Out 1.017 1.017 -
|
||||
un1_CmdBitbangMXO212_1_0_0_tz[0] Net - - - - 1
|
||||
ram2e_ufm.un1_CmdBitbangMXO212_1_0_0_0[0] ORCALUT4 C In 0.000 1.017 -
|
||||
ram2e_ufm.un1_CmdBitbangMXO212_1_0_0_0[0] ORCALUT4 Z Out 1.017 2.034 -
|
||||
un1_CmdBitbangMXO212_1_0_0_0[0] Net - - - - 1
|
||||
ram2e_ufm.wb_cyc_stb_RNO_0 ORCALUT4 A In 0.000 2.034 -
|
||||
ram2e_ufm.wb_cyc_stb_RNO_0 ORCALUT4 Z Out 0.617 2.650 -
|
||||
un1_CmdBitbangMXO212_1_i[0] Net - - - - 1
|
||||
ram2e_ufm.wb_cyc_stb FD1P3AX SP In 0.000 2.650 -
|
||||
===============================================================================================================
|
||||
|
||||
|
||||
|
||||
@ -704,16 +706,16 @@ ram2e_ufm.RWMask[0] FD1P3AX SP In
|
||||
Timing exceptions that could not be applied
|
||||
None
|
||||
|
||||
Finished final timing analysis (Real Time elapsed 0h:00m:03s; CPU Time elapsed 0h:00m:02s; Memory used current: 161MB peak: 164MB)
|
||||
Finished final timing analysis (Real Time elapsed 0h:00m:16s; CPU Time elapsed 0h:00m:03s; Memory used current: 161MB peak: 163MB)
|
||||
|
||||
|
||||
Finished timing report (Real Time elapsed 0h:00m:03s; CPU Time elapsed 0h:00m:02s; Memory used current: 161MB peak: 164MB)
|
||||
Finished timing report (Real Time elapsed 0h:00m:16s; CPU Time elapsed 0h:00m:03s; Memory used current: 161MB peak: 163MB)
|
||||
|
||||
---------------------------------------
|
||||
Resource Usage Report
|
||||
Part: lcmxo2_1200hc-4
|
||||
|
||||
Register bits: 125 of 1280 (10%)
|
||||
Register bits: 124 of 1280 (10%)
|
||||
PIC Latch: 0
|
||||
I/O cells: 70
|
||||
|
||||
@ -722,7 +724,7 @@ Details:
|
||||
BB: 8
|
||||
CCU2D: 9
|
||||
EFB: 1
|
||||
FD1P3AX: 58
|
||||
FD1P3AX: 57
|
||||
FD1P3IX: 1
|
||||
FD1S3AX: 31
|
||||
FD1S3AY: 4
|
||||
@ -735,16 +737,17 @@ OB: 41
|
||||
OFS1P3BX: 6
|
||||
OFS1P3DX: 12
|
||||
OFS1P3IX: 3
|
||||
ORCALUT4: 275
|
||||
ORCALUT4: 268
|
||||
PFUMX: 10
|
||||
PUR: 1
|
||||
VHI: 3
|
||||
VLO: 3
|
||||
Mapper successful!
|
||||
|
||||
At Mapper Exit (Real Time elapsed 0h:00m:03s; CPU Time elapsed 0h:00m:02s; Memory used current: 33MB peak: 164MB)
|
||||
At Mapper Exit (Real Time elapsed 0h:00m:16s; CPU Time elapsed 0h:00m:03s; Memory used current: 33MB peak: 163MB)
|
||||
|
||||
Process took 0h:00m:03s realtime, 0h:00m:02s cputime
|
||||
# Fri Jun 7 20:50:12 2024
|
||||
Process took 0h:00m:16s realtime, 0h:00m:03s cputime
|
||||
# Fri Jul 12 16:07:48 2024
|
||||
|
||||
###########################################################]
|
||||
|
||||
|
@ -2,17 +2,19 @@
|
||||
Starting: parse design source files
|
||||
(VERI-1482) Analyzing Verilog file C:/lscc/diamond/3.11_x64/ispfpga/userware/NT/SYNTHESIS_HEADERS/machxo2.v
|
||||
(VERI-1482) Analyzing Verilog file //Mac/iCloud/Repos/RAM2E/CPLD/RAM2E.v
|
||||
WARNING - //Mac/iCloud/Repos/RAM2E/CPLD/RAM2E.v(140,9-140,25) (VERI-1362) CmdRWMaskSet is already implicitly declared on line 131
|
||||
WARNING - //Mac/iCloud/Repos/RAM2E/CPLD/RAM2E.v(141,9-141,22) (VERI-1362) CmdLEDSet is already implicitly declared on line 131
|
||||
(VERI-1482) Analyzing Verilog file //Mac/iCloud/Repos/RAM2E/CPLD/UFM-LCMXO2.v
|
||||
(VERI-1482) Analyzing Verilog file //Mac/iCloud/Repos/RAM2E/CPLD/LCMXO2-1200HC/REFB.v
|
||||
(VERI-1482) Analyzing Verilog file //Mac/iCloud/Repos/RAM2E/CPLD/DHGR-ON.v
|
||||
INFO - //Mac/iCloud/Repos/RAM2E/CPLD/RAM2E.v(1,8-1,13) (VERI-1018) compiling module RAM2E
|
||||
INFO - //Mac/iCloud/Repos/RAM2E/CPLD/RAM2E.v(1,1-479,10) (VERI-9000) elaborating module 'RAM2E'
|
||||
INFO - //Mac/iCloud/Repos/RAM2E/CPLD/RAM2E.v(1,1-476,10) (VERI-9000) elaborating module 'RAM2E'
|
||||
INFO - //Mac/iCloud/Repos/RAM2E/CPLD/UFM-LCMXO2.v(1,1-335,10) (VERI-9000) elaborating module 'RAM2E_UFM_uniq_1'
|
||||
INFO - //Mac/iCloud/Repos/RAM2E/CPLD/DHGR-ON.v(1,1-1,68) (VERI-9000) elaborating module 'DHGR_uniq_1'
|
||||
INFO - //Mac/iCloud/Repos/RAM2E/CPLD/LCMXO2-1200HC/REFB.v(8,1-113,10) (VERI-9000) elaborating module 'REFB_uniq_1'
|
||||
INFO - C:/lscc/diamond/3.11_x64/ispfpga/userware/NT/SYNTHESIS_HEADERS/machxo2.v(1120,1-1122,10) (VERI-9000) elaborating module 'VHI_uniq_1'
|
||||
INFO - C:/lscc/diamond/3.11_x64/ispfpga/userware/NT/SYNTHESIS_HEADERS/machxo2.v(1124,1-1126,10) (VERI-9000) elaborating module 'VLO_uniq_1'
|
||||
INFO - C:/lscc/diamond/3.11_x64/ispfpga/userware/NT/SYNTHESIS_HEADERS/machxo2.v(1800,1-1872,10) (VERI-9000) elaborating module 'EFB_uniq_1'
|
||||
Done: design load finished with (0) errors, and (0) warnings
|
||||
Done: design load finished with (0) errors, and (2) warnings
|
||||
|
||||
</PRE></BODY></HTML>
|
@ -1,3 +1,3 @@
|
||||
<?xml version="1.0" encoding="UTF-8"?>
|
||||
<userSetting name="//Mac/iCloud/Repos/RAM2E/CPLD/LCMXO2-1200HC/promote.xml" version="Diamond (64-bit) 3.11.3.469" date="Sat Jun 08 04:44:51 2024" vendor="Lattice Semiconductor Corporation" >
|
||||
<userSetting name="//Mac/iCloud/Repos/RAM2E/CPLD/LCMXO2-1200HC/promote.xml" version="Diamond (64-bit) 3.11.3.469" date="Fri Jul 12 16:10:19 2024" vendor="Lattice Semiconductor Corporation" >
|
||||
</userSetting>
|
||||
|
70
CPLD/LCMXO2-640HC-NODHGR/RAM2E_LCMXO2_640HC_tcl.html
Normal file
70
CPLD/LCMXO2-640HC-NODHGR/RAM2E_LCMXO2_640HC_tcl.html
Normal file
@ -0,0 +1,70 @@
|
||||
<HTML>
|
||||
<HEAD><TITLE>Lattice TCL Log</TITLE>
|
||||
<STYLE TYPE="text/css">
|
||||
<!--
|
||||
body,pre{
font-family:'Courier New', monospace;
color: #000000;
font-size:88%;
background-color: #ffffff;
}
h1 {
font-weight: bold;
margin-top: 24px;
margin-bottom: 10px;
border-bottom: 3px solid #000; font-size: 1em;
}
h2 {
font-weight: bold;
margin-top: 18px;
margin-bottom: 5px;
font-size: 0.90em;
}
h3 {
font-weight: bold;
margin-top: 12px;
margin-bottom: 5px;
font-size: 0.80em;
}
p {
font-size:78%;
}
P.Table {
margin-top: 4px;
margin-bottom: 4px;
margin-right: 4px;
margin-left: 4px;
}
table
{
border-width: 1px 1px 1px 1px;
border-style: solid solid solid solid;
border-color: black black black black;
border-collapse: collapse;
}
th {
font-weight:bold;
padding: 4px;
border-width: 1px 1px 1px 1px;
border-style: solid solid solid solid;
border-color: black black black black;
vertical-align:top;
text-align:left;
font-size:78%;
}
td {
padding: 4px;
border-width: 1px 1px 1px 1px;
border-style: solid solid solid solid;
border-color: black black black black;
vertical-align:top;
font-size:78%;
}
a {
color:#013C9A;
text-decoration:none;
}
a:visited {
color:#013C9A;
}
a:hover, a:active {
text-decoration:underline;
color:#5BAFD4;
}
.pass
{
background-color: #00ff00;
}
.fail
{
background-color: #ff0000;
}
.comment
{
font-size: 90%;
font-style: italic;
}
|
||||
-->
|
||||
</STYLE>
|
||||
</HEAD>
|
||||
<PRE><A name="pn240608044453"></A><B><U><big>pn240608044453</big></U></B>
|
||||
#Start recording tcl command: 6/7/2024 20:49:46
|
||||
#Project Location: //Mac/iCloud/Repos/RAM2E/CPLD/LCMXO2-640HC-NODHGR; Project name: RAM2E_LCMXO2_640HC
|
||||
prj_project open "//Mac/iCloud/Repos/RAM2E/CPLD/LCMXO2-640HC-NODHGR/RAM2E_LCMXO2_640HC.ldf"
|
||||
prj_run Export -impl impl1 -forceAll
|
||||
#Stop recording: 6/8/2024 04:44:53
|
||||
|
||||
|
||||
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
</PRE></FONT>
|
||||
</BODY>
|
||||
</HTML>
|
@ -1,6 +1,6 @@
|
||||
NOTE Copyright (C), 1992-2010, Lattice Semiconductor Corporation *
|
||||
NOTE All Rights Reserved *
|
||||
NOTE DATE CREATED: Fri Jun 07 20:50:20 2024 *
|
||||
NOTE DATE CREATED: Fri Jul 12 16:08:40 2024 *
|
||||
NOTE DESIGN NAME: RAM2E *
|
||||
NOTE DEVICE NAME: LCMXO2-640HC-4TQFP100 *
|
||||
NOTE PIN ASSIGNMENTS *
|
||||
|
@ -1,7 +1,7 @@
|
||||
----------------------------------------------------------------------
|
||||
Report for cell RAM2E.verilog
|
||||
|
||||
Register bits: 125 of 640 (20%)
|
||||
Register bits: 124 of 640 (19%)
|
||||
PIC Latch: 0
|
||||
I/O cells: 70
|
||||
Cell usage:
|
||||
@ -9,7 +9,7 @@ I/O cells: 70
|
||||
BB 8 100.0
|
||||
CCU2D 9 100.0
|
||||
EFB 1 100.0
|
||||
FD1P3AX 58 100.0
|
||||
FD1P3AX 57 100.0
|
||||
FD1P3IX 1 100.0
|
||||
FD1S3AX 31 100.0
|
||||
FD1S3AY 4 100.0
|
||||
@ -22,7 +22,8 @@ I/O cells: 70
|
||||
OFS1P3BX 6 100.0
|
||||
OFS1P3DX 12 100.0
|
||||
OFS1P3IX 3 100.0
|
||||
ORCALUT4 275 100.0
|
||||
ORCALUT4 268 100.0
|
||||
PFUMX 10 100.0
|
||||
PUR 1 100.0
|
||||
VHI 3 100.0
|
||||
VLO 3 100.0
|
||||
@ -30,23 +31,24 @@ SUB MODULES
|
||||
RAM2E_UFM 1 100.0
|
||||
REFB 1 100.0
|
||||
|
||||
TOTAL 494
|
||||
TOTAL 496
|
||||
----------------------------------------------------------------------
|
||||
Report for cell RAM2E_UFM.netlist
|
||||
Instance path: ram2e_ufm
|
||||
Cell usage:
|
||||
cell count Res Usage(%)
|
||||
EFB 1 100.0
|
||||
FD1P3AX 29 50.0
|
||||
FD1P3AX 29 50.9
|
||||
FD1P3IX 1 100.0
|
||||
FD1S3IX 1 11.1
|
||||
ORCALUT4 268 97.5
|
||||
ORCALUT4 260 97.0
|
||||
PFUMX 10 100.0
|
||||
VHI 2 66.7
|
||||
VLO 2 66.7
|
||||
SUB MODULES
|
||||
REFB 1 100.0
|
||||
|
||||
TOTAL 305
|
||||
TOTAL 307
|
||||
----------------------------------------------------------------------
|
||||
Report for cell REFB.netlist
|
||||
Instance path: ram2e_ufm.ufmefb
|
||||
|
@ -4,10 +4,10 @@ Copyright (c) 1995 AT&T Corp. All rights reserved.
|
||||
Copyright (c) 1995-2001 Lucent Technologies Inc. All rights reserved.
|
||||
Copyright (c) 2001 Agere Systems All rights reserved.
|
||||
Copyright (c) 2002-2020 Lattice Semiconductor Corporation, All rights reserved.
|
||||
Fri Jun 07 20:50:16 2024
|
||||
Fri Jul 12 16:08:36 2024
|
||||
|
||||
|
||||
Command: bitgen -g RamCfg:Reset -path //Mac/iCloud/Repos/RAM2E/CPLD/LCMXO2-640HC-NODHGR -w -jedec -gui RAM2E_LCMXO2_640HC_impl1.ncd RAM2E_LCMXO2_640HC_impl1.prf
|
||||
Command: bitgen -g RamCfg:Reset -path //Mac/iCloud/Repos/RAM2E/CPLD/LCMXO2-640HC-NODHGR -w -jedec -gui -msgset //Mac/iCloud/Repos/RAM2E/CPLD/LCMXO2-640HC-NODHGR/promote.xml RAM2E_LCMXO2_640HC_impl1.ncd RAM2E_LCMXO2_640HC_impl1.prf
|
||||
|
||||
Loading design for application Bitgen from file RAM2E_LCMXO2_640HC_impl1.ncd.
|
||||
Design name: RAM2E
|
||||
|
File diff suppressed because it is too large
Load Diff
@ -2,7 +2,7 @@
|
||||
NOTE Diamond (64-bit) 3.11.3.469 JEDEC Compatible Fuse File.*
|
||||
NOTE Copyright (C), 1992-2010, Lattice Semiconductor Corporation.*
|
||||
NOTE All Rights Reserved.*
|
||||
NOTE DATE CREATED: Fri Jun 07 20:50:17 2024*
|
||||
NOTE DATE CREATED: Fri Jul 12 16:08:37 2024*
|
||||
NOTE DESIGN NAME: RAM2E_LCMXO2_640HC_impl1.ncd*
|
||||
NOTE DEVICE NAME: LCMXO2-640HC-4TQFP100*
|
||||
NOTE JEDEC FILE STATUS: Final Version 1.95*
|
||||
@ -82,423 +82,423 @@ QF171904*
|
||||
G0*
|
||||
F0*
|
||||
L000000
|
||||
11111111111111111011110110110011111111111111111100111011000000000000000000000000000000100000000000000000000000000000010100101000
|
||||
00001001001100000000011001010000010010001111111101000110000000000000000000000000101110001110000000000000110101110000000000000000
|
||||
11111111111111111011110110110011111111111111111100111011000000000000000000000000000000100000000000000000000000000011000001010000
|
||||
00000110000010010010100000000101010010001111111101000110000000000000000000000000101110001110000000000000110101110000000000000000
|
||||
00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
00000000000000000000000000010001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
00000000000000000001001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
00000000000000000000000000000000000000000000100011000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010100100000100011000
|
||||
00000000100010000100000000000000000000000001000100100110000100011000000000000000000000000000000000000000000000000000000000001000
|
||||
01100100000010000110010010011000010000001001100000010000100100001000000010010010010000000000000000010010000100000010001100010011
|
||||
00000000000000000000000000000000000000000000000000000000000000000000100011000010010100000001001110000010011100001101110000000000
|
||||
00000100000000000100100100111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100111000000
|
||||
00000000000001000010001001100000000000000000000000000000000000000000000000000000000000000000000000001001100000000000000000011011
|
||||
01000001000100000010001000000000000000010000010001100001000011011001001110000000000000000000000000000000000000000000000000000000
|
||||
00010000100000100001000000000000000000000000000000000000000000000011001000101001100000000000000000000000000000000000000000000000
|
||||
00000000000000000000100011000001000100000000000010001100010011100000100111000000000000000010010100000000000000000000000000000000
|
||||
00000000000000000000000000001001110000010011100000000100111000000000000000000000000000000000000000000100110100100000000000000000
|
||||
00000000000000000000000000000000000000001001100000000000000000000000000000010010100100010000000000000000000010111000000100010000
|
||||
10011100000000000000000000000000000000000000000000000000000000100101000000000000000000000001000110000010001010011100000000100100
|
||||
00000000010000100001000001010100000000000000000000000000000000000000000000000000100011000001001000000000000000000000000010010100
|
||||
01001110000000100000000000000100100000100010001000010010011110010100000000000000000000000000000000000000000000000000000000000000
|
||||
00000000001000011001110000101001000001000110000000000010000100010011000000100101000000000000000000000000000000000000000000000000
|
||||
00000000000000000000000000100111000001111000000000001001100000010011101101101000000000000000000000000000100010010111001001001000
|
||||
01000000000000000000000000000000000000000000000000000000000000000100010000001000100000010001010011100001000101001010000000000010
|
||||
10010000000000001000011000101101000001010101001001110111001010000000000000000000000000000000000000000000000000000000000000001001
|
||||
10000010000100000100001100000010001000100001100110000001000110100011001000010000000000000000001001001000110100111100111000000010
|
||||
00000000000000000000000000000000000000000000000000000000000010001100001000000000001110010000001001110100000110001100000000000000
|
||||
10011100000001010010000000001001010000001000101001110000000000000000000000000000000000000000000000001000000000000000000000000000
|
||||
00000000000000000000000000000000010010100000000000000000000000000000000000000000000000000000000000001000100000000000010101000000
|
||||
00000000000000000001010110000000000000000010010100000100110100110000000000000000000000000000000000000000000000000000000000000000
|
||||
00000000001000011001100000000000000000000000000000000100011100011000000000100111000000000000000000000000000000000000000000000000
|
||||
00000000000000000000000000000000000000000000000000000000000000000110011100011001110000001000110000000000000000000000000000000000
|
||||
00000000000000000000000000100111000100000000001000101001000000000000000000001001100000000000010010100100010100111100011100100000
|
||||
00000000000000000000000000000000000000000000000000000000000000000000000000000100110000000000000000000100011000000000010010100100
|
||||
11000101001100110000000000000000000000000000000000000000000000000000000000000000010001000001011010000001000000000000000000000000
|
||||
00000000001000111010010111000001010011000000000000000000000000000000000000000000000000000000000000000000000000100000000001000001
|
||||
00010000000000000000000000000000000100011100010100010001001011010110000000000000000000000000000000000000000000000000000000000000
|
||||
01000000001000000010010100000000101101100010100111001100100100100011000000001000110000000010001001010010000000000000000010100110
|
||||
01010000000000000000000000000000000000000000000000000001000100000110011001011010001000010010000010001000011001000101001100000100
|
||||
00110011001000110011001000101001100010100101100100010100110000011010010101101000101000000000000000000001001000000010011000000000
|
||||
00000000000000000000000000000000000000100001101011010010010011000000010011110011100100100001001110001101000001010010100100011000
|
||||
00100011000001010011001100000000000000000000100110100110000000000000000000000000000000000000000000000000000000001001110001100010
|
||||
00110001110011100000100011000001000010001100100101000000000100101000000010000010000100000000000000000001001001001000000000000000
|
||||
00000000000000000000000000000000000000001001010000010011000001000001101000001000001000001000000011001001000000000000001000000000
|
||||
00010100100000000000000000000000011001111000001000100000000000000000000000000000000000000000000100001100111001010101001000001100
|
||||
11001010011010000100011001100101101000001100001110000101000100001100110000010001101000110000001001100011010010101001101001010000
|
||||
00000000000000000000000001100111100000000000000000000000000000000000000101011000000000100101010101100000001001001001101000110001
|
||||
00100110100001010001001000110010011000000100110000001000010000101001100110100110000000000000101011000000011000011110000000000100
|
||||
00000000000000000000000000000000000000000000101010000001011000000110001000110001100001100010001001110100000100110011001001010000
|
||||
01100010001010010100000101011100011001000000000000000000000000000000000000000000000000000000000000000000000000000000001001001001
|
||||
01010000000111001001010001101001001001100100111010001110001011110000000100100100010100001010011001011101000111000001110001100100
|
||||
01100000001000000001010010010000110000000000000000000000000000000110011110010010000000000000000000000000000000000000000000001001
|
||||
01100010110001000110000101010111000001111000000110000101011100100001100001011100011010000010000011101100001001000100101100101001
|
||||
00100000101111101100100110010111100010000001000010000010000110001110001100000010001000000000000000000000011001111000000000000000
|
||||
00000000000000000000000000000000100010110000101000100100110010010010010110011100000110000101000001100010100100011000100001111011
|
||||
01101011000101001000000010000010010000001000001101100000101110100010100111010001100000010011100000000011000011110000000000000000
|
||||
00000000000000000000000000000001001000000010110011000010101001111010010010000111011000001001100000101100000000100111000000001000
|
||||
10100010000001000101000010001001010001001110110010101000000000000000000001100111100000000000000000000000000000000000001000000000
|
||||
00001001111000011001011100100001010011101100011001110001001011011000001001110011010010011001100010010000110000110011011000000100
|
||||
00001011010010010101000011110000001100010100101111010100000010000100001001101100010010100110000000000000000000011000011110000000
|
||||
00010010100000000000000000000000000000000010000100000001000110100110100100111000101000100011010111010001110001101001001001101001
|
||||
10101001111000100000100001110100000110010100010010011100000101010011001001001000011001001101101100000000010001101001101100100010
|
||||
01000100101111000000000000000000000000000000000000000010101100000000000000000000000000000101011000111000001010011001000110010011
|
||||
11000010010010110000001011101001011001010010000010011100011001010011001101001001010111001010001001111010110101001011000100010100
|
||||
10000010010000010111000100010000000000000000000000010001100000000000000000000000000000000000000000001011000001001011111000000100
|
||||
00110000010011110010100100011010001000000001000010011000011101001110001000011110000001100010000000011000011000010000111100000101
|
||||
00111000010000000000000000000000001001110000010010000000000000000000000000000000000000010010100100001110101000100110001010010110
|
||||
01101100000110110000010000100100010011000101011010010100110000010011110001010011110011000011000010101001110010010000010001000010
|
||||
00011001110000100101000000000000000011000011110000000000000000000000000000000000000000000000001000111100101001110000110011000100
|
||||
10000011000100010100011001001101001010001100001010110001100001101100000100110001100110100010011010011001001011000001110000110010
|
||||
01010001000010011101000000110001000111100001101001110000010001000000000000000000000000001001010000000000000000000000000000000000
|
||||
00100110010010010010110011100100101100101100011000011001000010010011001000001100100100100111111001000010010010010010001011100001
|
||||
10001101011000001000011101001001010010010101000101010001010100100001110100100110010110011000000001001100000000000000000000000000
|
||||
00000000000000000000000000000000000000100110101101110010001001000001001100111010011010000110111010011010011001000110100111100100
|
||||
00100001000000010001100000010011000000000100010011100010001001000100011000100111010010000000000001100001111000000000010001101001
|
||||
00000000000000000000000000000000000000001001000000010001001011011010011001110001001000000011000110100101110001011010100111001000
|
||||
10000100110000000100000000000000000000000000000000000001001100000000000000000000000000000000000000100101010001110001000101100110
|
||||
00100011010011001011000110001000001101100001000011000110000000010010010011100111010000011001100011001100110000001100010001100010
|
||||
01001000101000001110000110010010100000000000100000000000000000000000010010101001000000001010110000000000000000000000000000000101
|
||||
01110001000001001011010100100001000100000010001100011001001001000000010010010001011101010011001100101001000001001000000001000101
|
||||
00000100001110100100111101000001001000101110000000000010010000000000000000100100001100100100010011000000000000000000000000000000
|
||||
00000001000010100101110110000010000000010101010010010110010011000101010010110010011000000011010010010000100011000100010000001001
|
||||
10001000010101011000000000001010110010000110011100000000000000000000000000000000000000000000000000000110000111111110111110110011
|
||||
00110011111101011111111101010100011001100110101000111111110000111111111001111110101111111110010000111111100110011111100111111110
|
||||
01110000111000011111110001000100110011010011101000011110011111000010000111100111110011000110111111101110100001110001011111111110
|
||||
01100110000000000000000000000000000000000000000000000000000000000000000000000101000101000100100110001000100110011111111111111001
|
||||
00100111111011110100010001111101111111111111100001111111110110000110000101111001000101111000000110000001110111111001100111111101
|
||||
11010001101101001110101111111001111100001001001011010100010110001101111010001001011111100010111111111100110001100000000000000000
|
||||
00000000000000000000000000000000000000000000000000000110000101110100001111101111001111111010111011101110010011101111111111111011
|
||||
11000011001110111111101000100101011111110111000100010111100100010111100111111110001011011111111001100111000111010001101101001110
|
||||
10111111100111110000100100110001011101000101010101101000100101111110010101111100110011000110000000000000000000000000000000000000
|
||||
00000000000000000000000000000110000111111110111110101000001110100000111111110110000110100010100010011010100011111011110010100001
|
||||
11111010111110111011000011111110011001100000011111100010110000111000011111110001110100110011010011101000011011010000100001110001
|
||||
01110011101011111111101110100001110010101110100010011000000000000000000000000000000000000000000000000000000000000000000000001000
|
||||
00001001110010000000111000010000110001001110010010001111110000000011000000111001001000111111000100001100000011100100100011111100
|
||||
01000010010001000111111000100000001000100011000000111001001000111111000100001001000000000000000000000000000000000000000000000000
|
||||
00000000000001001000011000101001000100010000000000010000100110011000100000100101000000000010110100000000000000000100011100111000
|
||||
00000000000000001010010000000000000000000000000000000000001000011001101001010001000011101000101001000010010000100001100100010110
|
||||
10000011100010010000110010101010011010010100000000010001100100011001100100010100110001000110001000110000010010100000000000000000
|
||||
00000000001001100000000000000000000000000000000001001111001100010010110011010010010001010101000000001001001101000100100111000100
|
||||
01110011010011100000001010010010011000100110010001101001110110010010110101100001010101000110100000000000000000000000010011010011
|
||||
00000000000000000000000000000000000000000000000010101010000110011010000000010000000001101000001000001100010001010010000000000011
|
||||
00010001001001011001000001000110000010011000100110010001110010100000000000000000010010010010000000000000000000000000000000000000
|
||||
10101000100001100100100100100100000000000100111000000100100010001110010101100111000010001100001001001001000010001100000000000100
|
||||
01111110000000000010011100000000000000100010000000000000011001111000000000000000000000000000000000000000000000010000110011000001
|
||||
11001001010011010011000101001101101110100001000100110000000000100011000001000110000100011000001000111000110000000000000001110000
|
||||
01010011000010001100000001100111100000000000000000000000000000000101010001000100100110100110001000110000000010100100101001010010
|
||||
10100110010011000100011000010011010011000100000100111000100010100110000111010100001000001001010010001101011000000000000000000000
|
||||
00110000111100000000000000000000000000000000000000001001100000100001000001000110001000011100010001100001100100000100000100001100
|
||||
11001001100000000000000110001000101001010100001000000100110001001100000000000000000000000000000000000000000000000000000010011110
|
||||
00010010000100110100000101000101100100101111010000001000101000101110001000111000001010010001100010100111010000000100110000010000
|
||||
00010000011000100011101000101000001100011001111100000100100000011100110001000000111000100010010101100011001111000010001001111000
|
||||
01100111100100101001110010001010011100000000000010000100000000000110011110010010000000000000000000000000000000100000000000100100
|
||||
01100010010100101000100110110100001000100111100101100011111100000010000010010111100000111011101011110000100101010011010110110010
|
||||
10010011100100011100101100101100001110001001010011001100100001001001001000011001010001011001000011101000010000000000000100000000
|
||||
00010001100000000110011110000000000000000000000000000000100001010110000101010001000111000101110000010101101110110000010100101110
|
||||
01000010101101000010100100110001001010001110010010010001101001001001110001100100011001001011011001100101001010001110001110111010
|
||||
00001000111001110001110000100000001010100100111000100000110100101011101000001001110000000000001000010000011000011110000000000000
|
||||
00000000000000000000000001000000010010000001100010100000000100010100111010011110011001010101110000100101110100100010000110000010
|
||||
01110110010010010001001001011001111001010000010001111000101001000011000001011101000100100111001101001001100010000110010010000000
|
||||
00000000000000000000000001100111100000000000000000000000000000000100011100010011100000010001000011110000010111010000010001011010
|
||||
11000101011100100100100110010000111100000010001100000011100000110000101010011000100101110100100110000110011010011010000010001011
|
||||
00101010100100100011100011010000011010000101100010010100101111100000010010110001111110010101110000010100111100101110001001010001
|
||||
10100111100100100111101110101011100100010010100000000000000000001100001111000000000010101100000000000000000000000000010011001000
|
||||
10000001001110100001001000011001011000101000111001111100111000100100011011010000100011000100111001000111001011000000101010100111
|
||||
10010101101001010100010100010111000110000100001100110010001110010010011010010110110001101000010010000110010110110010110110011000
|
||||
00000000000000000000000000000000000000000000000000000000010000101101000010000100011001000100100110100110010001001011110101001100
|
||||
00001100001010000010011010011111100010001011110001111000001100011001010111001101110000001100110110011100010011010100101100111001
|
||||
10011010011011010000100110110000011001000101001000010010011000101001010011000110000000000000000000000000000000000000000000000000
|
||||
00000000000100010110110000011100100000010101010001010000110011110010011011000100100100110000101010011010010101110000100101100111
|
||||
10000001010010110110000011000011001100001010101111100011011001000100110100010101001100010000111100010101001111010100010011011000
|
||||
01010010010110010010010001010101000111001110110100110001000011101000001100110000000000000000000000000000001001110100000000100100
|
||||
00000000000000000000100111000000000001010011101000101111010000000010100110000010011001000000100000110101101010001110101100100011
|
||||
10110111010110000100111011001010011101001001100110001100001010100100110010011000001101000001000001100001010100001100110000101101
|
||||
10110000000000000000000000110000011100000000000000000000000000000000100010000100000001100101001010111000010000000000100110001000
|
||||
11100101100100110110010001001111001110100010110110000001000101000110000100101101011011001000011011011001111001110001000101000001
|
||||
11000101100010010110011010010000001010011100100100000000100110000000000000000000000010000100010010100000101011010000110011100000
|
||||
00000000000010001001001110001000011011011101100000000100010100101101111010001010010110001101000010010000010001110001010011110011
|
||||
00001011001001001001000011000100101010101001000100010010011111001001000000100000110000110100001100100001100111010011000000000000
|
||||
00000000000000000000000000000000000000000100110010010110010110010000001001010101110110001001011000000111000001100001110100111110
|
||||
10000100010000111100000011110010000000100001110100000101001111001100110100011010010110011110011000100011010111001000011000101100
|
||||
10100111001001011110100000000010011000001100100100100000100111111001000000000100011000000000000110000011100000000000000111001100
|
||||
00000000000000000001000110000010001111000011000010001000001001001110001000100110010010110000110001010001011011000000010001110000
|
||||
01100100010100111010010010111010001011010001001001001001010100010111110000100100110100101110010000110001110011010011010010101000
|
||||
11100011101010000100011110010000110110011011000001001111000000110100101010000011010010101001110000000000000010010000000000000110
|
||||
00110000000000000000000000010011000000100110011000000110100000000010101011100000101001000010001111110000011101000001010000110010
|
||||
11001111001110100011000100110100001110000001111000100010010001011000010100110000101001000011100010010100001100100000100000100101
|
||||
10001101001001100100100010001110000110010100010100100000000000000001000110000000000001000100100110000000000000000000000000010000
|
||||
10000000100110100000100110001100100011100101110010001010010110100101001010100100100110011100100001001111000111010100100110001000
|
||||
11110010010010001110111000101010100010010010010101001000011000010010100110000010110111110000001001011001000010000010010010001110
|
||||
01110000000000000000010000000000011110000001001000010011000000000000000000000000000010010000000001011011001001001001000011001001
|
||||
00111101010100011100111010001110001010101110101010010100011000100100100111100100100110010000011001000101001100011000010101111000
|
||||
00011001100010001101000101110011100011100010001001110010011101000001001110100100001101000010110100010000000000000000000010110100
|
||||
00000000000000000000000000000000011000011111111110000100001101000001111111010110111011110000111111111101101110110100110101000111
|
||||
11111000101000111111000001100110111101000011100111111111011101100110111111001010100010000111011011111010000110000111110100000111
|
||||
00100000111111110110100001101111111110110111101010001110001100100001111101110111100100000101000111111110101110111011111111100101
|
||||
11011111111111111010101000101000000000000000000000010111000000000000000000000000000000000000000001101010101111010101011000101001
|
||||
01000001010001010001001001111111100101000110000111011010101111100001100100100111011111111100110011000111100110011111101111110010
|
||||
01110011111110010001010001010100011111111001101110000111111011010100010001111001011111110101110100100110110110011100100001000111
|
||||
01000111111011110010001011001000111111111101111100110010010011111111011110010000100011111101111111111110111001001110111011110110
|
||||
00001000111111011111111111110110010011110111111111111011100011000000000000000001000100000000000000000000000000000000000001100110
|
||||
01111110011001001000001111111110101000100100111111110010100011000011101101110111111100110001111110111111100110010001010011111111
|
||||
11101101111110111111111001100111100001100111111000011101100111101110000101000111010111110001111001011111000100110010011011110011
|
||||
00011111011101110001010011100111111111001001100011110001000111010011001011111111101111110110000001100110101111101100001111000100
|
||||
11110001000111111011111101011000111001001111111110110000000000000000000000000000000000000000000000000000011000011111111110000100
|
||||
00100011111111101101110111100001111111111011011101101001101010001110101010010100011100110010110111111110100010000110100011110011
|
||||
00100110111111000110100010000111011001101111001111100110110000111110100001100111111111100111001010001111001111010100011110010011
|
||||
00110110011001111110011001000011101101111110110111101100110101111101100001111110111111111011101111111101101000011100100111010000
|
||||
00000000000000000000000000000000000000000000000000000000100000001110000111001000000011100001000011000100111011110100111001000010
|
||||
10001111110001000011000000111001001100001100111100010000110001000100100111001000000010011100110001000101000111111000100001000000
|
||||
01001110010000000100111000000000000000000001100000011111100000000000000000000000000000000000000000000000000001100010010010110010
|
||||
00000000001010110001011010000001001100000010001100000010001000010000100000000000000000000000000000000000000000000000000000000000
|
||||
00000000000000000000000010010100100111000000010000100000100001000001011001110000100000010110000100111000000000010011110010100000
|
||||
00001000100000000000000000001000011001100000000000000000000000000000000000000000010011000010011101000110000010011010101010100100
|
||||
00100011000000111000010010011100100011000100011010001000000000100100000000000100000000000000000000000000000000000000000000000000
|
||||
00000000000001001101110000001101100110100010001011010010000000001100011001100001000001000000000000000010000000010011000001000011
|
||||
00111001000100000000000000000000000000000000000000000000000000000000000000000010010000000000011001001010000100011000001000001001
|
||||
10000010001100000010011000000101011000000000001001110000000000000000000000000000000000000000000000000000000000000000000000000001
|
||||
10000110100001000011001101001100001100100010101001110001100001001110000000001000100000000000100001111000100000000000000000000000
|
||||
00000000000000000000000000000000000000000000001001010000000001000110000000001100010100101001100110100110100111000000000010011100
|
||||
00010101001010110010001100000000000000000000000000000000000000000000000000000000000000000000000000000000100111000010000010010000
|
||||
01100100001100000011000101100001000000000001001000000000000100000100110000010001100000000000000000000000000000000000000000000000
|
||||
00000000000000001001010001001111000111000011000101001101011110101110100100100111110001000100100111100011100101100100101110100101
|
||||
11001001001000111010010100110001001001000001110010010100101010001010001010001111000011011000110001000001000011000010100011010000
|
||||
00000000000000000000000000000000000000000000000000000000000000000000001000001100010010101100100111001000011000100100010110000101
|
||||
11000111101100100100011100001010010010000110011011010000011001011000110100101100101001001110111011000101101000001011101000000100
|
||||
01101100100100101111111001000001000010011010010011110110100000000000000000000000000000000000000000000000000000000000000010010000
|
||||
10000100011001010100100111001001010110001001001001010111000100110001011001100011001100100110010110010011010011110011001000110100
|
||||
01000010000010011110011000010011101000010100111001000011110010001010011100000000000000001000100000000000000000000000000000000000
|
||||
00000000000001001110010011100011000000111001000010011110010101101000010010001101010101011100010001110010010001111001001010100001
|
||||
00100011100010110001010010000010011100011001010101110010000111001000001110000010000100111000000000000000001000000000000000000000
|
||||
00000000000000000000000000000000000000100001010100100010010010000010000000001101000100100001111000000101000100010011010010010011
|
||||
11110000100011000100100100101011000010101010011100001010110000101000111000001110001010000101010010011001000011000110000000000000
|
||||
00000000000000000000000000000000000000000000000000000000000000100000100000010001000011100001000100101010010101000001000111100010
|
||||
10010111100011000100101001110001010011001111101000100010011101111000000100101111000010000010011010010100010011100000000000000000
|
||||
00000000000000000000000000000000000000000000000000000001101000010010001100100101100110110001101010011001000000001101000010100111
|
||||
01001111000011000000011000100100100000100110111010000101000111110000010010100100100100100111001000110001000110000000000000000000
|
||||
00000000000000000000000000000000000000000000000000011000000110001000101100100001100111100111010000111000110001001110011000101000
|
||||
11001001011101101001100100000010010011101001000001000110000100111110001011010000011011100010100001011100010101001111001110100010
|
||||
11000011001101100000000000000000000000000000000000000000000000000000000000000000000000000000000010011110011011001000100011010000
|
||||
10111000010111000100010001000001110000010100111110100001001100010010010010010100101001010100101010111110010100101100101010110001
|
||||
00100000110000101010011000010011000000000000000000000000000000000000000000000000000000000000000010001100010000010010101100010110
|
||||
01001000001000100111001000000010001110001111000011001001000100000100000101010000111100000010000110011010011000100111011001001001
|
||||
11001000001001011001111100110110100110000010100101000100000000000000000000000000000000010000000000000000000000000000000000000000
|
||||
00100010010101110011101001000001001011000010100010001001110101001010010101101100000010011111010001001000111001110100110110001100
|
||||
00010011000010001000000000000000000000000000000000000001000010000000000000000000000000000000010011000010000110011010011110000001
|
||||
11001000001001010110010011001001100010011111000100101011001110100000100111100110010000110000011100100100010001010101010011001001
|
||||
10100100011000101101100100001100111111000001000101010110101000111100110000001000100010011100000000000000000000000000000000000000
|
||||
00000000000000000000000000000100101010110000010101111000101001100001010110100110110011010011101011101011001001111001101010011000
|
||||
11010000001000011001111001010100000100000100110111010000010001110000110001111001100011001111010011001010100011100001100110000001
|
||||
00110000000000000000000000000000000000000000000000000000000000000000000000001000010100110010011011100001000100111100000100101001
|
||||
00001101011010010010010111000101000100001001001011001101000010001101000100101101100100010000011000100010000011001000101111001000
|
||||
10001000010000111011000000000000000000000000000000000000000000000000000000000000000000000000010001010001101001111000111000010111
|
||||
01000001101000001010000110011010000001001011001001101100000100101011010001001000000100001100101000101011110001100010011001000101
|
||||
00011110001001011000110001011001000000010010010010010011100100000000000000000000000000000000000000000000000000000000000000000000
|
||||
00000010011111000100010111010000010011110010101000111100100001110111000010010011000101000101101100000011100100011101010001101100
|
||||
11001001001001011110011000111001000010010010011110000010000011100000011001001100010011100000110001001010011010011110011010010001
|
||||
10010011010000000000010001100000000000000000000000000000000000000000000000000000000000000000010100010111001010001110110000011110
|
||||
01100111010101001010001010000110000111111100011110100110110111011100110001000101111111110110000001101000111111111100001010000111
|
||||
11111101010000101000101000011111110101100001100011110111011010000101000000111011110100000000000000000000000000000000000000000000
|
||||
00000000000000000000011000011110100100110011001101100100110111100110111101000001001001111110111111001100111000011001100001100110
|
||||
00011111011111100000011101000100011011001100111001001111111110101101100011100011111010100000111111111010001110100011110100001001
|
||||
00111011111111011111111000111010001100101110100100101000111111101110001111111100110001111111011100011000000000000000000000000000
|
||||
00000000000000000000000000000000000001100110011111011111101100111111111110111011000011101111111100111011101101010001110011001100
|
||||
01011000010111010001001011110000100101000100011010100001110110000110011000101111101111111010100000101000011111111101111001001010
|
||||
10000011001001111000110111101110111001110010110000111100011110010010000000000000000000000000000000000000000000000000000000000000
|
||||
00000101000101000011001111111111100110101110111101111110100001100001111101000011000010111001110110011010011111101111001100111111
|
||||
10110111011101111111110010111100111011111111000011011001100111111110110110001100111011111101110101100001111001010001100111001011
|
||||
00110011000111100100100000000000000000000000000000000000000000000000000000000000000000000000100000001001110011000000111011110100
|
||||
11100110000001110010010001111110000000010010000100111001000000010011100100000001001110010000000100111001000000000000000000000000
|
||||
00000000000000000000000000000000000000000000000000100101010010100000001000100000000000100001100011100011100110100101100101000111
|
||||
00100000000000000000000000000000000000001100010100100000000000000010011001000011001100000000000000000000000000000000000010000001
|
||||
01110110001100000000000100000100110000001110100000000110010001010011001001001001001000011110000010100100000000010010100000000000
|
||||
00000000000011000000110010000110100100000100111110110000000100110000000000000000000000000000000000001101000100001001100000000100
|
||||
11011010001000010001100100011111000100000001001100001000010100100100111000001000101110010000000000000000000000000000001001011001
|
||||
10001000001001110010011101000000000000000000000000000000000000000010000010110110010000000000010000001001000100110001000010000110
|
||||
10000011000011001000001101000001100011000000000000000000000000000000110000001100010101000001001100000000000000000000000000000000
|
||||
00000000000000000000000000000001001010000100100000001001010100100000000001000111000011000010000000000000000000000000011000101000
|
||||
00000000011001110000000000000000000010001100000010001100000000000001000011001100010001100001000110010000110011000001100100010110
|
||||
10001001001110100111001011000010011101000011001000000000000000000000000000000000000100001100110000110000111100000110011110010011
|
||||
10010011000000000000001001010000000000000000000000001001100000100110000101001001001100001000111000110000100011000010011000000001
|
||||
11000000100000000000000000000000000100101100110000110100111100000110000001110011101000000000000000000000000001001010000000000000
|
||||
00000000000010000010000101000111001000100010100000100110110100010000000110011010010010101000000000001000010000000000000000000000
|
||||
00000010101000001101110000000000010000100000000000000000000000000000000000000100001111100100010110111010011010010101110111110000
|
||||
00010011111000011001010101001101101100000001000001010110110101000101001000100110110001001010001110010111010000100010101110010010
|
||||
01111100001100001000011000011001000000000000000000000000001011100000000001100111000110001100000000100000000000000000000000000001
|
||||
00000000000100100010000110010100001000101000100001001011101100000100000010000000100000111001001011000100100100101011010001000100
|
||||
11111000110001001000100001000100101101011100110100010010000000000000000000000000000100000000001011011100001111000110011110010011
|
||||
10000010101100000000000000000000000000000010001110100110011010001010001100001000000001000001000111101011010100011101001001100101
|
||||
01011010010101001101110001000001100001010100111111000010010011010110000000001000101000000100101000000000000000000000000000000110
|
||||
10011110000000000000000000000000000000000000000100111100111101010111000000110011100101001100011100100001001011010101000010100111
|
||||
00100001001000011001011110100000110000001101011001001001001111000000010000011100001000111010000100100100100000000000000000000000
|
||||
00000000000000000110011110000000000000000000100010000000000000000000010000101100100100110001001010100101000011110000010110010001
|
||||
01001011000101111000000100011100011110000101010001010011110011110110001101000001010001000110001000110011010011101001111000011001
|
||||
11110100100110011110100100001000011001000000000010010100000000000000001001110100111000110000111100110011100010011000000000000000
|
||||
00100111000000000000000000110100000100000000010111000010011111010000101001000001101000100111000011011100000011000110100111110100
|
||||
01101100001010000000100011000101100110010010010011100000000000000000000000000100010000110000011100000000001001010101001000000000
|
||||
00000000000000000000000000001001001000110010110010001100100100111010010001001011001001001111001111000110100001110100100100100011
|
||||
10010101110000010100001100110001001100100110000110001011001001000000000000000000000000000100100000010000000000000010011110001100
|
||||
00000000000000000000000000000000010010101100010100100000100111001001010000100100111100001010000011010000011100010110011111000000
|
||||
10001001110110000100101010000110110010001101001000101010100010000001001001110010010100111000000001001000000000000000000000000000
|
||||
01000101111001000010011000100010000000000000100100000000000000000000010010010000011001000101101000001001000101001101110000100001
|
||||
00100100010110001010001001110111010000001000101110000010110100001010011101001011010011001101000101001110010000011100000100000110
|
||||
01000011000001001100000000000000000000000000000001000101111000000000000000001001000001001110000000000000000000000010011100000100
|
||||
00110010101010111000101100100010010010110001111010010101000001001011100111000010011011010000011100100100010001101100000011100000
|
||||
01000110001000011101010010010001101001100011100010000010011010001110010110111110001100000000000000000000000000000000000000000000
|
||||
00100001100111000000001001010000000000100001000000001001011000101010111001000010000001110000100010011001110010001010000001100100
|
||||
00100100110100100110001001000010011010000101001010000100110100011001000011100001100100110000000000000000000000000000000000000000
|
||||
01000100000000000000000000000000000000000000001100100010100000100111100001010011010110010000110011000010110110010111100110000100
|
||||
11001101000110110100010111100000010011000010111011011000100010010011100011010100100100101100101110001001010001111000101001100100
|
||||
00101001100000000000000000000000000000000000000000111110000000001001100000000000000000000000001000110000000110101001011100010011
|
||||
10100001010011111101010001000110000100111010001100100111111000001001000111000001000001001010001001011100100010100100100111001000
|
||||
10110110101010000110011001010010000000000010000000000000000000000010001001101011000100000111100000000000001001110100011000000000
|
||||
00000000000000000010011000000010010001001001001001000010100101001000100001000011100111001010000110010100100111100110100110000111
|
||||
00010101001111110000010100101010011011010000100101001010011010011010011010101000000000000000000000000000001001000001001100000000
|
||||
00001001011000100101111000000000000000000000000000000000100101100001100111010011100000011001000010111100000010010110010001000011
|
||||
01001110010010101101001010001011001000101000101001001110010000100010100011010011011010110001000000100010100011010001100000001000
|
||||
01000000000000000000100101000000000001001010100110000000000000000000000000000000100001001011011011111011111000011101000001001000
|
||||
00100010000101101011101000101001111001101001101000001000101110010000010011011001011001001101010101110100000100111010110011000100
|
||||
10110001000110001110011110111010001101000010100101000000000000000000000000001000100100111101101000000000000000000000000000000000
|
||||
00000001111110010110001000101010001110001111100110110000111111111110110111011101100111100000010100001100001111111111100110011011
|
||||
10101000101010011001110111010000101110110101111101000001010000110111011110100010000111100110011010000111111111011010101010110110
|
||||
00000000000000000000000000000000000010011000000000000000000000000000000000000000000000100010101000101000100100111011111111000111
|
||||
11100011011111110111001001110111011000110111111110010011000000111111001000110000110011101010101100110111100100110011101111110111
|
||||
11100011111100110011010111111001000110100010001101110111111111111010001011011001101111111001100101111011101011000001111001001101
|
||||
10000000000000000000000000000000000000000000000000000000000000000000000000000010001010100011111011100111010011011111100001000110
|
||||
11101010100111011101101000100111000110001100011001011000011000100111001010000111110110011101000001001001100001011101000110000110
|
||||
01111111110010111110100011001000101000111110111111110101010101110001101000000000000000000000000000000000011110000000000000000000
|
||||
00000000000000000000000011111100101100010001011011001101001001001101111111110101000011101110110011111100001001110110011111110011
|
||||
11001101100000111111111000001000001101111111011110011001110100000100001111011101111011111111001101111111110111100110010000101111
|
||||
01110110011010100011110011010101100000000000000000000000000000000000000000000000000000000000000000000000000000110000001110010001
|
||||
00111001100010001001001110011000000111001001000111111000000001100010011100100010011100100000001110000100001100000011101111100011
|
||||
11110000000010000000100111000000000000000000000000000000001100000011111100000000010011000000000000000000000000000000000000001000
|
||||
10100111000000000000100000100101100101100011000100100001000101001010100110000000000000000000000000000000000000000100011010011100
|
||||
00000000010011101000101000011101000001000000000000000000010001110001100000000000001000011001100000000000010001100100110010000110
|
||||
01101001100001110000010110100110110010000000000010000110011000001000011001100000000000000000000000110100001010011000000000110111
|
||||
00000011010000100000000000000000000100101000000000000000000000000101001000100011000010100101001101001100000100010100111001001100
|
||||
00001001100111000010000010011001000111000110000000000000000000100110100110001000001001110010011101110011001000000000000000000000
|
||||
10010100000000000000000000000000001000110010000010000110111010010010010001000101000000000100000000001000000100001010011101000000
|
||||
01001101001100000000000000000000101100100011000100110000001001010000000000000000000000000000000000010000100000100011000010111100
|
||||
00001000110001001100000010011000001000011001010000000000000000000000000000000001000110000000000001100111100010001000000000000000
|
||||
00000000100011000000000000000001001100000000010001000100110010000110011010001100000100100000000000100001100110010011000100001100
|
||||
11000000001001100000000000000011010000101001100001100001111000000110011110010011100100110000000000000000000000000000000000100111
|
||||
00000000010010100000000001001111001100000010101100010000110111100001001101000101001000001001100100011100011000010010000000000000
|
||||
00010011010011000011010011110000010000110011101110011001101010000000000000000000001001010000000000000000000010101001000110001000
|
||||
00000001000101110010000100100000000000010011000010000000100111001000000010011010011000000000000000000001011000000110111000000000
|
||||
01000010000000000000000000000000010100100000000001001000000000111000001011001000101001100011000010101010101010101010010100001100
|
||||
11110001111100100101001110100000010001110100111000000110001000111000010000000000000000000000000000000000000000000110011110011000
|
||||
11000000001000000000000000000000000000010000000000010001101001111011000001000100100100100011001000001001001000101100001100100000
|
||||
00100000010010001000110100110100001100110000100100100011010001100000100000000000010101100010011001000010000000001000001000010000
|
||||
10110111000011110110011110010011100000000000000000000000000000000000010010110011100000010000010010100010010110101111110000000100
|
||||
11100100101100101001100001010011001010011000001100001011000100101000010100100010100100000000000001000000000000000000000110100111
|
||||
10000000000000000000000000000000000000000000000010001001100001010000001010101110100000001011111000001000001001110001100100110110
|
||||
00010101011100000100100111010000000010001000100101000000000000000001000110000000000001000101010110000000011001111000000000000000
|
||||
00000100100000000000000000000001000110010101101001110001001101000101010110110001001000100000010101011001001101000111011100011001
|
||||
00001100000001001000010001010011000000000000000000000000000000000000001100001111001100111000100001100011000100111000000000000000
|
||||
00000000000000000100110000100011011001001000001110010000100111100100011101001000001001100101001011100100000111000100010011111010
|
||||
11000010000110011011010001101110000101110001010010001100100111000000000000000000000000000000001100000111000000000010010100000000
|
||||
00010101100000000000000000000000000000000000010001011101000000001001110001001011001100100010010001001001101001111001011000111001
|
||||
01100110010010100000000000101001000000000000000000000001000000000001000101001100010001000000000000010011000000000000000000000110
|
||||
00101000000010101100100011010010010101010011011101000000100010011010010101100001010010100101110000100110100001011001110101000010
|
||||
10000101011001000001110010000100111010001000000000000000000000000000000000000000001111101000000000000000000000000000001001110000
|
||||
00000001000100000100000100011000100101100001100010110100010010011110011000011100011000001001011011011001011110001000000100010111
|
||||
00000100011100001000100010010011110000100100010010011100000000000000000000100100100111001110000100111100000000000000000000000000
|
||||
00000000000010001000000000000010010110110001001111001100100101000010001110000111010000101000111001000110100010011100001101000011
|
||||
11001000001100100010001100100010001001101111000000111010001010001110011010001100111100000001000110010001010011001000110000010000
|
||||
11001110000000000010011001100100100000000000000000100001100111000000000000000000000000000001000110100001000000010011011001010011
|
||||
10010001010110110010000110001000110001000001001000100011001000101000111101000100011010001001001101100010100010001101001100001000
|
||||
11010011010100100000000000000000100100000000000001000000000000000000000000000000000000000000001001011100001010001011101110000100
|
||||
11001001000000100101110010010001001110010001011001100101101000001101110100001100100011010000101011000010011010001001100001010101
|
||||
11110011101000101001000100101010010101110010000010010100000000000000000000000100010000000011110000000010101101001100000000000000
|
||||
00000001010010010001010011100000100000101011000100000000010000110011010001111001001000001001100010101010101110000010011000100101
|
||||
01000110010001101001000001000101001111000100100111000100010010011100000000000000000000010111100100000111100000000010011100001001
|
||||
00100111000000000000000000000000000000001110100100110001001010000110001100100001110100010010010011010010100010000010001010010000
|
||||
01000001001101001000001110100110111100001010001010001100100010110000101011000011000100011010011001000110001001100000000000000000
|
||||
00000001000100100110010011000000000000010010100000000000000000000000000000000100010100100100000010011000010001100000000010001111
|
||||
00001010100101010000100110001001010000010001111010000101100010100100011100011010011001110010100100010111000100001101000100111100
|
||||
10100010001100000000000000000000001001100011000110000000001001000011000110000110100010000000000000000000000000000000001000000100
|
||||
01110001000001000001101000001111001000010011010010001000001111000001111001000000110010010011100100001100001110110101001010011110
|
||||
01101100001100100011100100100111100111100000111010000010010100111101000000100100010010101001010100100010010100000000000000000000
|
||||
10010010001001001111011010000000000000000000000000000000000000000000000110111000000101000001110111111000111111011101111110000111
|
||||
00010000100101111111110001101010101111100111101100110001111001001001111111110111111011101000001101000100010100010000001010001111
|
||||
11111010110011101111111111111101111001001011011111111111111110110100100111101111111111111101111001001011011111111111111110101001
|
||||
00000000000000000000000010011000000000000000000000000000000000000000000110111011100110110011000101000000101000100011101000111100
|
||||
11001001001001011101100100100011110101010011100011111001001100110011110000001110001110100010100000110100010010001111011111111100
|
||||
00110110010011101111111100111110110000110111101111001100111101111011000011011111001100111110110000110111101111001100111101111011
|
||||
00001101111100110011111010001100000000000000000000000000000000000000000000000000000000000000000111000111101001001101100110001111
|
||||
11110000011011111110101000110111111101111011101101000011110111001110001100100100111100111101000111111000001111010101110010011111
|
||||
01100101000001010000011011111111100001101111011110011001111011110110000110111110011001111101100001101111011110011001111011110110
|
||||
00011011111001100111111100011000000000000000000000000000000000000000000000000000000000000000000111000100001000011010000011111111
|
||||
10000110111011101110101110101000011110111011111111110011110011001111001100011110011101101100111011110000011110101011100001111100
|
||||
11001111111110011001100111100111100010000011111111001101000001111011111111111111011110000010110111111111111111101101000001111011
|
||||
11111111111101111000001011011111111010000000000000000000000000000000000000000000000000000000000000000000000000100000001000010010
|
||||
00000000010000000100111001100000011100100011100001000011000100010010011100100000001001110100000111101001110010010001111110001001
|
||||
10110100010000011110100111001001000111111000100110110100010000011110100000000000000000000000000011000000111111000000000000000100
|
||||
00000000000000000000000000000000000000010000010100110100100000000001010011001010000000000000000000010000000000000000000000000000
|
||||
00000000000000000010011001000011001100000000000000000000000000000000000001000010000000000000100100000010000000010001000000000000
|
||||
00100110100101000000000010010110011000000000000000000000001101100000001101000100000000000000000000000000000000000000000000000000
|
||||
10001000000010000100000000000000000101110100100000000000010111010010000000000000000000010011100000111001000001000000000000000000
|
||||
00000000000000000000000000000000000010000000000000000100111000000000010001101001000000000000010010000000000000000000000000000000
|
||||
00000000000000000000100100000000000000000100100000000001000110000000000000000000000000000000000000000000000000000000000001100111
|
||||
10000100001100110000000000000000000000011000010101001100000000000000000000000000000000000000000000010011100000100111000000001000
|
||||
10000000000000000000000110000111100000000110011110010011100100110000000000000000000000010101110011000000000000000100101000000000
|
||||
00000000000000000000000000000000000000000000000000000000011000011110000001100000011100111010000000000000000000000000000100000000
|
||||
00000000000000000000010000000000000000000000001000100000010001000000000010000000000000000000000000000000010000100000000000000000
|
||||
00000000000000000000001101000100011100010000000000011010000011000110111010000000000000000000000001001000000000000001000100000000
|
||||
00000000000000000110011110011000110001000010000100000000000000000000000000001000000000000000000110000001100001000011000111001100
|
||||
10001100100011000000000000000010011110101100000000000000000000000000000000010000111000011110000001100111100111001000000000000000
|
||||
00000000000000000000000000010100101010010000000100010000000000000000000000000000000000000000000000000000000100110000011000011110
|
||||
00010000100000000000000000000000000000000000000000000000011001101001100010100010011100100110000000000000000000000000000000100111
|
||||
00000000000000001001000000000000011001111000000000000000000000000000000000000000000000000010000100000000000000000000000000000000
|
||||
00000100111000000000000000001001110100111010001001100001111000000011001110000000000000000000000000000000000000000000000000000000
|
||||
00000000000000000000000000000000000000000000000000010001000100000000000000100101000000000000000000000000000000000000000000000000
|
||||
00000000000000000000000000000000000010010000000010000110011100101011000000100100101110001010100000100111000000000000000000000000
|
||||
00000000000000000000001000000010111100000000000000000000000000000000000000000000000000000000000000111100100000000000000000000000
|
||||
00000000000000000000000000000000000000000010000010010100000000000000000000000000000000000000010001000010011010011000000000100100
|
||||
00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000100000000000000000000000000
|
||||
00000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000000001000000000000000000000000000000
|
||||
00000000000000000000000000000000000000110000001100000000000000000000000000000000000000000000000000000000000010011100100011000000
|
||||
00111110000000100101010001000000000000000000000000000000000010010000000000010000001000110100110000000000000000000000001101011000
|
||||
00000000010001101001100000000000000000010111000000100111001100100100010011010011100000000000000000000110110010001001110000000001
|
||||
00010010011100000000010011000000000000000000000000100011000000000001001010000000000000000010001100000000001001010000100011000000
|
||||
00000000000000000100100000000010001000010010000000001000100100010000000000000000000000000000000000000010010000000000000000010010
|
||||
00101110000000000011000110000010001001110100000000000000000000000000100010000000000010011110101101011100000000001001100111011000
|
||||
00000000000000000000001001000100111000000000001011100000000000000001010010010000100000000011100100000000000000000000000000000000
|
||||
00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100101000100000000000000010000110010
|
||||
10000000000000000100001000000100100001010110100110000100011000000000000000000000000000000000000000000000000000000000000000001001
|
||||
00000010010100010000110011000000100011010110000100001010010100000000000000000001001001001111001010001001000000000010110000000000
|
||||
00000000000000000000000000000000000000000000000000000001000101001110000100000100011000001001110000011100000010000000000010011100
|
||||
00010011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010011000100010001001100000
|
||||
00000000000000100000110100001010011000100010100001110100101000000000000000000000000000000000000000000000000000000000000000100001
|
||||
10010000000000000000000000000000010000100000100001000000000001001010001001110100111000000000000000000000000000000000000000000000
|
||||
00000000000000000000000000000000000100111001000110001001110100011000100111000001001110100000000101100000001001000000100101000000
|
||||
00000000000000000000000000000000000000000000000000000000000000000000000000010001100000000000000000000100010000000010001101001010
|
||||
00000000000000000000000000000000000000000000000000000000000000000000000000000000000000100101000000000000000000000000010110110000
|
||||
00000100110000000000000000000000000000000000000000000000000000000000000000000000000000101001000000010001100000100010100111001010
|
||||
01000010000100000010010001001110010001000010000110111110011000000000000000000000000000000000000000000000000000000000000000000000
|
||||
00000000000000000110000001100000000000010011100000000000000001001010000000000000000000000000000000000000000000000000000000000000
|
||||
01000101001110000000101010000001010010010001010011100000000000001000101001110000000010011010001000000000000000000000000000000000
|
||||
00000000000000000000000000000000000000000000000000100110000000000001011100010101110001100001000100000000000000001100100001010011
|
||||
00001000110100000000000000000000000000000000000000000000000000000000000000000000010000100000000000000000000000010011100000101001
|
||||
01001010000010010100000010001110010110001100010011001000100000000000000000000000000000000000000000000000000000000000000000000000
|
||||
10000001100001100000000000001011000001110100000000000011000010110010000100100100000000000000000100000000000000000000000000000000
|
||||
00000000000000000000000000000000001001110000000010011100000001000101001110000000000010011100000100111000000000000000000000000000
|
||||
00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100101000000000000000000000000000000
|
||||
00000000000000000000000000000000000000000000000000000000000000000000000000000000000100101000001001101001100000000000000000000000
|
||||
00000000000000000000000000000000000000000000000000100001100110000000000000000000000000000000010001110001100100011000000000000000
|
||||
00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100111110011100011001110
|
||||
00000101001000000000000000000000000000000000000010000000000000000000000000000010000100001000010000001101000010000000000000000001
|
||||
00110100111000000000001001010000110000111000000000000000000000000000000000000000100010000000000000000000000000010011100001001111
|
||||
00111000011100010001001110000000000000000110000110000000000000000100101001010011111001000000000000000000000000000000000000000000
|
||||
00000000000000000000000000000001010110000000000000000000000010000111110000000000010011000000100011100001111000001010011000000000
|
||||
00000000000000000000000000000000000000000000000000000000000000010000000001000010000000000000000000100011000001000110000000100010
|
||||
00110010000100000000000000000000000000000000000000000000000000000000000000100101000001001001000111001010000000001001111100101001
|
||||
00000100100000010001000000101110000000000100010000101001000000000000000100010100111100101000000000000000000000000000000000000000
|
||||
00000000100011100010000000010010100011000010110000000000110010001010011001001110011001001101001101000000001100100010110100100101
|
||||
01010000000001101000010100110000000000000000000001001000001001100000000000000000000000000000000000000010011000010000101001101001
|
||||
11000001000101001110000000010010000111010000000100010100110000010001100000000000100110100110000000000000000000010011010001100000
|
||||
00000000000000000000000000000000000000001100010001100001100100001000001000000001000110010000100000100011010001000001010110001100
|
||||
01000100000000000000000101111000000000000000000001001001001100000000000000000000000000000000000000000000001011000001001100000001
|
||||
01011000000101110000010101111001000011000101001110000100101001000100001001010000000010000001001010000000000000000000000001100111
|
||||
10000000000000000000000000000000000000000100011000010000110000111010000010000010000110011100010010010111100001100110010100110100
|
||||
00100011010001101001100100100000000100100011010001101001100000110100001010100101001000000000000000000000000000000110011110000000
|
||||
00000000000000000000000000000101111000010011000101110000100111010111000010001100001101000010000010010010011010010101001110100010
|
||||
10011000001001101001101001100000000000000000000000000000000011000011110000000000000000000000000000000000000000001100010001101011
|
||||
10101000001000111000110000010011100011000100011000010000110001000100100001001100010001000001000000100011000000000100010000000000
|
||||
00000000000000000000000000000000000000000000000000000000011011010001001100010001010000110000010000110111100011010010011001001000
|
||||
10100111100001111000000110000001101110001001000010010001010011101000101010110110001010010011000101011000100111100011100010110000
|
||||
10100101001110100010110010011001001011110000001111001000000100000000000000000000000000000110011110010010000000000000000000000000
|
||||
00000010000000000011100100000100000000100000100100010011101000110100100100110100000101010110000001100110001010010011110000101001
|
||||
10100000001000100001001001110000010100111111001000001000101001101110001001010000010000111001001001111100000010001001001001001010
|
||||
00000001000100000000000000000000011001111000000000000000000000000000000000000000001010110100011001000000101001000011000010101101
|
||||
00000110001100010110111010100100000100110100010010011010000010001011101010011101011000111001000010100110001110000010000010010011
|
||||
01001101101110100011110001001010001100010001110001010001111000110011001100011010000100000000000000000110000111100000000000000000
|
||||
00000000000000000000000000100101100111001001101000011011011110010000100110010011001010011001100010001010011001001110100011010001
|
||||
11000000111001000010001001001111000010001001111010011100100001001001011001011001111010110100111100000100000100110010001100100100
|
||||
00010011100000000000000001100111100000000000000000000000000000100111000000000000101001000100010110010000111110000001001000111001
|
||||
00000100001100001100011100111010011111000110011000011000110100010001000111100010100100101010001000100111011000100101001111010111
|
||||
10100001001000111000011011011100100001100100010011100100101100001100011111010100000000000000000000011000011110000000000000000000
|
||||
00000000000010001000000000000010000010000100100100000100111001001001001100101001011101110000111100000010011010011000011001001001
|
||||
00000111000000110010010000110000010011010000110010110101000010001010011010010111001000011001011100100100111000000111100010001001
|
||||
10001011011110001000100111000000000000000000000000000000000000000000000000000000000000000100001000100110100000100000000001000001
|
||||
11000100011010000100100100001100011010100110010000100001001101000110010001100100110011010000011011011001000010000110000110010010
|
||||
01101001000011001010101001101000110000010111100000000000000000000000000000000000000000000000000000000000001100110001001101100000
|
||||
01000110110110000001110100000100110100011110010100100010000110110110011010010000010000111010100101010011001000010001011000010101
|
||||
11000100000010100110010110101001000110100011110010001011000011101001110000110100010000000000000100001100111000000000000000100111
|
||||
00000000000000000000000000000000000010010101000001000011001110001000100100110100111000101110100110010010100101101100111010011101
|
||||
10011100110000000100111010000100010010111000000111110100000100111101111010010110010011001000011001110001001101001100101010000000
|
||||
00000000100000000011000011110000000000000000000000000000000000000000001000010001010011000111000011001010100110010010110001110010
|
||||
10100000110000101010001100011000100011000111100001011000001110010000100011000100111111001100000010000110110100010001010001011100
|
||||
00101100011100001100101100100110100100110011100000000000000000000000000000000000101110000001011100000000000000000000000000001000
|
||||
01100110000101101100101110100001010011000100110010010001001100100011010010001001000010100101001011001001000101110000001101010100
|
||||
11110100111010001010100010111000010000011001000010100000010011000100001101010100110001010100010001000100011000001000010000000000
|
||||
00000000000000000000000000000000000000000010001110010100010010001000111000010010101111010000101001100001000011101100001100111000
|
||||
10101110011000000100001000100010100000100000001110001000100111110001011010010010011110010010001111101010001000111000011000110000
|
||||
01000000010010100000000001100001111000000000011001101000000000000000000000000000000000000100001110010101000001000011110000001110
|
||||
01000011101000101010011110001110001110000001001111001011001001011011100001110101001001101010100101100100011010101010010011100001
|
||||
00100100110001000101010010011011000001111000000011110000000000001001111000101000011001101001100000000000000000000000000000000001
|
||||
00110000000000000000000000000001100000011000100000010000010010100010010000010010100100110110110000111011000001101110000000001001
|
||||
01000100010100000000100011111000011010011010001100010101110101100100100010010010110101001011000010010000100000000000000000000000
|
||||
00000100010011000110000000000000000000000000000000000001000101001100000011001001001001001000110100011100000110001100011010000100
|
||||
01001011001011101100000000100000100010000100100110010001001100010010000001000111000111001000001011110100100100010100000011010011
|
||||
01100101000000000000000000000000001001000000100110000000000000000000000000000000010011001000011001101110010000100001001000011011
|
||||
11100111100110100110100110100010100000010000100110010010110000110011100001100010010011010010010010011101001110001011111000001110
|
||||
00010010000100100010000100110010000101110001000000000001011100000000000000000000000000000000000000000000000000001110101111010100
|
||||
01010110110101111110100000101000011101000001010000101000101000011000100011110111111011000011001010000110101010111110011001000011
|
||||
01101001101011111111011101110000111001111111110010011100110110110101011010011100111111010011011011110110001010111111111100111111
|
||||
10110011111110111010001000011100111111111001000010011000000000000000000000000000000000000000000000000000000000000000000011010111
|
||||
11101100111111101110101111000011001110100000111111110000111001111101110100000110111111110010011110111111111111101100011110001000
|
||||
11010001001001011011111101111110000110011000100011101000100101100110000111101000101101011111110001000110110011000011001110010011
|
||||
10001111011010101101001110101100100110110110111111000011000111111111010010011111110110100010011111010111001001100111111100111100
|
||||
01100000000000000000000000000000000000000000000000000000000000001010001001011100001101111010111111000011001001111010000011100110
|
||||
10100011111101111111110010000101000101000011110111111010001001011101101110111011101110001010101011110001001011001100001111010001
|
||||
01101001110110001000110110011010001111100000011100011111110111111010011101011001110010001111110001010001001001110110011000101000
|
||||
10110001010111111110111001001110111011010001100000000000000000000000000000000000000000000000000000000000110000111101111011101111
|
||||
10011111001101101111111111111101100111001100110011010100011110011000111111011111101111110101000111110111101111111110110011111101
|
||||
10101010111110001001000011100000011001101001110111011101110000111010001111111110011100110101000110100111010000111100110011010000
|
||||
11000100111111000000011001100110011001111111111011111100001111011101111110111011001100000000000000000000000000000000000000000000
|
||||
00000000000000001000111111000000001100010010001001110010000000100111001000000100011111100000000110000001110010010001111110001000
|
||||
01100000011100100100011111100010000110001000101000111111000100001100000011100100010011100110000001110010001110000100001001000000
|
||||
00000000000000000000000000000000000000000000000000000000000001001100100010000001000000000000000001001100000100000000000101110000
|
||||
00000000000000001001000000000000010100100000000000000000000000000000000000000000001001010000100000000001000010000100001100110000
|
||||
01100110010110100100110110000001000110100011000100000010001100110100001011010010010000010110110001100000000000000000000000100110
|
||||
00000000000000000000000000000000000000010011000100100010100110111100001101000100000000001010010100100100110000000010010100000110
|
||||
01000010000100111100111000000000000000000000001001101001100000000000000000000000000000000000000000000000000001011001000001000010
|
||||
00100010111000000101001110000000001100010001100010111100000000000000001100001100100111100001001000100110000101000000100101000000
|
||||
01001010000000000100100100100000000000000000000000000000000000000000000001000000010010000001001001001000000100011000100010100101
|
||||
10010100010000000000001100111000000010011100000000000000000000000000100010000000011001111000000000000000000000000000000000000000
|
||||
00001000011001110001001010000100001010011000010000000001100100010100110000000001001100011000011000000000000100011010011100000000
|
||||
00000001110000010100110000100011011001111000000000000000000000000000000000000010111000010001000100110000100111100110100110000000
|
||||
00100110001001100100100000000001001100000000001000110000100101100110100011010011100000000000000000011000011110000000000000000000
|
||||
00000000000000000000000000000000000000100111000100000010010010001110010000100011000000001000110010000000000100001000010000000100
|
||||
00100000000000000000110000001100000000000000000000000000000000000001001110000000100101000000010101010010011000101000100110100111
|
||||
10000111010011001000111000011000100100011100100110001000111011000101100000111010001010001001100001010100010100100100001110001010
|
||||
01100100001110110101010011100100010110001100000100110010000001000100000000000000010000100000000001100111100100100000000000000000
|
||||
00000000000000001000100000001000010100111100011010011011100000010111001000001000110110100001010110101100000011110101000111010000
|
||||
01110001000101110010000111011000010011101000101000111101100000010010111001100010001001110010001010001101110110001100001101101110
|
||||
00100101100010010100101100100010011011010001001010111001100000010001000000010000000000010001100001100111100000000000000000000000
|
||||
00000000000000000001001111001011001100001001010001110100000111000100010010011001101101000111001101000111001110001000111011100100
|
||||
10010010001001001000011111000100100011000111010000110001101000110100011100101011010010010010001110001110001101010100000000000000
|
||||
10000100000110000111100000000000000000000000000000000000000000000100001100101100110001100010100100001100101111001000010110001000
|
||||
10011001000101001111111000000100101100010110100010011001110011010100011101000001100101001100000100111111101000010000000100000100
|
||||
00111110000000011010000011000001110001100001011111011011001101001110011100001001101000001110100000111000100101001110000010011100
|
||||
00000000000000000110011110000000000000000000000000000000000000001001111001100000011010010010010010101110000010111001000001100010
|
||||
01010001010011110011111010100101110001001011100001010100010100010100100100001101111010010010001110001110000110000000011000100101
|
||||
00000100000101001111001000110001010100110000011100100101111000000000110100010010011100000000000000000001100001111000000000000000
|
||||
00000000000000000000000000000000100011001001101001111000110100011010011100100101101011011010110001000110100111010110100100101101
|
||||
10111010001000110110000010001110011110010111110000001000101110000010010010010011010001010001011000010100101111010011010011110010
|
||||
01001001100010100011000101001010110000000000000000000000000000000000000000000000000000000000000000010010101000010100011010001101
|
||||
10001010001010111010101001101001101000111101001100100111011011000000100011100101010010011100101001001011000001000101001011011101
|
||||
00011010010110001010010001001100100110110100100101000101001100100101010001010000111100010000000000000000000000000000000000000000
|
||||
00000000000000000000000100100010000110011011010011000010000111011000000100111101010010011110110011010000010010010101100010010111
|
||||
10010101001010110010000111100001011000111100010001010011110001010111010110110000011101000000010110110110111011001010101100110010
|
||||
00100110000101011000100011000101111010000100000011000100100100011000000000000000000000000010011101000000000000000000000000010001
|
||||
00000000000000001000001001111001100001100011010000001000111000011011000100010110101001011100010101100101001110110000010111000000
|
||||
01001010111010010010000000100101110001001010101111010000101110010000001000111000000000101101110010011011000011101000010000000000
|
||||
00000000011000001110000000000000000000000000100011000001001110000010001100000000000001001110100111110111000000100011100101100010
|
||||
10011110001110011001001110010001100110110011011001010011001111000110100001110010001011000110001001101001010100111010001001001111
|
||||
00101100010110010010011100000011001111110100000100101111010000010010101001100010001000000100110000000000000000000000100001001011
|
||||
11001000011001110010111000000000000000000100101000000000010110000011000100011001100100001100100100011100100100101001100010100100
|
||||
00111001000011001011001011001010010000110000010010010011010011001000011101000010100011011100001001100100001110100010010011010000
|
||||
10010000010010010101011000100101001001001100100011100001100101000000001001000000000000000000000000000000000010010100000000000000
|
||||
00000000100111010010001000100100110001101100000110000101011000100011001111001010001011111001110001000111011101010100001000101001
|
||||
10110110000010001111110000000110100000111000100101110001000100001010011010110110101111100100101001111001001000101000011111000000
|
||||
11101000001001110010011100000000000000011000001110000000000001001000100100000000000000000000000000000000010011101000001001010010
|
||||
00110011000010100010011101000001110010001110100010010011100100010100001011010000100110001000111011010000101111010010111010000100
|
||||
10000000100101110000110001100010010010011001000101000110100101010000010011010111110001010011110011100000000000000100010000000000
|
||||
00000100010010011000000000000000000000000000000000000001000010111000001010011010011010011100110011010001001011000110100011100110
|
||||
01100100101100100010010110000111001011101001010010010001000110000110010010101101100100000100100111001001010011010011101010101001
|
||||
01101011101011000000000000000100101000000000010001001001100000000000000000000000000000000010001101001110100001100100100001011100
|
||||
01000100001000100011111000000110010010010111100101001001011000110110100001000010000010011001000000100000111001000010001000110100
|
||||
00011000000011100100010010000010000111000010101100001010100001010001101101100001101101000000000000000000100000000111100000010010
|
||||
00010010101000110000000000000000000000000000000101010111010000010000000011000101000100001100001110101100001001100100001100011100
|
||||
11101000010100100110001100101001111001011000101000101000001001111010100100001100010100001001001001001101100100101110110101001000
|
||||
11001101000100010011101010100100001011100010000000000000000000001010110000000000000000000000000000000000000000001110101010001111
|
||||
01000101100110011111101110100101000100110101000110011111101110011111101000011001110101111110100100001111111011111000000110101000
|
||||
10100001010001111111101010000011111011100110110000000110001110111101011110101000101000010100010100010000110000011111100000101000
|
||||
11101010100101000000000000000000000001010100000000000000000000000000000000000000000000001111001100001111010001100011110101010111
|
||||
10001000001110111111101110110111111111111111001001001100011111111101111110010110110010100010110011111100001100000011100011110111
|
||||
11111111011101100100111110111111111111011000110101000100100110110000000110011111110100010010011101111111111111101100011110111111
|
||||
11101110111100100010100010001111111110111110001000101100111111110111001000101000110000000000000000010001000000000000000000000000
|
||||
00000000000000000000000010110000111101000101100110011111000100000010001110100011111111100110001001111111011101000111110100000010
|
||||
11001111110001111100110010001011101111111010001001001101111111101000100011101000101000011000110010011000001111111001110010100011
|
||||
11001111010000011110111101001000111111010101111010110010010110000011100110010000000000000000000000000000000000000000000000000000
|
||||
00000000111111110000111101000101101010101111101110100111100100010101011111111101111011111011001100111010001001101110100000111100
|
||||
01001000011111111100101000010100011011101111000011010001111111101100110111111110111111111100110011111100110111011110100001111111
|
||||
10110011001100010001101000100001111111110110100001101011111100111010000011100010010000000000000000000000000000000000000000000000
|
||||
00000000000000000100111001000000010011100110001100100100111001000000100011111100010000110000001110010001110000100001100010001001
|
||||
00111001000000010011100100000001110000100001000000100011111100000000000000000000000000110000001111110000000000000000000000000000
|
||||
00000000000000000000000101110000101111100100010001001100100010100010100111001000001000101100101001000010000010001000000100000000
|
||||
01010011000000000100011000000000010001110011000000001001000000000000000000000000000000000000000000000000000000001000010000011010
|
||||
00010100110000001000110100111001100110010110100001000100111010010010000000001000110000010000110011011101000000000000000000100101
|
||||
00000000000000000000000000001000011001100000000000000000000000000000000001001100100110001001100100110100110111001000100000000010
|
||||
01001001100000011010001000100001001001011001101011111010010000000000000000001001000000000000000000000000000000000000000000000000
|
||||
00000000000000010010010000010010000001011110100100000000100010001100010001100001100010000110001000100000100000001000100000100101
|
||||
00000000001000011001000000000100101000000000000000000000000000000000000000000000000000000000000010100100010001100100000000011001
|
||||
00001100000000110000101001000000000100100100100000100000000000000001000110000000000000000000000000000000000000000000000000000000
|
||||
00000100011000010010100000100101000110010001010011000000000001100100010100110000010001100100010000100011000000000000000000000000
|
||||
00000000000000000000000000000000000000000000000000000100110000010011100000100111000010011000000010010100010010010001001001100001
|
||||
00110010011010011100101101000000100111000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000
|
||||
00001000100000100010100010000100000101110110001010000110001000101001100000000000010001100001000010000000000000000000000000000000
|
||||
00000000000000000000000000010011100000100111000110000001101100001010100001100100100111100100110001010010011100100111100100100010
|
||||
10000011001000100100011100011100010100011100000100110001001111001011000001010100111001000010010011000110100101001010001110001000
|
||||
00100100011001001011100100010101010000000000000000000000000000000000000000000000000000001000000100010000001000010000100000000100
|
||||
11001000011001011111000110110001001001100000011001001111000100100110001110100010100111100101010001101000101001011011110001001100
|
||||
10001110000010011110000011001001101101110010110100110010010110001100111000010000000000000000000000000000000000000000000000000000
|
||||
00000000000000000000010001101100101001101100101011100111110100010000100000101011001000101001001011101001010010111110000111010001
|
||||
00000001000111001111010011001101001011000110001000110010000001001001001101001111100001010100011100110000000000000000001000100000
|
||||
00000000000000000000000000000000000000000000000100101111001000110100100100010110001010111011100000111011000010011101110001010100
|
||||
00010011001001110110010010010111111011000001010100011010010100110000110101001000100001110110101010011010110001001111100100101100
|
||||
01011101000001001110010011010000010001100000000000000000100000000000000100010000000000000000000000000000000000000101001100001010
|
||||
11011000001001111001100001100011010010010110010010001011100001001000111001101001101000100111000000110001110011100001110000101101
|
||||
10010010000010010010011001000011110000100010011000110010001001100100100100001100110000000000000000000000000000001001110000000000
|
||||
00000000000000000000100001000000010010110001010010101000010101011100110100011100100010010011100100001001011100100100001000010110
|
||||
10000101001000100100011010001011100100100100110001001101010111100100010100011100101001100100110110100001011000011000001000011110
|
||||
00010000000000000000000000000000000000000000000000000000000000000000000000000100011111000010010011111001010101010101101011000001
|
||||
01110001001101001101001011011100100100000010000010001100010000101001110001100100001100110100110001000000110001100110011010011111
|
||||
11000010011000010101011010000000000000000000000000000000000000000000000000000000000000010000100000011101100001100100110100101001
|
||||
00010010010110000010011010010010000111100001001000011000001110000001100011100000010000110101010011101001110110001010010000001001
|
||||
10010010000100101100011001100100110000100101010011010011110010100000000000000000000000000000000000000000000000000000000000010011
|
||||
10010000011010000010000000100110110011000100010001011101000000110010010110000001000111000111000011001100010001011000110001011011
|
||||
00101000100000100000011010010101001010100101110010001000010001010010001001011001101000001001010110011001010011000000000000000000
|
||||
00000000000000000000000000000000000000000010001000000000000010101110010011010011000000101101100111011011000000111100000010001110
|
||||
00101011010010011001110000100010011001111000100100101010011110011110011111001001100010001101000001000111110010000110100110000011
|
||||
00011010111000010010110000000000000000000000000000000000000000000000000000000000000000010001000000001001101100100010100111000100
|
||||
01001001010010011000110110000000100000010000101001111001000011000110001000011110001000100010010000001001101001100011010001101000
|
||||
00100111000110010010001001110000000000000000000000000000000000000000000000000000000000000000000101101011001000011000001001100001
|
||||
00110010011011010010101100010100101101100101111100000010011001011111010101010100010001011010001101110000001100001100110100110010
|
||||
00101101000100100100000000111000100010010010001110000110000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
00000001101000001100000100111100001100011101001100011010010101000110110100010010000111100111001111010000000100001110100000110000
|
||||
01001011000101110100001111000010110000001001010110100100110000101001101100100100010010101010101001101000100011001010101001100000
|
||||
00000000000000000000000000000000000000000000000000000000000000100011100011111100010011001001001000111001001001100100010111000000
|
||||
11000011100100010010011110010000001101001001101100100001000100010100101100010110000101000100110100101111001010010010000100001110
|
||||
11011011000100001100011001100111100101000000000000000000000000000000100100000000000000000000000000000000000010001000000000110000
|
||||
10101010100011100010000100000100101010000100000010001011100000011100001010001110010000010000110111110000110011010010001011010000
|
||||
01000000010000100110010010010000111100100000000000000000000000000000100101000000000000000000000000000000000001001110000010011011
|
||||
00101010001111000010100101010011001101000100010010010001011001010101101000101100111110100100110011001101000100100101111001100000
|
||||
01010010100000100000000111001000011100100001110000011101010010001010000011101000001110001000101010010000110010000000000000000000
|
||||
00000000000000000000000000000000000000000000000000010100010011011111110111110111111100001111111111011011011111001101111111100101
|
||||
00001111111011101000011110011011010000101000101000010111100111111000011111111100111111110111100011010101000111101110101010001111
|
||||
10111101010001111101111010100011111100000101100101000010100011111111010101101111001100000000000000000000000000000000000000000000
|
||||
00000000000000000000000000010100010111011111110101100111111100100111110111011111011111000111111111100111111000010010010100011111
|
||||
10000100011111100110011110011001001001110011001111111000011000011001100001111110000110010010011111110001010001000111111011101111
|
||||
00011011011001110111111111101110110001111110111011111101111100100111011111110100010001111110011100100100101100111110111110001111
|
||||
01110011111100110010010011110010011110001000100011000000000000000000000000000000000000000000000000000000000000000000100011111111
|
||||
10101100111111100100111110111011111011111100001100111111111011110011001001011111110011111111000001010011010001001001111110111101
|
||||
00011000011001010100011111110001010001000110111000110110010111111100101111001001100011110000111111101010001001000111101000001101
|
||||
01010010100001111101111100111010100011010111110110101101110100000000000000000000000000000000000000000000000000000000000000000000
|
||||
00001010101111111011111011111110000111111111101101101111100110101000001111110011101000011011000101111111100100001101000111110111
|
||||
11001101101010101111100100001111110000111101111110011011000100011110001101011111100001111001001100110101000111111110010000111001
|
||||
10011111101110101010001110111111010100011100100000111101111110100001110011111111011001100000000000000000000000000100111000000000
|
||||
00000000000000000000000000000000011000110010011100001000011000100111001001000111111000000001000000011100001000011000100111001000
|
||||
10011100110001000101000111111000000001100010001001110000100001000000010011100100000001001110000000000000000000000000000000000000
|
||||
00000000000000001001000100100000000000000000000010001010001010110000010010100000000100100001011100100101100101000001000001000111
|
||||
00000010000001000010000000000000000000000000010001010000001001000000000000100110010000110011000000000000000001000001001100000000
|
||||
00000000000010101000100001110100000100010011010000111010001001000110000010010100010000111010010010100100001000111000011001111010
|
||||
01100110100001100001100110010010100000000000000000000000000110100001010100100000001101100000001001100000000000000000100001100110
|
||||
10011101001100000000000000000000100110100110100111001001100100110010001100010001101001010000100011001000010100110100011000000111
|
||||
00100001110101000000000000000000000000000010011010011000100000100111000000100111010000000000000000000001000101001100000000000000
|
||||
00000000001000001010111001000011000110001011110111010000010011000010000110011000000000011100100011000010110010110000010001110000
|
||||
10000000000000000000000000001011110010010101001100000000000000000000000000000100010000000000000000000000001011100100011000010010
|
||||
10101010100000000011101000001100010010000001001000000001001000000000000000000000000000000000100010000000011001110000000000000000
|
||||
00000001110000001100110001000110000000000000000000010110110010100010000110011010010000010001110001100000000000010001100001000010
|
||||
00000000000000000000000000011010000101001100001100001111011001111001001110010011000000000000000000000000000000000000001011100101
|
||||
00101001100000010001101001100010011010011001100100001000000000010011101001100010000000000000000000000000000000001001101001100001
|
||||
10100111100000000110000001110011101000000000000000000000000001001010000000000000000000100000100001100110000100010100001100110110
|
||||
00101000010000001001000000100010100111100101000000000000000000000000000000000000001011110000110111000000010000100000000000000100
|
||||
11000001000011001110111000001000000000000000000001000110001001111101000010010010001000111010110100111001000011000011000111001100
|
||||
10000001011111000110110001001010011110011010000010100101010100110001100010000110011000000000000000000000000001010100000000000000
|
||||
01100111000110001100000000100000000000010001100000010000110011100000000000000000001001100011010010011000010010011111000101010100
|
||||
11111101000101000001001001001111100010100110000110010011110010000110100100111110000001001110010011010111110001000100010101110100
|
||||
00000100000000000000000000000000001000000110010110000010101111000011110001100111100100111000000000000000000010000110011110001100
|
||||
00000000000000000000110000101010001011000110000011011010101100010001100010110001010010011101001100110110000010100110010100110010
|
||||
10101001000010011001001101001110000100000101010100011000000000000000000001001000000000000110100111100000000000000000000000000001
|
||||
00001000000000000000000000001001001101010001111000000101101100000100000110100010010000110011001110000010100011110100101010000001
|
||||
00100010000101000000001100001011101011111001001010101001000000011010100101101100000101010000000000000000000010010100000010011100
|
||||
00000000011001111000000000000000000000100001000000100010000000100111000010111000000100010100011110110000111000011001001111000010
|
||||
01000101000000100111101001101001100001010011011100000101110010000010011111010000100110101001010011111000110001000001001101001100
|
||||
01100011000101101100001000000000000000000000000010011101001110001100001111000000001100111000000001001110000000000001100010001001
|
||||
00010000000000100010000001001010010001000111000000111001000100111000010001000000111100000011000100011000010111001000001000011010
|
||||
10101001111001000010000110010111110001101100001010100101000100000100110010001101001101001100000000000000000000000000000100010000
|
||||
11000001110000000010010101010010000000000000000100110000000100000000000000000000010001100000010000101100100010111010000010011100
|
||||
01000001001110100011011001001001001111001000001000011110001000010101001000110100001010001100000000001000100000000000000100100000
|
||||
01000000001001110000100010000000000000000010000000000000000000010001100010011100110010010111000110011110010000100111010110010101
|
||||
10100001010010001100101001101010110010010010101010010110001010000001110000001001011111011101100001010100011000100011100100011101
|
||||
00000000000000010000000000000000000000000000000001000101111001000010011000000000000000011000100010010001010000100000000000000000
|
||||
00010000010100111010001000110100000111001000011000111110000010100001110100001000100010110010010010010010101110011110011110010110
|
||||
00111000111110010000111001000000100001110100000110111010011100010001010101100000000000000000000000000000001000101111000000000000
|
||||
00001000110000001001110000000001001010100110100010000000000000000000001101100000010000011001010101001001001001001011000001011101
|
||||
00011100110111100000001100010001110001100110011011000010100100111100001110010001010000001000111001101000001000101000010000000000
|
||||
00000000000000000000000000000000000000000010000100000100001100111001001010010001110001100000000000000000000110001010010011011010
|
||||
01010011010011101001111001110110100011010001011000100101001001000101001010001010010100000011100001001001101001100010001110010110
|
||||
01100000000000000000000000000000000000000000000001000100000000000000000000001001001000000000000000000000000100001010001101110001
|
||||
01000100110101111011001000011110010000100000100110110001010110101110011011011000000010011011001001011000011000110100110011001000
|
||||
10110001000111000110000001101100100001010100000000000000000000000000000000000000001111100000000010010100000000000000010100100000
|
||||
00000000010001100000000110100011001110001100100111100011100011011010010100000110100000100101100010000110000011100100101001001001
|
||||
00010000101000001001111001100010001011000011100000000000000000000000000000100010011010110001000001111000000000000010011100001001
|
||||
11000000000000000010011111100010000000000000001001100100010000100111010001101001100100001100100011001010010110110000001001100111
|
||||
00010001100010010101001111001000001000001100011000100010100111001000001011011000101001000010011110010000100011000000000000000000
|
||||
00000000100100000100110000000100010010100100000000000000010011001010100010000110011100000000001000000010000101001001001011001001
|
||||
11001000010100101001111110100000110001001010001111100100001010011000101101000100110001010001110010010000001001101000011001000100
|
||||
01110111010001110010000101110100101100011100110000000000000000000000000001001010000000000000010010100000000000000000101011000000
|
||||
00000000000000100000001011011000000010011001000101100100001001101000010100011100000110110010101001100011000110011101000001100101
|
||||
10010101011111101100001111000000110011001001000100000100000100111000000101010000000000000000000001000100100111101011000000000000
|
||||
00000000000001100001111001010001111110000000000000000000000011001111111111010100011111111011100111111100110110000111111101010101
|
||||
00001111001111111011001101001101111101111110101110101100010001100101011000101011010000111100110110100001110001000110101010101001
|
||||
10100111010000000101000111111110000000000000000000000000000000001001100000000000000000000000100010111111000001100010100111001100
|
||||
11010001001010000000000000000001100001111011011100001111110111111011111111000011001011110100100110001001111011101111011101010001
|
||||
10101010110110010000011001001101000111011111111011111100100101000110001111110001111101000001101011101100100110111000000000111011
|
||||
11111111111100100011000000000000000000000000000000000000000000000000000000001000101010000100100101000101011100011000000000000000
|
||||
00010100011011101111101110000111100100111011001101100001100010100010010001101111111100011110000111010100010010111000000111100110
|
||||
01001011111001111001001100010001101000011110100000011110100001100110111100011001001001010001111111101000000000000000000000000000
|
||||
00000000011110000000000000000000000000001000011111110110111111100110011000000000000000000011101010111111111011001101101110100110
|
||||
11000101011101111111100001110000101111101110111010101111101110100011011001100111111110110011000101011111001100100001110011001111
|
||||
11110110011110111011110100000011110111011100110011100110100000010000111111100001010000000000000000000000000000000000000000000000
|
||||
00000000000010000110010101000100000000000000000000010000001100001100111100010000110001001110010001110000100001100011001010001111
|
||||
11000000001000000011100001000010000000100111001000000000000000000000000000000000000000011000000111111000000000000100110001000101
|
||||
00000000000000000000000000000000000000000000000010010000001001010100011000100110000000000000000000000000000000000000000000000100
|
||||
10001001110000000100111010001010000110011010010100000000000000000000000000000000000000001011011001100010001000100011010011000100
|
||||
00010010010011000000000100001100110000010000110011000000000000000000000000000001100001010100110000000000001101110000010011011010
|
||||
00100000000000000000000000000000000000000010111000010011001001011001101000111001111010011001110000000000100110000001001100111000
|
||||
01000001001100010001100000000000000000000000001011101001100010000010011100100111100000100000010011000000000000000000000000000000
|
||||
00000000001000000100100010010010011010000010000010010000001000110100011001000000000010000001000010100111010000000010011000000000
|
||||
00000000000000000100000100011000100110000010010101000100000000000000000000000000000000000001000000010001100010011010010000000010
|
||||
00110001001101000110000000000000000000000000000000000000000000010010000000000000011001111000100010000000000000000000000000000000
|
||||
00000000100101010011001000011001101011000000000100110000010011000000000100001100110000010000110011001001100000000000010011000000
|
||||
00000000011000010101001100001100001111000110011110010011101001101001100000000000000000000000000000000000010001010011110111000010
|
||||
01100100101100110000000001000110000000000010011010001000001001100100100000000000001001000000000000000010111010011000011010011110
|
||||
01000011001111000001000000000000000000000000000000000000000000000010000001001010100100000000001000011001000000000001000000010011
|
||||
10010000000000000000000000000000000000100000000011011100000000000100001000000000000001000000000000000000010001010011100000000101
|
||||
10101010101000110001000011001100001000001001011010010110000101010011110000110010010011000000000000000000000000000000000000000000
|
||||
00000000000000000110011110011000110000000010000000000000000000000000000000000010001001001011001100101001001110000010100110110001
|
||||
10000010110010001010110110000011010000010011110000001110000010010001100010000100000000000010001010000000000000000100110000000000
|
||||
00100000100001000010101111000011110000000110011110010011100000001000011001110000000000000000000000000000000010011000100010110000
|
||||
11001001100000011011000101001000000110001110010011000011000011100000000101001010100100000000000000000000000000000000001101001111
|
||||
00000000000000001000100000000000000000000000100000011001001101001101110001000001000011001011000011100000011001011001100111000110
|
||||
00010100010011111001100101010111001111001111001110000100001100111000000000001001110000000000010001100000000000010001000000000000
|
||||
01100111100001001100000000010001000000000000000000000000001001111000100111001000010001101100100010100111010010010011010010010001
|
||||
01110001000010000110010001001011000000100001000000000000000000000000000000000000000000000000001100001111001100111000010001100000
|
||||
00001001110000000000000000000000000010000110000011100001000001111100100110010000111000110000110011001010000110001010010100001101
|
||||
10010010011110100110001100100110000001001110100010000000000000000000001011100000000000000001100000111000001001011001110000000000
|
||||
00000000000000000000000000001110010000100011100111100110100001010001010011000100101101100100011100111010100110011000010011000000
|
||||
00000000000000000000000000000000000000000010000000000000000100100000000000000000000101100000000000000000010010001110000001100001
|
||||
10011000011000010101000000100110111001001010110011100010011100001010110000001100111000110010110111000011101101100000000100011000
|
||||
01000100001001110000000000000000000000000000000000000000001111101000000010000000000000000000000000000100010100111000000100101100
|
||||
10001110000100100110100001010000011010001000100001011101000000101101110110001010011100001100011001111000100010011000000010001001
|
||||
00010010011101001000100010010011100000000000000000000000000100100100111001110000100111100000000000000001000010000000000000000000
|
||||
00000000000100001001000011000001100100001110011100000100001110110000001000010100011111001000001001010010011111100010101001100000
|
||||
11110010000010000110111001111000000010001100010011001000110000000000010000110011100000000000100110011001001000000000000000001000
|
||||
01100111000000001011100000000000000000000000000100101011100001001001001001100011000100011001001001010011011000001100100010100010
|
||||
10101001000100000000100101000101011100011100011011010001001001111110010101111000010011100010000110100010010011100000000000000000
|
||||
00000010010000000000000001000000000000000000000000000000000000000000001000001000011110000100010010110001010001010000010011010011
|
||||
11101010010110000110010001010101100010001010010010000011100100001000110100001100010000011001001001001000100101000100100010010100
|
||||
00000000000000000000000000010001000000000011110000000011011010000100110000000000000000000000000000000100000100101010010010011110
|
||||
01010001000001000010011001010110101001100001100111000110001000110000101001100001000000000100010010011101001110100010011100001010
|
||||
00000000000000000000000000101100001000001111000000000000001001110000000000000000000000000000000000000010101101001110001100011000
|
||||
10011110011000010011110001010010110001100111000010010011011100011001000110001100011000100011100011001001100100011010000101001100
|
||||
00000000000000000000000000001000100100110010011000000100011011000110000010000110011100000000000000000000000000000100100100111100
|
||||
10100011001001000110001100010011101000101100010100100011101010100001010000110100111100100000110100001010010010011000100110100110
|
||||
10111110100110001101101000100100111110001010011010001001110001000010001010011100000000000000000000000001001100011000110000000000
|
||||
00100100000010011100000000000000000000000000000000010110101001101000001001101011111000011110001101111000001011000000111010100010
|
||||
00000100110100110010000111000100011110100000111000100001000110100000000010010001001010010010010010001001010000000000000000000000
|
||||
00001001001000100100111101011000000000000000000000000000000000000000000010111101111111110110010111101110111011111110111001100001
|
||||
11110100001010001110101010011011011111100000011011001110111100110011010110110011101111111010000111111111010110111101111111111111
|
||||
10111100100101101111111111111111011010010011110111111111111110111100100101101111111111111111010100100000000000000000000000000000
|
||||
01001100000000000000000000000000000000000000000011110011011001001010001010001000111110111010111110111010010010100011111111101000
|
||||
11111101111110100010010011001000110010100011101010101001001010111010001000111010000001100111110110000110111101111001100111101111
|
||||
01100001101111100110011111011000011011110111100110011110111101100001101111100110011111010001100000000000000000000000000000000000
|
||||
00000000000000000000000000000000000000001111111010010100010100001010001010000111100110111011101111000111110001000111011101101010
|
||||
00101000100011111011101111000011110101000101000011001110110001101111111110000110111101111001100111101111011000011011111001100111
|
||||
11011000011011110111100110011110111101100001101111100110011111110001100000000000000000000000000000000000000000000000000000000000
|
||||
00000000000000001100110010011110111111101001111011111010101001000111100111111001100110111000010111101100110111101111111001100111
|
||||
01010111011101111110011110111111111011011100110110111111100011111111001101000001111011111111111111011110000010110111111111111111
|
||||
10110100000111101111111111111101111000001011011111111010000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
00000000010011100110000001110010010001111110000000011000100010010011100100000001001110010000000001000001111010011100100100011111
|
||||
10001001101101000100000111101001110010010001111110001001101101000100000111101000000000000000000000000000000000110000001111110000
|
||||
00000000000000000000000000000000000000100100000000000001000001010011010010001000000000010001010100110010100000000000000000000100
|
||||
00000000000000000000000000000000000000000010011001000011001100000000000000000000000010001000000000000010000100000000001000101000
|
||||
00010010000000000010001000000001001110000100110111010000000000000001001011001100000000000000000000000000001101100000001001100000
|
||||
00000000000000000000000000000000100010000001000010000000000000000000000000000001010101001000000000000101010100100000000000000000
|
||||
00010011100000000011100100000100000000000000000000000000000011110000000000000000010000000000000000100111000000000001000000000001
|
||||
00010000100011011000101000000000000010010000000000000000000000000000000000000000000000000000110010010000000000000000000000000000
|
||||
00000000000000000000000000000000000000000000000000000000000000000110011110000100001100110000000000000000000000010000110011000000
|
||||
00000000000000000010001000000000000000000000000000000000000100010000010000100000000000000001100001111000011001111001001110010011
|
||||
00000000000000000000000010011000000000000000000000000000000000000000100011000000000000000000000000000000000000000000110000111100
|
||||
01100000011100111010000000000000000000000000000110010010110001010011100000000001011110000000000010000000000000000000100101000000
|
||||
00000000000000100000000001001000000000000000000001000010000000000000000000000000000000000000000000000000010011011110010000010010
|
||||
00000000101110000000000010010000000000000000000000000000000000000000000001100111100110001100010000100001000000000000000000000000
|
||||
00001000000000000010111001010100000000011010011000010011100000000100101000000000010011110111000000000000000000000000000000000010
|
||||
00011100001111000110011110011100100000000000000000000000000000000000000000010001001001110000000010010010011000000000000000000000
|
||||
00000000000000000000000000000000100110000011000011110000000100001000000000000000000000000000000000000010010101001001011000000000
|
||||
01000100110101100010011001100000011000001001000000000000000000000000000000000000000000010010000000000000011001111000000000000001
|
||||
00100000000000000000000000000000000000000000000000010000100000000000000000000000001001110000000000000000010011101001110100010011
|
||||
00001111001100111000000000000000000000000000000000000000000000000000000000000001000110000000000000000100010000000000000000000000
|
||||
00000100010001000000000000100101000000000000000000000000000000000000000000000000000000000001001010000000000000000000000001001000
|
||||
00000100001100111001011100000001001001010100010111100000001001111010100000000000100110000000000000000000000000000000000000000001
|
||||
01100000010000000000000000010110000001000000000000000000000000000000000000000000001111001000000000000000000000000000000000000000
|
||||
01000000000000010000100000000000000000000000000000000000000000000000000100010000001000100001001101001100001001000000000000000000
|
||||
00000000000000000000000010001000010011100001001100000000000000001011000000000000000000000000000000000000000000000000000000000000
|
||||
00000000000000000000000000000000000000100001001000000101111100100000000000000000000000000000000000000000000000001000000000000000
|
||||
00000000000000000000000000000000000000000000000010001000100000000000000000101100000001011000000000000000000000000000000000000000
|
||||
00000001001110010001100000111110000000100011000000000000000000000001101000100010011000000000000100110000000010000000010011000000
|
||||
00000000000000001001000110101100000000000010001101001101000001001100000000000000001010100000000000100111001001000100110000000000
|
||||
00000000000000100100000000000110011100001001000000000010011010100100100011000000000000000000001000110000000000010010100000000000
|
||||
00000010001100000010010100000000000000000000000000100110000000000100010000100101000000100111100010100100100010000000000000000000
|
||||
00000000000000000001001000000000000000001001000101010000001100011000001101000100011011100000000000000000000000001000100000000000
|
||||
10011110001101001100000010000100010011001110110000100110000000000000000000000010011100000000000101010000000000000000101001001000
|
||||
01000000001110010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
00000000000000000000000000000000000000000000000000100001000000000000000000000000000000000000000000000000000000000000000000010000
|
||||
10000000000000000000000010000100000000000000000000000110011001000000000000000000000000100001000000000000000000000001000010000000
|
||||
00111111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
00000000000000000000000000100000010011110110110010100000000000100101100001000000000010010110000100000000000000000000001001011000
|
||||
01000000000010010110000100000000000000000000000000000000000000000000000000010001111011000000000000001000000100110000000000100000
|
||||
01001100000000000000000000000010011000000000000100110000000000000000000000000000000000000000000000000000000110001000111100000101
|
||||
00000000000000010011110000100000000001001111000010000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
00000000001000000100111000000000010000100010010100000001000010001001010000000000000000000000000000000000000000000000000000000000
|
||||
00000000000000000000000000000110001000111010000011001100000000101011110001100010000011111110011111100000000000010101111000110001
|
||||
00000111111100111111000000000000000000000000100010100100110001100111101000011110100000000000010001010010011000110011110100001111
|
||||
01000000000000000000000000000000000000000000000000000000000001100011001111110000111111000000000000101011110001100010000011111110
|
||||
01111110000000000001010111100011000100000111111100111111000000000000000000000000001100011001111110000111111000000000000001100011
|
||||
00111111000011111100000000000000000000000000000000000000000001001110000000000000000010011100000000000000000000000001010111100011
|
||||
00011000110011110000001100111000000000000010101111000110001100011001111000000110011100000000000000000000000000000000000000000000
|
||||
00000000000000000000000000000000000001000011000111000111001111001110101011110001100011000110011110000001100111010101111000110001
|
||||
10001100111100000011001110000000000000101011110001100011000110011110000001100111000000000000000000000000000000000000000000000000
|
||||
00000000000000000000000000000000000000000100011000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
00100001000000000000000000000000000000000000000000000000000000000000000000010000100000000000000000000000100001000000000000000000
|
||||
00000110011001000000000000000000000000100001000000000000000000000001000010000000001111110000000000000000000000000000000000000000
|
||||
00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000100111101100100
|
||||
10100000000000100101100001000000000010010110000100000000000000000000001001011000010000000000100101100001000000000000000000000000
|
||||
00000000000000000000000000010001111011000000000000001000000100110000000000100000010011000000000000000000000000100110000000000001
|
||||
00110000000000000000000000000000000000000000000000000000000110001000111100000101000000000000000100111100001000000000010011110000
|
||||
10000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000001001110000000000100001000100101
|
||||
00000001000010001001010000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100010001110100000
|
||||
11001100000000101101110001100010000011111110011111100000000000010110111000110001000001111111001111110000000000000000000000001000
|
||||
10100100110001100111101000011110100000000000010001010010011000110011110100001111010000000000000000000000000000000000000000000000
|
||||
00000000000001100011001111110000111111000000000000101101110001100010000011111110011111100000000000010110111000110001000001111111
|
||||
00111111000000000000000000000000001100011001111110000111111000000000000001100011001111110000111111000000000000000000000000000000
|
||||
00000000000001001110000000000000000010011100000000000000000000000001011011100011000110001100111100000011001110000000000000101101
|
||||
11000110001100011001111000000110011100000000000000000000000000000000000000000000000000000000000000000000000000000000010000110001
|
||||
11000111001111001110101101110001100011000110011110000001100111010110111000110001100011001111000000110011100000000000001011011100
|
||||
01100011000110011110000001100111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110
|
||||
00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
00000000000000001000001000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
00000000000000001000011000110000000000000000000000000000000010010100000000000000011100010100000010000100110100101111111111111111
|
||||
11111111111111111111111111111111111111111111111111000010100000000000000000000000000000000000000000000000000000000010101010100111
|
||||
00100010000000000000000000000000010000000000000000000000000000001111111111111111111111111111111101011110000000000000000000000000
|
||||
00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000010001100000000000000000000
|
||||
00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000110001100000000000000000000
|
||||
00000000000010010100000000000000011100010100000000001011101111101111111111111111111111111111111111111111111111111111111111111111
|
||||
11000010100000000000000000000000000000000000000000000000000000000010101010100111001000100000000000000000000000000100000000000000
|
||||
00000000000000001111111111111111111111111111111111111111111111111111111111111111111111111111111101011110000000000000000000000000
|
||||
11111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
|
||||
*
|
||||
NOTE END CONFIG DATA*
|
||||
L52992
|
||||
L52352
|
||||
00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
@ -1432,10 +1432,10 @@ L171648
|
||||
00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
*
|
||||
C9E6F*
|
||||
C8CD3*
|
||||
NOTE FEATURE_ROW*
|
||||
E0000000000000000000000000000000000000000000000000000000000000000
|
||||
0000010001100000*
|
||||
NOTE User Electronic Signature Data*
|
||||
UH00000000*
|
||||
56E0
|
||||
55A6
|
||||
|
@ -9,25 +9,26 @@ Command line: map -a MachXO2 -p LCMXO2-640HC -t TQFP100 -s 4 -oc Commercial
|
||||
RAM2E_LCMXO2_640HC_impl1.ngd -o RAM2E_LCMXO2_640HC_impl1_map.ncd -pr
|
||||
RAM2E_LCMXO2_640HC_impl1.prf -mp RAM2E_LCMXO2_640HC_impl1.mrp -lpf //Mac/iC
|
||||
loud/Repos/RAM2E/CPLD/LCMXO2-640HC-NODHGR/impl1/RAM2E_LCMXO2_640HC_impl1_sy
|
||||
nplify.lpf -lpf //Mac/iCloud/Repos/RAM2E/CPLD/RAM2E-LCMXO2.lpf -c 0 -gui
|
||||
nplify.lpf -lpf //Mac/iCloud/Repos/RAM2E/CPLD/RAM2E-LCMXO2.lpf -c 0 -gui
|
||||
-msgset //Mac/iCloud/Repos/RAM2E/CPLD/LCMXO2-640HC-NODHGR/promote.xml
|
||||
Target Vendor: LATTICE
|
||||
Target Device: LCMXO2-640HCTQFP100
|
||||
Target Performance: 4
|
||||
Mapper: xo2c00, version: Diamond (64-bit) 3.11.3.469
|
||||
Mapped on: 06/07/24 20:49:58
|
||||
Mapped on: 07/12/24 16:07:45
|
||||
|
||||
Design Summary
|
||||
--------------
|
||||
|
||||
Number of registers: 125 out of 877 (14%)
|
||||
PFU registers: 103 out of 640 (16%)
|
||||
Number of registers: 124 out of 877 (14%)
|
||||
PFU registers: 102 out of 640 (16%)
|
||||
PIO registers: 22 out of 237 (9%)
|
||||
Number of SLICEs: 148 out of 320 (46%)
|
||||
SLICEs as Logic/ROM: 148 out of 320 (46%)
|
||||
Number of SLICEs: 145 out of 320 (45%)
|
||||
SLICEs as Logic/ROM: 145 out of 320 (45%)
|
||||
SLICEs as RAM: 0 out of 240 (0%)
|
||||
SLICEs as Carry: 9 out of 320 (3%)
|
||||
Number of LUT4s: 296 out of 640 (46%)
|
||||
Number used as logic LUTs: 278
|
||||
Number of LUT4s: 289 out of 640 (45%)
|
||||
Number used as logic LUTs: 271
|
||||
Number used as distributed RAM: 0
|
||||
Number used as ripple logic: 18
|
||||
Number used as shift registers: 0
|
||||
@ -51,53 +52,54 @@ Design Summary
|
||||
2. Number of logic LUT4s does not include count of distributed RAM and
|
||||
ripple logic.
|
||||
Number of clocks: 2
|
||||
Net C14M_c: 85 loads, 63 rising, 22 falling (Driver: PIO C14M )
|
||||
Net C14M_c: 84 loads, 62 rising, 22 falling (Driver: PIO C14M )
|
||||
Net PHI1_c: 3 loads, 0 rising, 3 falling (Driver: PIO PHI1 )
|
||||
Number of Clock Enables: 13
|
||||
Net N_117_i: 2 loads, 0 LSLICEs
|
||||
Net RWBank14: 11 loads, 11 LSLICEs
|
||||
Net N_347_i: 2 loads, 0 LSLICEs
|
||||
Net RWBank14: 10 loads, 10 LSLICEs
|
||||
Net ram2e_ufm/wb_adr_0_sqmuxa_1_i: 1 loads, 1 LSLICEs
|
||||
Net un9_VOE_0_a2_0_a2: 1 loads, 1 LSLICEs
|
||||
Net un6_DOEEN_0_a2_0_a2: 2 loads, 2 LSLICEs
|
||||
Net ram2e_ufm/un1_wb_adr_0_sqmuxa_2_i[0]: 8 loads, 8 LSLICEs
|
||||
Net ram2e_ufm/N_63: 1 loads, 1 LSLICEs
|
||||
|
||||
Page 1
|
||||
|
||||
|
||||
|
||||
|
||||
Design: RAM2E Date: 06/07/24 20:49:58
|
||||
Design: RAM2E Date: 07/12/24 16:07:45
|
||||
|
||||
Design Summary (cont)
|
||||
---------------------
|
||||
Net ram2e_ufm/un1_wb_cyc_stb_0_sqmuxa_1_i[0]: 1 loads, 1 LSLICEs
|
||||
Net ram2e_ufm/N_111: 1 loads, 1 LSLICEs
|
||||
Net ram2e_ufm/N_104: 4 loads, 4 LSLICEs
|
||||
Net ram2e_ufm/N_98: 1 loads, 1 LSLICEs
|
||||
Net un1_CKE48_0_i: 6 loads, 6 LSLICEs
|
||||
Net N_389_i: 2 loads, 0 LSLICEs
|
||||
Net ram2e_ufm/un1_wb_adr_0_sqmuxa_2_i_0[0]: 8 loads, 8 LSLICEs
|
||||
Net ram2e_ufm/un1_CmdBitbangMXO212_1_i[0]: 1 loads, 1 LSLICEs
|
||||
Net ram2e_ufm/un1_RWMask_0_sqmuxa_1_i_0[0]: 4 loads, 4 LSLICEs
|
||||
Net ram2e_ufm/un1_LEDEN_0_sqmuxa_1_i_0[0]: 1 loads, 1 LSLICEs
|
||||
Net un9_VOE_0_a2: 1 loads, 1 LSLICEs
|
||||
Net un1_CKE48_i: 6 loads, 6 LSLICEs
|
||||
Net N_346_i: 2 loads, 0 LSLICEs
|
||||
Net Vout3: 8 loads, 0 LSLICEs
|
||||
Number of LSRs: 8
|
||||
Net N_148: 2 loads, 2 LSLICEs
|
||||
Net N_430_i: 2 loads, 0 LSLICEs
|
||||
Number of LSRs: 9
|
||||
Net un1_CS_0_sqmuxa_i: 2 loads, 2 LSLICEs
|
||||
Net ram2e_ufm.wb_rst13: 2 loads, 0 LSLICEs
|
||||
Net RC7: 2 loads, 2 LSLICEs
|
||||
Net S[2]: 2 loads, 2 LSLICEs
|
||||
Net S[1]: 1 loads, 1 LSLICEs
|
||||
Net ram2e_ufm/wb_rst: 1 loads, 0 LSLICEs
|
||||
Net ram2e_ufm/nRWE_0_i_o3_RNIP8E61: 1 loads, 1 LSLICEs
|
||||
Net N_530: 1 loads, 0 LSLICEs
|
||||
Net N_301_i: 1 loads, 1 LSLICEs
|
||||
Net ram2e_ufm/wb_rst6_i: 1 loads, 1 LSLICEs
|
||||
Net N_523_1: 1 loads, 0 LSLICEs
|
||||
Net N_727_0: 1 loads, 1 LSLICEs
|
||||
Net RATc_i: 1 loads, 1 LSLICEs
|
||||
Number of nets driven by tri-state buffers: 0
|
||||
Top 10 highest fanout non-clock nets:
|
||||
Net S[2]: 60 loads
|
||||
Net S[3]: 48 loads
|
||||
Net S[1]: 46 loads
|
||||
Net S[0]: 31 loads
|
||||
Net FS[11]: 24 loads
|
||||
Net FS[10]: 23 loads
|
||||
Net FS[12]: 23 loads
|
||||
Net FS[9]: 23 loads
|
||||
Net FS[13]: 21 loads
|
||||
Net FS[8]: 18 loads
|
||||
Net S[2]: 51 loads
|
||||
Net S[1]: 44 loads
|
||||
Net S[3]: 40 loads
|
||||
Net S[0]: 32 loads
|
||||
Net FS[8]: 29 loads
|
||||
Net FS[9]: 26 loads
|
||||
Net FS[10]: 25 loads
|
||||
Net FS[11]: 23 loads
|
||||
Net FS[13]: 20 loads
|
||||
Net ram2e_ufm.wb_rst13: 17 loads
|
||||
|
||||
|
||||
|
||||
@ -121,21 +123,22 @@ WARNING - map: UFM was enabled in EFB: Enabling the configuration interface will
|
||||
WARNING - map: IO buffer missing for top level port nWE80...logic will be
|
||||
discarded.
|
||||
|
||||
IO (PIO) Attributes
|
||||
-------------------
|
||||
|
||||
+---------------------+-----------+-----------+------------+
|
||||
| IO Name | Direction | Levelmode | IO |
|
||||
|
||||
|
||||
|
||||
Page 2
|
||||
|
||||
|
||||
|
||||
|
||||
Design: RAM2E Date: 06/07/24 20:49:58
|
||||
Design: RAM2E Date: 07/12/24 16:07:45
|
||||
|
||||
IO (PIO) Attributes (cont)
|
||||
--------------------------
|
||||
IO (PIO) Attributes
|
||||
-------------------
|
||||
|
||||
+---------------------+-----------+-----------+------------+
|
||||
| IO Name | Direction | Levelmode | IO |
|
||||
| | | IO_TYPE | Register |
|
||||
+---------------------+-----------+-----------+------------+
|
||||
| RD[0] | BIDIR | LVCMOS33 | |
|
||||
@ -189,19 +192,19 @@ IO (PIO) Attributes (cont)
|
||||
| BA[1] | OUTPUT | LVCMOS33 | OUT |
|
||||
+---------------------+-----------+-----------+------------+
|
||||
| BA[0] | OUTPUT | LVCMOS33 | OUT |
|
||||
+---------------------+-----------+-----------+------------+
|
||||
| nRWEout | OUTPUT | LVCMOS33 | OUT |
|
||||
+---------------------+-----------+-----------+------------+
|
||||
|
||||
Page 3
|
||||
|
||||
|
||||
|
||||
|
||||
Design: RAM2E Date: 06/07/24 20:49:58
|
||||
Design: RAM2E Date: 07/12/24 16:07:45
|
||||
|
||||
IO (PIO) Attributes (cont)
|
||||
--------------------------
|
||||
+---------------------+-----------+-----------+------------+
|
||||
| nRWEout | OUTPUT | LVCMOS33 | OUT |
|
||||
+---------------------+-----------+-----------+------------+
|
||||
| nCASout | OUTPUT | LVCMOS33 | OUT |
|
||||
+---------------------+-----------+-----------+------------+
|
||||
| nRASout | OUTPUT | LVCMOS33 | OUT |
|
||||
@ -255,19 +258,19 @@ IO (PIO) Attributes (cont)
|
||||
| Din[4] | INPUT | LVCMOS33 | |
|
||||
+---------------------+-----------+-----------+------------+
|
||||
| Din[3] | INPUT | LVCMOS33 | |
|
||||
+---------------------+-----------+-----------+------------+
|
||||
| Din[2] | INPUT | LVCMOS33 | |
|
||||
+---------------------+-----------+-----------+------------+
|
||||
|
||||
Page 4
|
||||
|
||||
|
||||
|
||||
|
||||
Design: RAM2E Date: 06/07/24 20:49:58
|
||||
Design: RAM2E Date: 07/12/24 16:07:45
|
||||
|
||||
IO (PIO) Attributes (cont)
|
||||
--------------------------
|
||||
+---------------------+-----------+-----------+------------+
|
||||
| Din[2] | INPUT | LVCMOS33 | |
|
||||
+---------------------+-----------+-----------+------------+
|
||||
| Din[1] | INPUT | LVCMOS33 | |
|
||||
+---------------------+-----------+-----------+------------+
|
||||
| Din[0] | INPUT | LVCMOS33 | |
|
||||
@ -321,19 +324,19 @@ Signal ram2e_ufm/ufmefb/TCINT undriven or does not drive anything - clipped.
|
||||
Signal ram2e_ufm/ufmefb/SPIIRQO undriven or does not drive anything - clipped.
|
||||
Signal ram2e_ufm/ufmefb/SPICSNEN undriven or does not drive anything - clipped.
|
||||
Signal ram2e_ufm/ufmefb/SPIMCSN7 undriven or does not drive anything - clipped.
|
||||
Signal ram2e_ufm/ufmefb/SPIMCSN6 undriven or does not drive anything - clipped.
|
||||
Signal ram2e_ufm/ufmefb/SPIMCSN5 undriven or does not drive anything - clipped.
|
||||
Signal ram2e_ufm/ufmefb/SPIMCSN4 undriven or does not drive anything - clipped.
|
||||
|
||||
Page 5
|
||||
|
||||
|
||||
|
||||
|
||||
Design: RAM2E Date: 06/07/24 20:49:58
|
||||
Design: RAM2E Date: 07/12/24 16:07:45
|
||||
|
||||
Removed logic (cont)
|
||||
--------------------
|
||||
Signal ram2e_ufm/ufmefb/SPIMCSN6 undriven or does not drive anything - clipped.
|
||||
Signal ram2e_ufm/ufmefb/SPIMCSN5 undriven or does not drive anything - clipped.
|
||||
Signal ram2e_ufm/ufmefb/SPIMCSN4 undriven or does not drive anything - clipped.
|
||||
Signal ram2e_ufm/ufmefb/SPIMCSN3 undriven or does not drive anything - clipped.
|
||||
Signal ram2e_ufm/ufmefb/SPIMCSN2 undriven or does not drive anything - clipped.
|
||||
Signal ram2e_ufm/ufmefb/SPIMCSN1 undriven or does not drive anything - clipped.
|
||||
@ -388,15 +391,13 @@ Block ram2e_ufm/ufmefb/GND was optimized away.
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
Page 6
|
||||
|
||||
|
||||
|
||||
|
||||
Design: RAM2E Date: 06/07/24 20:49:58
|
||||
Design: RAM2E Date: 07/12/24 16:07:45
|
||||
|
||||
|
||||
Embedded Functional Block Connection Summary
|
||||
--------------------------------------------
|
||||
@ -446,7 +447,7 @@ Run Time and Memory Usage
|
||||
-------------------------
|
||||
|
||||
Total CPU Time: 0 secs
|
||||
Total REAL Time: 0 secs
|
||||
Total REAL Time: 2 secs
|
||||
Peak Memory Usage: 59 MB
|
||||
|
||||
|
||||
@ -456,7 +457,6 @@ Run Time and Memory Usage
|
||||
|
||||
|
||||
|
||||
|
||||
Page 7
|
||||
|
||||
|
||||
|
@ -6,7 +6,7 @@ Performance Grade: 4
|
||||
PACKAGE: TQFP100
|
||||
Package Status: Final Version 1.39
|
||||
|
||||
Fri Jun 07 20:50:08 2024
|
||||
Fri Jul 12 16:08:09 2024
|
||||
|
||||
Pinout by Port Name:
|
||||
+-----------+----------+---------------+-------+-----------+-----------+------------------------------------------------------------+
|
||||
@ -283,5 +283,5 @@ Copyright (c) 1995 AT&T Corp. All rights reserved.
|
||||
Copyright (c) 1995-2001 Lucent Technologies Inc. All rights reserved.
|
||||
Copyright (c) 2001 Agere Systems All rights reserved.
|
||||
Copyright (c) 2002-2020 Lattice Semiconductor Corporation, All rights reserved.
|
||||
Fri Jun 07 20:50:12 2024
|
||||
Fri Jul 12 16:08:21 2024
|
||||
|
||||
|
@ -1,5 +1,5 @@
|
||||
SCHEMATIC START ;
|
||||
# map: version Diamond (64-bit) 3.11.3.469 -- WARNING: Map write only section -- Fri Jun 07 20:49:59 2024
|
||||
# map: version Diamond (64-bit) 3.11.3.469 -- WARNING: Map write only section -- Fri Jul 12 16:07:47 2024
|
||||
|
||||
SYSCONFIG SDM_PORT=DISABLE SLAVE_SPI_PORT=DISABLE I2C_PORT=DISABLE MASTER_SPI_PORT=DISABLE COMPRESS_CONFIG=ON CONFIGURATION=CFG MY_ASSP=OFF ONE_TIME_PROGRAM=OFF CONFIG_SECURE=OFF MCCLK_FREQ=2.08 JTAG_PORT=ENABLE ENABLE_TRANSFR=DISABLE SHAREDEBRINIT=DISABLE MUX_CONFIGURATION_PORTS=DISABLE BACKGROUND_RECONFIG=OFF INBUF=ON ;
|
||||
LOCATE COMP "RD[0]" SITE "36" ;
|
||||
|
@ -3,7 +3,7 @@
|
||||
#OS: Windows 8 6.2
|
||||
#Hostname: ZANEMACWIN11
|
||||
|
||||
# Fri Jun 7 20:49:50 2024
|
||||
# Fri Jul 12 16:07:05 2024
|
||||
|
||||
#Implementation: impl1
|
||||
|
||||
@ -52,6 +52,7 @@ Synopsys Verilog Compiler, Version comp2018q2p1, Build 461R, Built Apr 1 2019 0
|
||||
@I::"\\Mac\iCloud\Repos\RAM2E\CPLD\LCMXO2-640HC-NODHGR\REFB.v" (library work)
|
||||
@I::"\\Mac\iCloud\Repos\RAM2E\CPLD\DHGR-OFF.v" (library work)
|
||||
Verilog syntax check successful!
|
||||
File \\Mac\iCloud\Repos\RAM2E\CPLD\RAM2E.v changed - recompiling
|
||||
Selecting top level module RAM2E
|
||||
@N: CG364 :"C:\lscc\diamond\3.11_x64\synpbase\lib\lucent\machxo2.v":1120:7:1120:9|Synthesizing module VHI in library work.
|
||||
Running optimization stage 1 on VHI .......
|
||||
@ -77,12 +78,12 @@ Running optimization stage 2 on EFB .......
|
||||
Running optimization stage 2 on VLO .......
|
||||
Running optimization stage 2 on VHI .......
|
||||
|
||||
At c_ver Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 73MB peak: 74MB)
|
||||
At c_ver Exit (Real Time elapsed 0h:00m:05s; CPU Time elapsed 0h:00m:00s; Memory used current: 73MB peak: 74MB)
|
||||
|
||||
Process took 0h:00m:01s realtime, 0h:00m:01s cputime
|
||||
Process took 0h:00m:05s realtime, 0h:00m:01s cputime
|
||||
|
||||
Process completed successfully.
|
||||
# Fri Jun 7 20:49:51 2024
|
||||
# Fri Jul 12 16:07:11 2024
|
||||
|
||||
###########################################################]
|
||||
|
||||
@ -102,13 +103,14 @@ Implementation : impl1
|
||||
Synopsys Synopsys Netlist Linker, Version comp2018q2p1, Build 461R, Built Apr 1 2019 09:17:43
|
||||
|
||||
@N|Running in 64-bit mode
|
||||
File \\Mac\iCloud\Repos\RAM2E\CPLD\LCMXO2-640HC-NODHGR\impl1\synwork\layer0.srs changed - recompiling
|
||||
|
||||
At syn_nfilter Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 67MB peak: 68MB)
|
||||
|
||||
Process took 0h:00m:01s realtime, 0h:00m:01s cputime
|
||||
|
||||
Process completed successfully.
|
||||
# Fri Jun 7 20:49:51 2024
|
||||
# Fri Jul 12 16:07:12 2024
|
||||
|
||||
###########################################################]
|
||||
|
||||
@ -118,12 +120,12 @@ For a summary of runtime and memory usage for all design units, please see file:
|
||||
|
||||
@END
|
||||
|
||||
At c_hdl Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 3MB peak: 4MB)
|
||||
At c_hdl Exit (Real Time elapsed 0h:00m:06s; CPU Time elapsed 0h:00m:00s; Memory used current: 3MB peak: 4MB)
|
||||
|
||||
Process took 0h:00m:01s realtime, 0h:00m:01s cputime
|
||||
Process took 0h:00m:06s realtime, 0h:00m:01s cputime
|
||||
|
||||
Process completed successfully.
|
||||
# Fri Jun 7 20:49:51 2024
|
||||
# Fri Jul 12 16:07:12 2024
|
||||
|
||||
###########################################################]
|
||||
|
||||
@ -143,18 +145,17 @@ Database state : \\Mac\iCloud\Repos\RAM2E\CPLD\LCMXO2-640HC-NODHGR\impl1\synwork
|
||||
Synopsys Synopsys Netlist Linker, Version comp2018q2p1, Build 461R, Built Apr 1 2019 09:17:43
|
||||
|
||||
@N|Running in 64-bit mode
|
||||
File \\Mac\iCloud\Repos\RAM2E\CPLD\LCMXO2-640HC-NODHGR\impl1\synwork\RAM2E_LCMXO2_640HC_impl1_comp.srs changed - recompiling
|
||||
|
||||
At syn_nfilter Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 67MB peak: 68MB)
|
||||
|
||||
Process took 0h:00m:01s realtime, 0h:00m:01s cputime
|
||||
|
||||
Process completed successfully.
|
||||
# Fri Jun 7 20:49:52 2024
|
||||
# Fri Jul 12 16:07:14 2024
|
||||
|
||||
###########################################################]
|
||||
Premap Report
|
||||
|
||||
# Fri Jun 7 20:49:53 2024
|
||||
# Fri Jul 12 16:07:14 2024
|
||||
|
||||
|
||||
Copyright (C) 1994-2018 Synopsys, Inc.
|
||||
@ -199,7 +200,7 @@ Finished loading timing files (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h
|
||||
@A: FX681 :"\\mac\icloud\repos\ram2e\cpld\ram2e.v":21:4:21:9|Initial value on register S[3:0] is non-zero which can prevent the register from being packed into a block RAM or DSP.
|
||||
@N: MH105 |UMR3 is only supported for HAPS-80.
|
||||
@N: MH105 |UMR3 is only supported for HAPS-80.
|
||||
@N: BN115 :"\\mac\icloud\repos\ram2e\cpld\ram2e.v":140:9:140:12|Removing instance dhgr (in view: work.RAM2E(verilog)) of type view:work.DHGR(verilog) because it does not drive other instances.
|
||||
@N: BN115 :"\\mac\icloud\repos\ram2e\cpld\ram2e.v":136:9:136:12|Removing instance dhgr (in view: work.RAM2E(verilog)) of type view:work.DHGR(verilog) because it does not drive other instances.
|
||||
syn_allowed_resources : blockrams=2 set on top level netlist RAM2E
|
||||
|
||||
Finished netlist restructuring (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 141MB peak: 143MB)
|
||||
@ -212,7 +213,7 @@ Clock Summary
|
||||
Start Requested Requested Clock Clock Clock
|
||||
Level Clock Frequency Period Type Group Load
|
||||
-----------------------------------------------------------------------------------------------
|
||||
0 - C14M 14.3 MHz 69.841 declared default_clkgroup 121
|
||||
0 - C14M 14.3 MHz 69.841 declared default_clkgroup 120
|
||||
|
||||
0 - System 100.0 MHz 10.000 system system_clkgroup 0
|
||||
|
||||
@ -227,7 +228,7 @@ Clock Load Summary
|
||||
Clock Source Clock Pin Non-clock Pin Non-clock Pin
|
||||
Clock Load Pin Seq Example Seq Example Comb Example
|
||||
--------------------------------------------------------------------------------------------
|
||||
C14M 121 C14M(port) RAT.C - un1_C14M.I[0](inv)
|
||||
C14M 120 C14M(port) RAT.C - un1_C14M.I[0](inv)
|
||||
|
||||
System 0 - - - -
|
||||
|
||||
@ -247,14 +248,14 @@ Number of ICG latches not removed: 0
|
||||
|
||||
#### START OF PREMAP CLOCK OPTIMIZATION REPORT #####[
|
||||
|
||||
2 non-gated/non-generated clock tree(s) driving 125 clock pin(s) of sequential element(s)
|
||||
2 non-gated/non-generated clock tree(s) driving 124 clock pin(s) of sequential element(s)
|
||||
0 gated/generated clock tree(s) driving 0 clock pin(s) of sequential element(s)
|
||||
0 instances converted, 0 sequential instances remain driven by gated/generated clocks
|
||||
|
||||
=========================== Non-Gated/Non-Generated Clocks ============================
|
||||
Clock Tree ID Driving Element Drive Element Type Fanout Sample Instance
|
||||
---------------------------------------------------------------------------------------
|
||||
@KP:ckid0_0 C14M port 121 PHI1r
|
||||
@KP:ckid0_0 C14M port 120 PHI1r
|
||||
@KP:ckid0_1 PHI1 Unconstrained_port 4 RC[2:0]
|
||||
=======================================================================================
|
||||
|
||||
@ -264,27 +265,25 @@ Clock Tree ID Driving Element Drive Element Type Fanout Sample I
|
||||
@N: FX1143 |Skipping assigning INTERNAL_VREF to iobanks, because the table of mapping from pin to iobank is not initialized.
|
||||
Finished Pre Mapping Phase.
|
||||
|
||||
Starting constraint checker (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 141MB peak: 143MB)
|
||||
Starting constraint checker (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:00s; Memory used current: 141MB peak: 143MB)
|
||||
|
||||
|
||||
Finished constraint checker preprocessing (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 142MB peak: 143MB)
|
||||
Finished constraint checker preprocessing (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:00s; Memory used current: 142MB peak: 143MB)
|
||||
|
||||
None
|
||||
None
|
||||
|
||||
Finished constraint checker (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 142MB peak: 143MB)
|
||||
Finished constraint checker (Real Time elapsed 0h:00m:02s; CPU Time elapsed 0h:00m:00s; Memory used current: 142MB peak: 143MB)
|
||||
|
||||
Pre-mapping successful!
|
||||
|
||||
At Mapper Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 57MB peak: 143MB)
|
||||
At Mapper Exit (Real Time elapsed 0h:00m:02s; CPU Time elapsed 0h:00m:00s; Memory used current: 57MB peak: 143MB)
|
||||
|
||||
Process took 0h:00m:01s realtime, 0h:00m:01s cputime
|
||||
# Fri Jun 7 20:49:53 2024
|
||||
Process took 0h:00m:02s realtime, 0h:00m:01s cputime
|
||||
# Fri Jul 12 16:07:18 2024
|
||||
|
||||
###########################################################]
|
||||
Map & Optimize Report
|
||||
|
||||
# Fri Jun 7 20:49:53 2024
|
||||
# Fri Jul 12 16:07:21 2024
|
||||
|
||||
|
||||
Copyright (C) 1994-2018 Synopsys, Inc.
|
||||
@ -319,12 +318,12 @@ Mapper Initialization Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0
|
||||
Start loading timing files (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 111MB peak: 112MB)
|
||||
|
||||
|
||||
Finished loading timing files (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 111MB peak: 114MB)
|
||||
Finished loading timing files (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:00s; Memory used current: 111MB peak: 114MB)
|
||||
|
||||
@N: MF284 |Setting synthesis effort to medium for the design
|
||||
|
||||
|
||||
Starting Optimization and Mapping (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 140MB peak: 142MB)
|
||||
Starting Optimization and Mapping (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:00s; Memory used current: 140MB peak: 142MB)
|
||||
|
||||
|
||||
Available hyper_sources - for debug and ip models
|
||||
@ -333,61 +332,61 @@ Available hyper_sources - for debug and ip models
|
||||
@N: FX493 |Applying initial value "00000000" on instance RWBank[7:0].
|
||||
@N: FX493 |Applying initial value "0000" on instance S[3:0].
|
||||
|
||||
Finished RTL optimizations (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 141MB peak: 142MB)
|
||||
Finished RTL optimizations (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:00s; Memory used current: 141MB peak: 142MB)
|
||||
|
||||
@N: MO231 :"\\mac\icloud\repos\ram2e\cpld\ram2e.v":14:23:14:28|Found counter in view:work.RAM2E(verilog) instance FS[15:0]
|
||||
|
||||
Starting factoring (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 142MB peak: 142MB)
|
||||
Starting factoring (Real Time elapsed 0h:00m:02s; CPU Time elapsed 0h:00m:00s; Memory used current: 142MB peak: 142MB)
|
||||
|
||||
|
||||
Finished factoring (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 145MB peak: 145MB)
|
||||
Finished factoring (Real Time elapsed 0h:00m:03s; CPU Time elapsed 0h:00m:00s; Memory used current: 145MB peak: 145MB)
|
||||
|
||||
|
||||
Finished generic timing optimizations - Pass 1 (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 146MB peak: 146MB)
|
||||
Finished generic timing optimizations - Pass 1 (Real Time elapsed 0h:00m:03s; CPU Time elapsed 0h:00m:00s; Memory used current: 146MB peak: 146MB)
|
||||
|
||||
|
||||
Starting Early Timing Optimization (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 146MB peak: 146MB)
|
||||
Starting Early Timing Optimization (Real Time elapsed 0h:00m:04s; CPU Time elapsed 0h:00m:01s; Memory used current: 146MB peak: 146MB)
|
||||
|
||||
|
||||
Finished Early Timing Optimization (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:00s; Memory used current: 146MB peak: 146MB)
|
||||
Finished Early Timing Optimization (Real Time elapsed 0h:00m:04s; CPU Time elapsed 0h:00m:01s; Memory used current: 146MB peak: 146MB)
|
||||
|
||||
|
||||
Finished generic timing optimizations - Pass 2 (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 146MB peak: 146MB)
|
||||
Finished generic timing optimizations - Pass 2 (Real Time elapsed 0h:00m:04s; CPU Time elapsed 0h:00m:01s; Memory used current: 146MB peak: 146MB)
|
||||
|
||||
|
||||
Finished preparing to map (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 146MB peak: 146MB)
|
||||
Finished preparing to map (Real Time elapsed 0h:00m:04s; CPU Time elapsed 0h:00m:01s; Memory used current: 146MB peak: 147MB)
|
||||
|
||||
|
||||
Finished technology mapping (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 157MB peak: 160MB)
|
||||
Finished technology mapping (Real Time elapsed 0h:00m:05s; CPU Time elapsed 0h:00m:01s; Memory used current: 157MB peak: 160MB)
|
||||
|
||||
Pass CPU time Worst Slack Luts / Registers
|
||||
------------------------------------------------------------
|
||||
1 0h:00m:01s 6.90ns 281 / 125
|
||||
1 0h:00m:01s 6.90ns 280 / 124
|
||||
|
||||
Finished technology timing optimizations and critical path resynthesis (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 157MB peak: 160MB)
|
||||
Finished technology timing optimizations and critical path resynthesis (Real Time elapsed 0h:00m:05s; CPU Time elapsed 0h:00m:01s; Memory used current: 157MB peak: 160MB)
|
||||
|
||||
@N: FX164 |The option to pack registers in the IOB has not been specified. Please set syn_useioff attribute.
|
||||
@A: BN291 :"\\mac\icloud\repos\ram2e\cpld\ram2e.v":171:4:171:9|Boundary register BA_1_.fb (in view: work.RAM2E(verilog)) is packed into a complex cell. To disable register packing, set syn_keep=1 on the net between the register and the complex cell.
|
||||
@A: BN291 :"\\mac\icloud\repos\ram2e\cpld\ram2e.v":171:4:171:9|Boundary register BA_0_.fb (in view: work.RAM2E(verilog)) is packed into a complex cell. To disable register packing, set syn_keep=1 on the net between the register and the complex cell.
|
||||
@A: BN291 :"\\mac\icloud\repos\ram2e\cpld\ram2e.v":168:4:168:9|Boundary register BA_1_.fb (in view: work.RAM2E(verilog)) is packed into a complex cell. To disable register packing, set syn_keep=1 on the net between the register and the complex cell.
|
||||
@A: BN291 :"\\mac\icloud\repos\ram2e\cpld\ram2e.v":168:4:168:9|Boundary register BA_0_.fb (in view: work.RAM2E(verilog)) is packed into a complex cell. To disable register packing, set syn_keep=1 on the net between the register and the complex cell.
|
||||
|
||||
Finished restoring hierarchy (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 158MB peak: 160MB)
|
||||
Finished restoring hierarchy (Real Time elapsed 0h:00m:06s; CPU Time elapsed 0h:00m:01s; Memory used current: 158MB peak: 160MB)
|
||||
|
||||
|
||||
Start Writing Netlists (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 122MB peak: 160MB)
|
||||
Start Writing Netlists (Real Time elapsed 0h:00m:06s; CPU Time elapsed 0h:00m:01s; Memory used current: 122MB peak: 160MB)
|
||||
|
||||
Writing Analyst data base \\Mac\iCloud\Repos\RAM2E\CPLD\LCMXO2-640HC-NODHGR\impl1\synwork\RAM2E_LCMXO2_640HC_impl1_m.srm
|
||||
|
||||
Finished Writing Netlist Databases (Real Time elapsed 0h:00m:02s; CPU Time elapsed 0h:00m:01s; Memory used current: 158MB peak: 160MB)
|
||||
Finished Writing Netlist Databases (Real Time elapsed 0h:00m:08s; CPU Time elapsed 0h:00m:02s; Memory used current: 157MB peak: 160MB)
|
||||
|
||||
Writing EDIF Netlist and constraint files
|
||||
@N: FX1056 |Writing EDF file: \\Mac\iCloud\Repos\RAM2E\CPLD\LCMXO2-640HC-NODHGR\impl1\RAM2E_LCMXO2_640HC_impl1.edi
|
||||
N-2018.03L-SP1-1
|
||||
@N: BW106 |Synplicity Constraint File capacitance units using default value of 1pF
|
||||
|
||||
Finished Writing EDIF Netlist and constraint files (Real Time elapsed 0h:00m:02s; CPU Time elapsed 0h:00m:02s; Memory used current: 162MB peak: 164MB)
|
||||
Finished Writing EDIF Netlist and constraint files (Real Time elapsed 0h:00m:10s; CPU Time elapsed 0h:00m:02s; Memory used current: 161MB peak: 163MB)
|
||||
|
||||
|
||||
Start final timing analysis (Real Time elapsed 0h:00m:03s; CPU Time elapsed 0h:00m:02s; Memory used current: 161MB peak: 164MB)
|
||||
Start final timing analysis (Real Time elapsed 0h:00m:11s; CPU Time elapsed 0h:00m:03s; Memory used current: 160MB peak: 163MB)
|
||||
|
||||
@W: MT246 :"\\mac\icloud\repos\ram2e\cpld\lcmxo2-640hc-nodhgr\refb.v":78:8:78:16|Blackbox EFB is missing a user supplied timing model. This may have a negative effect on timing analysis and optimizations (Quality of Results)
|
||||
@N: MT615 |Found clock C14M with period 69.84ns
|
||||
@ -395,7 +394,7 @@ Start final timing analysis (Real Time elapsed 0h:00m:03s; CPU Time elapsed 0h:0
|
||||
|
||||
|
||||
##### START OF TIMING REPORT #####[
|
||||
# Timing Report written on Fri Jun 7 20:49:57 2024
|
||||
# Timing Report written on Fri Jul 12 16:07:33 2024
|
||||
#
|
||||
|
||||
|
||||
@ -420,9 +419,9 @@ Worst slack in design: 6.897
|
||||
Requested Estimated Requested Estimated Clock Clock
|
||||
Starting Clock Frequency Frequency Period Period Slack Type Group
|
||||
----------------------------------------------------------------------------------------------------------------------
|
||||
C14M 14.3 MHz 112.1 MHz 69.841 8.918 31.599 declared default_clkgroup
|
||||
C14M 14.3 MHz 120.3 MHz 69.841 8.314 31.675 declared default_clkgroup
|
||||
RAM2E|PHI1 100.0 MHz 322.2 MHz 10.000 3.103 6.897 inferred Inferred_clkgroup_0
|
||||
System 100.0 MHz NA 10.000 NA 67.088 system system_clkgroup
|
||||
System 100.0 MHz NA 10.000 NA 66.719 system system_clkgroup
|
||||
======================================================================================================================
|
||||
Estimated period and frequency reported as NA means no slack depends directly on the clock waveform
|
||||
|
||||
@ -437,9 +436,9 @@ Clocks | rise to rise | fall to fall | rise
|
||||
----------------------------------------------------------------------------------------------------------------
|
||||
Starting Ending | constraint slack | constraint slack | constraint slack | constraint slack
|
||||
----------------------------------------------------------------------------------------------------------------
|
||||
System C14M | 69.841 67.088 | No paths - | No paths - | No paths -
|
||||
System C14M | 69.841 66.719 | No paths - | No paths - | No paths -
|
||||
C14M System | 69.841 68.797 | No paths - | No paths - | No paths -
|
||||
C14M C14M | 69.841 60.923 | No paths - | 34.920 31.599 | No paths -
|
||||
C14M C14M | 69.841 61.527 | No paths - | 34.920 31.675 | No paths -
|
||||
RAM2E|PHI1 C14M | No paths - | No paths - | No paths - | Diff grp -
|
||||
RAM2E|PHI1 RAM2E|PHI1 | No paths - | 10.000 6.897 | No paths - | No paths -
|
||||
================================================================================================================
|
||||
@ -468,10 +467,10 @@ Starting Points with Worst Slack
|
||||
Instance Reference Type Pin Net Time Slack
|
||||
Clock
|
||||
---------------------------------------------------------------------------
|
||||
S[2] C14M FD1S3AX Q S[2] 1.366 31.599
|
||||
S[3] C14M FD1S3AX Q S[3] 1.345 31.619
|
||||
S[1] C14M FD1S3AX Q S[1] 1.344 31.800
|
||||
S[0] C14M FD1S3AX Q S[0] 1.305 31.838
|
||||
S[2] C14M FD1S3AX Q S[2] 1.353 31.675
|
||||
S[3] C14M FD1S3AX Q S[3] 1.337 31.691
|
||||
S[0] C14M FD1S3AX Q S[0] 1.319 32.182
|
||||
S[1] C14M FD1S3AX Q S[1] 1.344 32.656
|
||||
RA[0] C14M FD1P3AX Q RA[0] 1.108 33.707
|
||||
RA[3] C14M FD1P3AX Q RA[3] 1.108 33.707
|
||||
RA[1] C14M FD1P3AX Q RA[1] 1.044 33.771
|
||||
@ -484,21 +483,21 @@ RA[5] C14M FD1P3AX Q RA[5] 1.044 33.771
|
||||
Ending Points with Worst Slack
|
||||
******************************
|
||||
|
||||
Starting Required
|
||||
Instance Reference Type Pin Net Time Slack
|
||||
Clock
|
||||
-----------------------------------------------------------------------------------
|
||||
VOE_i_0io C14M OFS1P3IX CD N_530 34.118 31.599
|
||||
VOE_i_0io C14M OFS1P3IX D VOE_ic_i 35.009 31.800
|
||||
Vout_0io[0] C14M OFS1P3DX SP Vout3 34.449 32.634
|
||||
Vout_0io[1] C14M OFS1P3DX SP Vout3 34.449 32.634
|
||||
Vout_0io[2] C14M OFS1P3DX SP Vout3 34.449 32.634
|
||||
Vout_0io[3] C14M OFS1P3DX SP Vout3 34.449 32.634
|
||||
Vout_0io[4] C14M OFS1P3DX SP Vout3 34.449 32.634
|
||||
Vout_0io[5] C14M OFS1P3DX SP Vout3 34.449 32.634
|
||||
Vout_0io[6] C14M OFS1P3DX SP Vout3 34.449 32.634
|
||||
Vout_0io[7] C14M OFS1P3DX SP Vout3 34.449 32.634
|
||||
===================================================================================
|
||||
Starting Required
|
||||
Instance Reference Type Pin Net Time Slack
|
||||
Clock
|
||||
----------------------------------------------------------------------------------
|
||||
VOE_i_0io C14M OFS1P3IX CD N_523_1 34.118 31.675
|
||||
RAT C14M FD1S3IX CD RATc_i 34.118 32.147
|
||||
Vout_0io[0] C14M OFS1P3DX SP Vout3 34.449 32.647
|
||||
Vout_0io[1] C14M OFS1P3DX SP Vout3 34.449 32.647
|
||||
Vout_0io[2] C14M OFS1P3DX SP Vout3 34.449 32.647
|
||||
Vout_0io[3] C14M OFS1P3DX SP Vout3 34.449 32.647
|
||||
Vout_0io[4] C14M OFS1P3DX SP Vout3 34.449 32.647
|
||||
Vout_0io[5] C14M OFS1P3DX SP Vout3 34.449 32.647
|
||||
Vout_0io[6] C14M OFS1P3DX SP Vout3 34.449 32.647
|
||||
Vout_0io[7] C14M OFS1P3DX SP Vout3 34.449 32.647
|
||||
==================================================================================
|
||||
|
||||
|
||||
|
||||
@ -512,9 +511,9 @@ Path information for path number 1:
|
||||
+ Clock delay at ending point: 0.000 (ideal)
|
||||
= Required time: 34.118
|
||||
|
||||
- Propagation time: 2.519
|
||||
- Propagation time: 2.442
|
||||
- Clock delay at starting point: 0.000 (ideal)
|
||||
= Slack (non-critical) : 31.599
|
||||
= Slack (non-critical) : 31.675
|
||||
|
||||
Number of logic level(s): 1
|
||||
Starting point: S[2] / Q
|
||||
@ -522,16 +521,16 @@ Path information for path number 1:
|
||||
The start point is clocked by C14M [rising] on pin CK
|
||||
The end point is clocked by C14M [falling] on pin SCLK
|
||||
|
||||
Instance / Net Pin Pin Arrival No. of
|
||||
Name Type Name Dir Delay Time Fan Out(s)
|
||||
------------------------------------------------------------------------------------------------------------
|
||||
S[2] FD1S3AX Q Out 1.366 1.366 -
|
||||
S[2] Net - - - - 58
|
||||
ram2e_ufm.un1_wb_adr_0_sqmuxa_2_0_a2_0[0] ORCALUT4 A In 0.000 1.366 -
|
||||
ram2e_ufm.un1_wb_adr_0_sqmuxa_2_0_a2_0[0] ORCALUT4 Z Out 1.153 2.519 -
|
||||
N_530 Net - - - - 3
|
||||
VOE_i_0io OFS1P3IX CD In 0.000 2.519 -
|
||||
============================================================================================================
|
||||
Instance / Net Pin Pin Arrival No. of
|
||||
Name Type Name Dir Delay Time Fan Out(s)
|
||||
---------------------------------------------------------------------------------------
|
||||
S[2] FD1S3AX Q Out 1.353 1.353 -
|
||||
S[2] Net - - - - 50
|
||||
ram2e_ufm.VOE_2_0_a2 ORCALUT4 A In 0.000 1.353 -
|
||||
ram2e_ufm.VOE_2_0_a2 ORCALUT4 Z Out 1.089 2.442 -
|
||||
N_523_1 Net - - - - 2
|
||||
VOE_i_0io OFS1P3IX CD In 0.000 2.442 -
|
||||
=======================================================================================
|
||||
|
||||
|
||||
|
||||
@ -620,7 +619,7 @@ Starting Points with Worst Slack
|
||||
Instance Reference Type Pin Net Time Slack
|
||||
Clock
|
||||
---------------------------------------------------------------------------------------------------
|
||||
ram2e_ufm.ufmefb.EFBInst_0 System EFB WBACKO wb_ack 0.000 67.088
|
||||
ram2e_ufm.ufmefb.EFBInst_0 System EFB WBACKO wb_ack 0.000 66.719
|
||||
ram2e_ufm.ufmefb.EFBInst_0 System EFB WBDATO0 wb_dato[0] 0.000 69.313
|
||||
ram2e_ufm.ufmefb.EFBInst_0 System EFB WBDATO1 wb_dato[1] 0.000 69.313
|
||||
ram2e_ufm.ufmefb.EFBInst_0 System EFB WBDATO2 wb_dato[2] 0.000 69.313
|
||||
@ -635,21 +634,21 @@ ram2e_ufm.ufmefb.EFBInst_0 System EFB WBDATO7 wb_dato[7]
|
||||
Ending Points with Worst Slack
|
||||
******************************
|
||||
|
||||
Starting Required
|
||||
Instance Reference Type Pin Net Time Slack
|
||||
Clock
|
||||
----------------------------------------------------------------------------------------
|
||||
ram2e_ufm.RWMask[0] System FD1P3AX SP N_104 69.369 67.088
|
||||
ram2e_ufm.RWMask[1] System FD1P3AX SP N_104 69.369 67.088
|
||||
ram2e_ufm.RWMask[2] System FD1P3AX SP N_104 69.369 67.088
|
||||
ram2e_ufm.RWMask[3] System FD1P3AX SP N_104 69.369 67.088
|
||||
ram2e_ufm.RWMask[4] System FD1P3AX SP N_104 69.369 67.088
|
||||
ram2e_ufm.RWMask[5] System FD1P3AX SP N_104 69.369 67.088
|
||||
ram2e_ufm.RWMask[6] System FD1P3AX SP N_104 69.369 67.088
|
||||
ram2e_ufm.RWMask[7] System FD1P3AX SP N_104 69.369 67.088
|
||||
ram2e_ufm.LEDEN System FD1P3AX SP N_98 69.369 67.736
|
||||
ram2e_ufm.wb_cyc_stb System FD1P3AX SP N_111 69.369 67.736
|
||||
========================================================================================
|
||||
Starting Required
|
||||
Instance Reference Type Pin Net Time Slack
|
||||
Clock
|
||||
---------------------------------------------------------------------------------------------------------------
|
||||
ram2e_ufm.wb_cyc_stb System FD1P3AX SP un1_CmdBitbangMXO212_1_i[0] 69.369 66.719
|
||||
ram2e_ufm.LEDEN System FD1P3AX SP un1_LEDEN_0_sqmuxa_1_i_0[0] 69.369 67.736
|
||||
ram2e_ufm.RWMask[0] System FD1P3AX SP un1_RWMask_0_sqmuxa_1_i_0[0] 69.369 68.105
|
||||
ram2e_ufm.RWMask[1] System FD1P3AX SP un1_RWMask_0_sqmuxa_1_i_0[0] 69.369 68.105
|
||||
ram2e_ufm.RWMask[2] System FD1P3AX SP un1_RWMask_0_sqmuxa_1_i_0[0] 69.369 68.105
|
||||
ram2e_ufm.RWMask[3] System FD1P3AX SP un1_RWMask_0_sqmuxa_1_i_0[0] 69.369 68.105
|
||||
ram2e_ufm.RWMask[4] System FD1P3AX SP un1_RWMask_0_sqmuxa_1_i_0[0] 69.369 68.105
|
||||
ram2e_ufm.RWMask[5] System FD1P3AX SP un1_RWMask_0_sqmuxa_1_i_0[0] 69.369 68.105
|
||||
ram2e_ufm.RWMask[6] System FD1P3AX SP un1_RWMask_0_sqmuxa_1_i_0[0] 69.369 68.105
|
||||
ram2e_ufm.RWMask[7] System FD1P3AX SP un1_RWMask_0_sqmuxa_1_i_0[0] 69.369 68.105
|
||||
===============================================================================================================
|
||||
|
||||
|
||||
|
||||
@ -663,30 +662,33 @@ Path information for path number 1:
|
||||
+ Clock delay at ending point: 0.000 (ideal)
|
||||
= Required time: 69.369
|
||||
|
||||
- Propagation time: 2.282
|
||||
- Propagation time: 2.650
|
||||
- Clock delay at starting point: 0.000 (ideal)
|
||||
- Estimated clock delay at start point: -0.000
|
||||
= Slack (non-critical) : 67.088
|
||||
= Slack (non-critical) : 66.719
|
||||
|
||||
Number of logic level(s): 2
|
||||
Number of logic level(s): 3
|
||||
Starting point: ram2e_ufm.ufmefb.EFBInst_0 / WBACKO
|
||||
Ending point: ram2e_ufm.RWMask[0] / SP
|
||||
Ending point: ram2e_ufm.wb_cyc_stb / SP
|
||||
The start point is clocked by System [rising]
|
||||
The end point is clocked by C14M [rising] on pin CK
|
||||
|
||||
Instance / Net Pin Pin Arrival No. of
|
||||
Name Type Name Dir Delay Time Fan Out(s)
|
||||
----------------------------------------------------------------------------------------------------------------
|
||||
ram2e_ufm.ufmefb.EFBInst_0 EFB WBACKO Out 0.000 0.000 -
|
||||
wb_ack Net - - - - 5
|
||||
ram2e_ufm.un1_RWMask_0_sqmuxa_1_i_a2_0_0[0] ORCALUT4 B In 0.000 0.000 -
|
||||
ram2e_ufm.un1_RWMask_0_sqmuxa_1_i_a2_0_0[0] ORCALUT4 Z Out 1.017 1.017 -
|
||||
un1_RWMask_0_sqmuxa_1_i_a2_0_0[0] Net - - - - 1
|
||||
ram2e_ufm.un1_RWMask_0_sqmuxa_1_i_0[0] ORCALUT4 D In 0.000 1.017 -
|
||||
ram2e_ufm.un1_RWMask_0_sqmuxa_1_i_0[0] ORCALUT4 Z Out 1.265 2.282 -
|
||||
N_104 Net - - - - 8
|
||||
ram2e_ufm.RWMask[0] FD1P3AX SP In 0.000 2.282 -
|
||||
================================================================================================================
|
||||
Instance / Net Pin Pin Arrival No. of
|
||||
Name Type Name Dir Delay Time Fan Out(s)
|
||||
---------------------------------------------------------------------------------------------------------------
|
||||
ram2e_ufm.ufmefb.EFBInst_0 EFB WBACKO Out 0.000 0.000 -
|
||||
wb_ack Net - - - - 4
|
||||
ram2e_ufm.un1_CmdBitbangMXO212_1_0_0_tz[0] ORCALUT4 B In 0.000 0.000 -
|
||||
ram2e_ufm.un1_CmdBitbangMXO212_1_0_0_tz[0] ORCALUT4 Z Out 1.017 1.017 -
|
||||
un1_CmdBitbangMXO212_1_0_0_tz[0] Net - - - - 1
|
||||
ram2e_ufm.un1_CmdBitbangMXO212_1_0_0_0[0] ORCALUT4 C In 0.000 1.017 -
|
||||
ram2e_ufm.un1_CmdBitbangMXO212_1_0_0_0[0] ORCALUT4 Z Out 1.017 2.034 -
|
||||
un1_CmdBitbangMXO212_1_0_0_0[0] Net - - - - 1
|
||||
ram2e_ufm.wb_cyc_stb_RNO_0 ORCALUT4 A In 0.000 2.034 -
|
||||
ram2e_ufm.wb_cyc_stb_RNO_0 ORCALUT4 Z Out 0.617 2.650 -
|
||||
un1_CmdBitbangMXO212_1_i[0] Net - - - - 1
|
||||
ram2e_ufm.wb_cyc_stb FD1P3AX SP In 0.000 2.650 -
|
||||
===============================================================================================================
|
||||
|
||||
|
||||
|
||||
@ -695,16 +697,16 @@ ram2e_ufm.RWMask[0] FD1P3AX SP In
|
||||
Timing exceptions that could not be applied
|
||||
None
|
||||
|
||||
Finished final timing analysis (Real Time elapsed 0h:00m:03s; CPU Time elapsed 0h:00m:02s; Memory used current: 161MB peak: 164MB)
|
||||
Finished final timing analysis (Real Time elapsed 0h:00m:13s; CPU Time elapsed 0h:00m:03s; Memory used current: 161MB peak: 163MB)
|
||||
|
||||
|
||||
Finished timing report (Real Time elapsed 0h:00m:03s; CPU Time elapsed 0h:00m:02s; Memory used current: 161MB peak: 164MB)
|
||||
Finished timing report (Real Time elapsed 0h:00m:13s; CPU Time elapsed 0h:00m:03s; Memory used current: 161MB peak: 163MB)
|
||||
|
||||
---------------------------------------
|
||||
Resource Usage Report
|
||||
Part: lcmxo2_640hc-4
|
||||
|
||||
Register bits: 125 of 640 (20%)
|
||||
Register bits: 124 of 640 (19%)
|
||||
PIC Latch: 0
|
||||
I/O cells: 70
|
||||
|
||||
@ -713,7 +715,7 @@ Details:
|
||||
BB: 8
|
||||
CCU2D: 9
|
||||
EFB: 1
|
||||
FD1P3AX: 58
|
||||
FD1P3AX: 57
|
||||
FD1P3IX: 1
|
||||
FD1S3AX: 31
|
||||
FD1S3AY: 4
|
||||
@ -726,15 +728,16 @@ OB: 41
|
||||
OFS1P3BX: 6
|
||||
OFS1P3DX: 12
|
||||
OFS1P3IX: 3
|
||||
ORCALUT4: 275
|
||||
ORCALUT4: 268
|
||||
PFUMX: 10
|
||||
PUR: 1
|
||||
VHI: 3
|
||||
VLO: 3
|
||||
Mapper successful!
|
||||
|
||||
At Mapper Exit (Real Time elapsed 0h:00m:03s; CPU Time elapsed 0h:00m:03s; Memory used current: 32MB peak: 164MB)
|
||||
At Mapper Exit (Real Time elapsed 0h:00m:13s; CPU Time elapsed 0h:00m:03s; Memory used current: 32MB peak: 163MB)
|
||||
|
||||
Process took 0h:00m:03s realtime, 0h:00m:03s cputime
|
||||
# Fri Jun 7 20:49:57 2024
|
||||
Process took 0h:00m:14s realtime, 0h:00m:03s cputime
|
||||
# Fri Jul 12 16:07:38 2024
|
||||
|
||||
###########################################################]
|
||||
|
152
CPLD/LCMXO2-640HC-NODHGR/impl1/RAM2E_LCMXO2_640HC_impl1_bgn.html
Normal file
152
CPLD/LCMXO2-640HC-NODHGR/impl1/RAM2E_LCMXO2_640HC_impl1_bgn.html
Normal file
@ -0,0 +1,152 @@
|
||||
<HTML>
|
||||
<HEAD><TITLE>Bitgen Report</TITLE>
|
||||
<STYLE TYPE="text/css">
|
||||
<!--
|
||||
body,pre{
font-family:'Courier New', monospace;
color: #000000;
font-size:88%;
background-color: #ffffff;
}
h1 {
font-weight: bold;
margin-top: 24px;
margin-bottom: 10px;
border-bottom: 3px solid #000; font-size: 1em;
}
h2 {
font-weight: bold;
margin-top: 18px;
margin-bottom: 5px;
font-size: 0.90em;
}
h3 {
font-weight: bold;
margin-top: 12px;
margin-bottom: 5px;
font-size: 0.80em;
}
p {
font-size:78%;
}
P.Table {
margin-top: 4px;
margin-bottom: 4px;
margin-right: 4px;
margin-left: 4px;
}
table
{
border-width: 1px 1px 1px 1px;
border-style: solid solid solid solid;
border-color: black black black black;
border-collapse: collapse;
}
th {
font-weight:bold;
padding: 4px;
border-width: 1px 1px 1px 1px;
border-style: solid solid solid solid;
border-color: black black black black;
vertical-align:top;
text-align:left;
font-size:78%;
}
td {
padding: 4px;
border-width: 1px 1px 1px 1px;
border-style: solid solid solid solid;
border-color: black black black black;
vertical-align:top;
font-size:78%;
}
a {
color:#013C9A;
text-decoration:none;
}
a:visited {
color:#013C9A;
}
a:hover, a:active {
text-decoration:underline;
color:#5BAFD4;
}
.pass
{
background-color: #00ff00;
}
.fail
{
background-color: #ff0000;
}
.comment
{
font-size: 90%;
font-style: italic;
}
|
||||
-->
|
||||
</STYLE>
|
||||
</HEAD>
|
||||
<PRE><A name="Bgn"></A>BITGEN: Bitstream Generator Diamond (64-bit) 3.11.3.469
|
||||
Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved.
|
||||
Copyright (c) 1995 AT&T Corp. All rights reserved.
|
||||
Copyright (c) 1995-2001 Lucent Technologies Inc. All rights reserved.
|
||||
Copyright (c) 2001 Agere Systems All rights reserved.
|
||||
Copyright (c) 2002-2020 Lattice Semiconductor Corporation, All rights reserved.
|
||||
Fri Jun 07 20:50:16 2024
|
||||
|
||||
|
||||
Command: bitgen -g RamCfg:Reset -path //Mac/iCloud/Repos/RAM2E/CPLD/LCMXO2-640HC-NODHGR -w -jedec -gui RAM2E_LCMXO2_640HC_impl1.ncd RAM2E_LCMXO2_640HC_impl1.prf
|
||||
|
||||
Loading design for application Bitgen from file RAM2E_LCMXO2_640HC_impl1.ncd.
|
||||
Design name: RAM2E
|
||||
NCD version: 3.3
|
||||
Vendor: LATTICE
|
||||
Device: LCMXO2-640HC
|
||||
Package: TQFP100
|
||||
Performance: 4
|
||||
Loading device for application Bitgen from file 'xo2c640.nph' in environment: C:/lscc/diamond/3.11_x64/ispfpga.
|
||||
Package Status: Final Version 1.39.
|
||||
Performance Hardware Data Status: Final Version 34.4.
|
||||
|
||||
Running DRC.
|
||||
DRC detected 0 errors and 0 warnings.
|
||||
Reading Preference File from RAM2E_LCMXO2_640HC_impl1.prf.
|
||||
|
||||
<A name="bgn_ps"></A>
|
||||
<B><U><big>Preference Summary:</big></U></B>
|
||||
|
||||
+---------------------------------+---------------------------------+
|
||||
| Preference | Current Setting |
|
||||
+---------------------------------+---------------------------------+
|
||||
| RamCfg | Reset** |
|
||||
+---------------------------------+---------------------------------+
|
||||
| MCCLK_FREQ | 2.08** |
|
||||
+---------------------------------+---------------------------------+
|
||||
| CONFIG_SECURE | OFF** |
|
||||
+---------------------------------+---------------------------------+
|
||||
| INBUF | ON** |
|
||||
+---------------------------------+---------------------------------+
|
||||
| JTAG_PORT | ENABLE** |
|
||||
+---------------------------------+---------------------------------+
|
||||
| SDM_PORT | DISABLE** |
|
||||
+---------------------------------+---------------------------------+
|
||||
| SLAVE_SPI_PORT | DISABLE** |
|
||||
+---------------------------------+---------------------------------+
|
||||
| MASTER_SPI_PORT | DISABLE** |
|
||||
+---------------------------------+---------------------------------+
|
||||
| I2C_PORT | DISABLE** |
|
||||
+---------------------------------+---------------------------------+
|
||||
| MUX_CONFIGURATION_PORTS | DISABLE** |
|
||||
+---------------------------------+---------------------------------+
|
||||
| CONFIGURATION | CFG** |
|
||||
+---------------------------------+---------------------------------+
|
||||
| COMPRESS_CONFIG | ON** |
|
||||
+---------------------------------+---------------------------------+
|
||||
| MY_ASSP | OFF** |
|
||||
+---------------------------------+---------------------------------+
|
||||
| ONE_TIME_PROGRAM | OFF** |
|
||||
+---------------------------------+---------------------------------+
|
||||
| ENABLE_TRANSFR | DISABLE** |
|
||||
+---------------------------------+---------------------------------+
|
||||
| SHAREDEBRINIT | DISABLE** |
|
||||
+---------------------------------+---------------------------------+
|
||||
| BACKGROUND_RECONFIG | OFF** |
|
||||
+---------------------------------+---------------------------------+
|
||||
* Default setting.
|
||||
** The specified setting matches the default setting.
|
||||
|
||||
|
||||
Creating bit map...
|
||||
|
||||
Bitstream Status: Final Version 1.95.
|
||||
|
||||
Saving bit stream in "RAM2E_LCMXO2_640HC_impl1.jed".
|
||||
|
||||
===========
|
||||
UFM Summary.
|
||||
===========
|
||||
UFM Size: 191 Pages (128*191 Bits).
|
||||
UFM Utilization: General Purpose Flash Memory.
|
||||
|
||||
Available General Purpose Flash Memory: 191 Pages (Page 0 to Page 190).
|
||||
Initialized UFM Pages: 1 Page (Page 190).
|
||||
|
||||
Total CPU Time: 3 secs
|
||||
Total REAL Time: 4 secs
|
||||
Peak Memory Usage: 267 MB
|
||||
|
||||
|
||||
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
</PRE></FONT>
|
||||
</BODY>
|
||||
</HTML>
|
@ -13,7 +13,7 @@ Hostname: ZANEMACWIN11
|
||||
|
||||
Implementation : impl1
|
||||
|
||||
# Written on Fri Jun 7 20:49:53 2024
|
||||
# Written on Fri Jul 12 16:07:16 2024
|
||||
|
||||
##### DESIGN INFO #######################################################
|
||||
|
||||
|
@ -17,24 +17,25 @@ Command line: map -a MachXO2 -p LCMXO2-640HC -t TQFP100 -s 4 -oc Commercial
|
||||
RAM2E_LCMXO2_640HC_impl1.ngd -o RAM2E_LCMXO2_640HC_impl1_map.ncd -pr
|
||||
RAM2E_LCMXO2_640HC_impl1.prf -mp RAM2E_LCMXO2_640HC_impl1.mrp -lpf //Mac/iC
|
||||
loud/Repos/RAM2E/CPLD/LCMXO2-640HC-NODHGR/impl1/RAM2E_LCMXO2_640HC_impl1_sy
|
||||
nplify.lpf -lpf //Mac/iCloud/Repos/RAM2E/CPLD/RAM2E-LCMXO2.lpf -c 0 -gui
|
||||
nplify.lpf -lpf //Mac/iCloud/Repos/RAM2E/CPLD/RAM2E-LCMXO2.lpf -c 0 -gui
|
||||
-msgset //Mac/iCloud/Repos/RAM2E/CPLD/LCMXO2-640HC-NODHGR/promote.xml
|
||||
Target Vendor: LATTICE
|
||||
Target Device: LCMXO2-640HCTQFP100
|
||||
Target Performance: 4
|
||||
Mapper: xo2c00, version: Diamond (64-bit) 3.11.3.469
|
||||
Mapped on: 06/07/24 20:49:58
|
||||
Mapped on: 07/12/24 16:07:45
|
||||
|
||||
|
||||
<A name="mrp_ds"></A><B><U><big>Design Summary</big></U></B>
|
||||
Number of registers: 125 out of 877 (14%)
|
||||
PFU registers: 103 out of 640 (16%)
|
||||
Number of registers: 124 out of 877 (14%)
|
||||
PFU registers: 102 out of 640 (16%)
|
||||
PIO registers: 22 out of 237 (9%)
|
||||
Number of SLICEs: 148 out of 320 (46%)
|
||||
SLICEs as Logic/ROM: 148 out of 320 (46%)
|
||||
Number of SLICEs: 145 out of 320 (45%)
|
||||
SLICEs as Logic/ROM: 145 out of 320 (45%)
|
||||
SLICEs as RAM: 0 out of 240 (0%)
|
||||
SLICEs as Carry: 9 out of 320 (3%)
|
||||
Number of LUT4s: 296 out of 640 (46%)
|
||||
Number used as logic LUTs: 278
|
||||
Number of LUT4s: 289 out of 640 (45%)
|
||||
Number used as logic LUTs: 271
|
||||
Number used as distributed RAM: 0
|
||||
Number used as ripple logic: 18
|
||||
Number used as shift registers: 0
|
||||
@ -58,44 +59,45 @@ Mapped on: 06/07/24 20:49:58
|
||||
2. Number of logic LUT4s does not include count of distributed RAM and
|
||||
ripple logic.
|
||||
Number of clocks: 2
|
||||
Net C14M_c: 85 loads, 63 rising, 22 falling (Driver: PIO C14M )
|
||||
Net C14M_c: 84 loads, 62 rising, 22 falling (Driver: PIO C14M )
|
||||
Net PHI1_c: 3 loads, 0 rising, 3 falling (Driver: PIO PHI1 )
|
||||
Number of Clock Enables: 13
|
||||
Net N_117_i: 2 loads, 0 LSLICEs
|
||||
Net RWBank14: 11 loads, 11 LSLICEs
|
||||
Net N_347_i: 2 loads, 0 LSLICEs
|
||||
Net RWBank14: 10 loads, 10 LSLICEs
|
||||
Net ram2e_ufm/wb_adr_0_sqmuxa_1_i: 1 loads, 1 LSLICEs
|
||||
Net un9_VOE_0_a2_0_a2: 1 loads, 1 LSLICEs
|
||||
Net un6_DOEEN_0_a2_0_a2: 2 loads, 2 LSLICEs
|
||||
Net ram2e_ufm/un1_wb_adr_0_sqmuxa_2_i[0]: 8 loads, 8 LSLICEs
|
||||
Net ram2e_ufm/N_63: 1 loads, 1 LSLICEs
|
||||
|
||||
Net ram2e_ufm/un1_wb_cyc_stb_0_sqmuxa_1_i[0]: 1 loads, 1 LSLICEs
|
||||
Net ram2e_ufm/N_111: 1 loads, 1 LSLICEs
|
||||
Net ram2e_ufm/N_104: 4 loads, 4 LSLICEs
|
||||
Net ram2e_ufm/N_98: 1 loads, 1 LSLICEs
|
||||
Net un1_CKE48_0_i: 6 loads, 6 LSLICEs
|
||||
Net N_389_i: 2 loads, 0 LSLICEs
|
||||
Net ram2e_ufm/un1_wb_adr_0_sqmuxa_2_i_0[0]: 8 loads, 8 LSLICEs
|
||||
Net ram2e_ufm/un1_CmdBitbangMXO212_1_i[0]: 1 loads, 1 LSLICEs
|
||||
Net ram2e_ufm/un1_RWMask_0_sqmuxa_1_i_0[0]: 4 loads, 4 LSLICEs
|
||||
Net ram2e_ufm/un1_LEDEN_0_sqmuxa_1_i_0[0]: 1 loads, 1 LSLICEs
|
||||
Net un9_VOE_0_a2: 1 loads, 1 LSLICEs
|
||||
Net un1_CKE48_i: 6 loads, 6 LSLICEs
|
||||
Net N_346_i: 2 loads, 0 LSLICEs
|
||||
Net Vout3: 8 loads, 0 LSLICEs
|
||||
Number of LSRs: 8
|
||||
Net N_148: 2 loads, 2 LSLICEs
|
||||
Net N_430_i: 2 loads, 0 LSLICEs
|
||||
Number of LSRs: 9
|
||||
Net un1_CS_0_sqmuxa_i: 2 loads, 2 LSLICEs
|
||||
Net ram2e_ufm.wb_rst13: 2 loads, 0 LSLICEs
|
||||
Net RC7: 2 loads, 2 LSLICEs
|
||||
Net S[2]: 2 loads, 2 LSLICEs
|
||||
Net S[1]: 1 loads, 1 LSLICEs
|
||||
Net ram2e_ufm/wb_rst: 1 loads, 0 LSLICEs
|
||||
Net ram2e_ufm/nRWE_0_i_o3_RNIP8E61: 1 loads, 1 LSLICEs
|
||||
Net N_530: 1 loads, 0 LSLICEs
|
||||
Net N_301_i: 1 loads, 1 LSLICEs
|
||||
Net ram2e_ufm/wb_rst6_i: 1 loads, 1 LSLICEs
|
||||
Net N_523_1: 1 loads, 0 LSLICEs
|
||||
Net N_727_0: 1 loads, 1 LSLICEs
|
||||
Net RATc_i: 1 loads, 1 LSLICEs
|
||||
Number of nets driven by tri-state buffers: 0
|
||||
Top 10 highest fanout non-clock nets:
|
||||
Net S[2]: 60 loads
|
||||
Net S[3]: 48 loads
|
||||
Net S[1]: 46 loads
|
||||
Net S[0]: 31 loads
|
||||
Net FS[11]: 24 loads
|
||||
Net FS[10]: 23 loads
|
||||
Net FS[12]: 23 loads
|
||||
Net FS[9]: 23 loads
|
||||
Net FS[13]: 21 loads
|
||||
Net FS[8]: 18 loads
|
||||
Net S[2]: 51 loads
|
||||
Net S[1]: 44 loads
|
||||
Net S[3]: 40 loads
|
||||
Net S[0]: 32 loads
|
||||
Net FS[8]: 29 loads
|
||||
Net FS[9]: 26 loads
|
||||
Net FS[10]: 25 loads
|
||||
Net FS[11]: 23 loads
|
||||
Net FS[13]: 20 loads
|
||||
Net ram2e_ufm.wb_rst13: 17 loads
|
||||
|
||||
|
||||
|
||||
@ -123,11 +125,14 @@ WARNING - map: IO buffer missing for top level port nWE80...logic will be
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
<A name="mrp_ioa"></A><B><U><big>IO (PIO) Attributes</big></U></B>
|
||||
|
||||
+---------------------+-----------+-----------+------------+
|
||||
| IO Name | Direction | Levelmode | IO |
|
||||
|
||||
| | | IO_TYPE | Register |
|
||||
+---------------------+-----------+-----------+------------+
|
||||
| RD[0] | BIDIR | LVCMOS33 | |
|
||||
@ -181,10 +186,10 @@ WARNING - map: IO buffer missing for top level port nWE80...logic will be
|
||||
| BA[1] | OUTPUT | LVCMOS33 | OUT |
|
||||
+---------------------+-----------+-----------+------------+
|
||||
| BA[0] | OUTPUT | LVCMOS33 | OUT |
|
||||
|
||||
+---------------------+-----------+-----------+------------+
|
||||
| nRWEout | OUTPUT | LVCMOS33 | OUT |
|
||||
+---------------------+-----------+-----------+------------+
|
||||
|
||||
| nCASout | OUTPUT | LVCMOS33 | OUT |
|
||||
+---------------------+-----------+-----------+------------+
|
||||
| nRASout | OUTPUT | LVCMOS33 | OUT |
|
||||
@ -238,10 +243,10 @@ WARNING - map: IO buffer missing for top level port nWE80...logic will be
|
||||
| Din[4] | INPUT | LVCMOS33 | |
|
||||
+---------------------+-----------+-----------+------------+
|
||||
| Din[3] | INPUT | LVCMOS33 | |
|
||||
|
||||
+---------------------+-----------+-----------+------------+
|
||||
| Din[2] | INPUT | LVCMOS33 | |
|
||||
+---------------------+-----------+-----------+------------+
|
||||
|
||||
| Din[1] | INPUT | LVCMOS33 | |
|
||||
+---------------------+-----------+-----------+------------+
|
||||
| Din[0] | INPUT | LVCMOS33 | |
|
||||
@ -296,10 +301,10 @@ Signal ram2e_ufm/ufmefb/TCINT undriven or does not drive anything - clipped.
|
||||
Signal ram2e_ufm/ufmefb/SPIIRQO undriven or does not drive anything - clipped.
|
||||
Signal ram2e_ufm/ufmefb/SPICSNEN undriven or does not drive anything - clipped.
|
||||
Signal ram2e_ufm/ufmefb/SPIMCSN7 undriven or does not drive anything - clipped.
|
||||
|
||||
Signal ram2e_ufm/ufmefb/SPIMCSN6 undriven or does not drive anything - clipped.
|
||||
Signal ram2e_ufm/ufmefb/SPIMCSN5 undriven or does not drive anything - clipped.
|
||||
Signal ram2e_ufm/ufmefb/SPIMCSN4 undriven or does not drive anything - clipped.
|
||||
|
||||
Signal ram2e_ufm/ufmefb/SPIMCSN3 undriven or does not drive anything - clipped.
|
||||
Signal ram2e_ufm/ufmefb/SPIMCSN2 undriven or does not drive anything - clipped.
|
||||
Signal ram2e_ufm/ufmefb/SPIMCSN1 undriven or does not drive anything - clipped.
|
||||
@ -357,8 +362,6 @@ Block ram2e_ufm/ufmefb/GND was optimized away.
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
<A name="mrp_efb"></A><B><U><big>Embedded Functional Block Connection Summary</big></U></B>
|
||||
|
||||
Desired WISHBONE clock frequency: 14.4 MHz
|
||||
@ -410,7 +413,7 @@ Instance Name: ram2e_ufm/ufmefb/EFBInst_0
|
||||
-------------------------
|
||||
|
||||
Total CPU Time: 0 secs
|
||||
Total REAL Time: 0 secs
|
||||
Total REAL Time: 2 secs
|
||||
Peak Memory Usage: 59 MB
|
||||
|
||||
|
||||
@ -422,7 +425,6 @@ Instance Name: ram2e_ufm/ufmefb/EFBInst_0
|
||||
|
||||
|
||||
|
||||
|
||||
Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved.
|
||||
Copyright (c) 1995 AT&T Corp. All rights reserved.
|
||||
Copyright (c) 1995-2001 Lucent Technologies Inc. All rights reserved.
|
||||
|
@ -14,7 +14,7 @@ Performance Grade: 4
|
||||
PACKAGE: TQFP100
|
||||
Package Status: Final Version 1.39
|
||||
|
||||
Fri Jun 07 20:50:08 2024
|
||||
Fri Jul 12 16:08:09 2024
|
||||
|
||||
Pinout by Port Name:
|
||||
+-----------+----------+---------------+-------+-----------+-----------+------------------------------------------------------------+
|
||||
@ -292,7 +292,7 @@ Copyright (c) 1995 AT&T Corp. All rights reserved.
|
||||
Copyright (c) 1995-2001 Lucent Technologies Inc. All rights reserved.
|
||||
Copyright (c) 2001 Agere Systems All rights reserved.
|
||||
Copyright (c) 2002-2020 Lattice Semiconductor Corporation, All rights reserved.
|
||||
Fri Jun 07 20:50:12 2024
|
||||
Fri Jul 12 16:08:21 2024
|
||||
|
||||
|
||||
|
||||
|
@ -12,11 +12,12 @@ Copyright (c) 1995 AT&T Corp. All rights reserved.
|
||||
Copyright (c) 1995-2001 Lucent Technologies Inc. All rights reserved.
|
||||
Copyright (c) 2001 Agere Systems All rights reserved.
|
||||
Copyright (c) 2002-2020 Lattice Semiconductor Corporation, All rights reserved.
|
||||
Fri Jun 07 20:49:59 2024
|
||||
Fri Jul 12 16:07:51 2024
|
||||
|
||||
C:/lscc/diamond/3.11_x64/ispfpga\bin\nt64\par -f RAM2E_LCMXO2_640HC_impl1.p2t
|
||||
RAM2E_LCMXO2_640HC_impl1_map.ncd RAM2E_LCMXO2_640HC_impl1.dir
|
||||
RAM2E_LCMXO2_640HC_impl1.prf -gui
|
||||
RAM2E_LCMXO2_640HC_impl1.prf -gui -msgset
|
||||
//Mac/iCloud/Repos/RAM2E/CPLD/LCMXO2-640HC-NODHGR/promote.xml
|
||||
|
||||
|
||||
Preference file: RAM2E_LCMXO2_640HC_impl1.prf.
|
||||
@ -25,22 +26,22 @@ Preference file: RAM2E_LCMXO2_640HC_impl1.prf.
|
||||
Level/ Number Worst Timing Worst Timing Run NCD
|
||||
Cost [ncd] Unrouted Slack Score Slack(hold) Score(hold) Time Status
|
||||
---------- -------- ----- ------ ----------- ----------- ---- ------
|
||||
5_1 * 0 56.334 0 0.379 0 16 Completed
|
||||
5_1 * 0 55.130 0 0.379 0 44 Completed
|
||||
* : Design saved.
|
||||
|
||||
Total (real) run time for 1-seed: 16 secs
|
||||
Total (real) run time for 1-seed: 44 secs
|
||||
|
||||
par done!
|
||||
|
||||
Note: user must run 'Trace' for timing closure signoff.
|
||||
|
||||
Lattice Place and Route Report for Design "RAM2E_LCMXO2_640HC_impl1_map.ncd"
|
||||
Fri Jun 07 20:49:59 2024
|
||||
Fri Jul 12 16:07:51 2024
|
||||
|
||||
|
||||
<A name="par_best"></A><B><U><big>Best Par Run</big></U></B>
|
||||
PAR: Place And Route Diamond (64-bit) 3.11.3.469.
|
||||
Command Line: par -w -l 5 -i 6 -t 1 -c 0 -e 0 -gui -exp parUseNBR=1:parCDP=0:parCDR=0:parPathBased=OFF RAM2E_LCMXO2_640HC_impl1_map.ncd RAM2E_LCMXO2_640HC_impl1.dir/5_1.ncd RAM2E_LCMXO2_640HC_impl1.prf
|
||||
Command Line: par -w -l 5 -i 6 -t 1 -c 0 -e 0 -gui -msgset //Mac/iCloud/Repos/RAM2E/CPLD/LCMXO2-640HC-NODHGR/promote.xml -exp parUseNBR=1:parCDP=0:parCDR=0:parPathBased=OFF RAM2E_LCMXO2_640HC_impl1_map.ncd RAM2E_LCMXO2_640HC_impl1.dir/5_1.ncd RAM2E_LCMXO2_640HC_impl1.prf
|
||||
Preference file: RAM2E_LCMXO2_640HC_impl1.prf.
|
||||
Placement level-cost: 5-1.
|
||||
Routing Iterations: 6
|
||||
@ -66,39 +67,39 @@ Ignore Preference Error(s): True
|
||||
70+4(JTAG)/79 94% bonded
|
||||
IOLOGIC 22/80 27% used
|
||||
|
||||
SLICE 148/320 46% used
|
||||
SLICE 145/320 45% used
|
||||
|
||||
EFB 1/1 100% used
|
||||
|
||||
|
||||
Number of Signals: 465
|
||||
Number of Connections: 1330
|
||||
Number of Signals: 447
|
||||
Number of Connections: 1292
|
||||
|
||||
Pin Constraint Summary:
|
||||
70 out of 70 pins locked (100% locked).
|
||||
|
||||
The following 1 signal is selected to use the primary clock routing resources:
|
||||
C14M_c (driver: C14M, clk load #: 85)
|
||||
C14M_c (driver: C14M, clk load #: 84)
|
||||
|
||||
WARNING - par: Signal "C14M_c" is selected to use Primary clock resources. However, its driver comp "C14M" is located at "62", which is not a dedicated pin for connecting to Primary clock resources. General routing has to be used to route this signal, and it might suffer from excessive delay or skew.
|
||||
|
||||
The following 1 signal is selected to use the secondary clock routing resources:
|
||||
RWBank14 (driver: ram2e_ufm/SLICE_82, clk load #: 0, sr load #: 0, ce load #: 11)
|
||||
RWBank14 (driver: ram2e_ufm/SLICE_89, clk load #: 0, sr load #: 0, ce load #: 10)
|
||||
|
||||
No signal is selected as Global Set/Reset.
|
||||
Starting Placer Phase 0.
|
||||
............
|
||||
Finished Placer Phase 0. REAL time: 2 secs
|
||||
Finished Placer Phase 0. REAL time: 6 secs
|
||||
|
||||
Starting Placer Phase 1.
|
||||
.....................
|
||||
Placer score = 71540.
|
||||
Finished Placer Phase 1. REAL time: 9 secs
|
||||
Placer score = 69147.
|
||||
Finished Placer Phase 1. REAL time: 17 secs
|
||||
|
||||
Starting Placer Phase 2.
|
||||
.
|
||||
Placer score = 70933
|
||||
Finished Placer Phase 2. REAL time: 9 secs
|
||||
Placer score = 69051
|
||||
Finished Placer Phase 2. REAL time: 18 secs
|
||||
|
||||
|
||||
|
||||
@ -111,8 +112,8 @@ Global Clock Resources:
|
||||
DCC : 0 out of 8 (0%)
|
||||
|
||||
Global Clocks:
|
||||
PRIMARY "C14M_c" from comp "C14M" on PIO site "62 (PR5D)", clk load = 85
|
||||
SECONDARY "RWBank14" from F0 on comp "ram2e_ufm/SLICE_82" on site "R2C9D", clk load = 0, ce load = 11, sr load = 0
|
||||
PRIMARY "C14M_c" from comp "C14M" on PIO site "62 (PR5D)", clk load = 84
|
||||
SECONDARY "RWBank14" from F0 on comp "ram2e_ufm/SLICE_89" on site "R6C8A", clk load = 0, ce load = 10, sr load = 0
|
||||
|
||||
PRIMARY : 1 out of 8 (12%)
|
||||
SECONDARY: 1 out of 8 (12%)
|
||||
@ -140,16 +141,16 @@ Total placer CPU time: 8 secs
|
||||
|
||||
Dumping design to file RAM2E_LCMXO2_640HC_impl1.dir/5_1.ncd.
|
||||
|
||||
0 connections routed; 1330 unrouted.
|
||||
0 connections routed; 1292 unrouted.
|
||||
Starting router resource preassignment
|
||||
WARNING - par: The driver of primary clock net C14M_c is not placed on one of the sites dedicated for primary clocks. This primary clock will be routed to an H-spine through general routing resource and might suffer from excessive delay or skew.
|
||||
|
||||
WARNING - par: The following clock signals will be routed by using generic routing resource and may suffer from excessive delay and/or skew.
|
||||
Signal=PHI1_c loads=5 clock_loads=3
|
||||
|
||||
Completed router resource preassignment. Real time: 14 secs
|
||||
Completed router resource preassignment. Real time: 31 secs
|
||||
|
||||
Start NBR router at 20:50:13 06/07/24
|
||||
Start NBR router at 16:08:22 07/12/24
|
||||
|
||||
*****************************************************************
|
||||
Info: NBR allows conflicts(one node used by more than one signal)
|
||||
@ -164,32 +165,38 @@ Note: NBR uses a different method to calculate timing slacks. The
|
||||
your design.
|
||||
*****************************************************************
|
||||
|
||||
Start NBR special constraint process at 20:50:13 06/07/24
|
||||
Start NBR special constraint process at 16:08:22 07/12/24
|
||||
|
||||
Start NBR section for initial routing at 20:50:13 06/07/24
|
||||
Start NBR section for initial routing at 16:08:22 07/12/24
|
||||
Level 4, iteration 1
|
||||
17(0.04%) conflicts; 0(0.00%) untouched conn; 0 (nbr) score;
|
||||
Estimated worst slack/total negative slack<setup>: 56.334ns/0.000ns; real time: 15 secs
|
||||
15(0.03%) conflicts; 0(0.00%) untouched conn; 0 (nbr) score;
|
||||
Estimated worst slack/total negative slack<setup>: 56.455ns/0.000ns; real time: 42 secs
|
||||
|
||||
Info: Initial congestion level at 75% usage is 0
|
||||
Info: Initial congestion area at 75% usage is 0 (0.00%)
|
||||
|
||||
Start NBR section for normal routing at 20:50:14 06/07/24
|
||||
Start NBR section for normal routing at 16:08:33 07/12/24
|
||||
Level 4, iteration 1
|
||||
7(0.02%) conflicts; 0(0.00%) untouched conn; 0 (nbr) score;
|
||||
Estimated worst slack/total negative slack<setup>: 56.334ns/0.000ns; real time: 15 secs
|
||||
6(0.01%) conflicts; 0(0.00%) untouched conn; 0 (nbr) score;
|
||||
Estimated worst slack/total negative slack<setup>: 56.455ns/0.000ns; real time: 42 secs
|
||||
Level 4, iteration 2
|
||||
1(0.00%) conflict; 0(0.00%) untouched conn; 0 (nbr) score;
|
||||
Estimated worst slack/total negative slack<setup>: 55.130ns/0.000ns; real time: 42 secs
|
||||
Level 4, iteration 3
|
||||
1(0.00%) conflict; 0(0.00%) untouched conn; 0 (nbr) score;
|
||||
Estimated worst slack/total negative slack<setup>: 55.130ns/0.000ns; real time: 42 secs
|
||||
Level 4, iteration 4
|
||||
0(0.00%) conflict; 0(0.00%) untouched conn; 0 (nbr) score;
|
||||
Estimated worst slack/total negative slack<setup>: 56.334ns/0.000ns; real time: 15 secs
|
||||
Estimated worst slack/total negative slack<setup>: 55.130ns/0.000ns; real time: 42 secs
|
||||
|
||||
Start NBR section for setup/hold timing optimization with effort level 3 at 20:50:14 06/07/24
|
||||
Start NBR section for setup/hold timing optimization with effort level 3 at 16:08:33 07/12/24
|
||||
|
||||
Start NBR section for re-routing at 20:50:14 06/07/24
|
||||
Start NBR section for re-routing at 16:08:34 07/12/24
|
||||
Level 4, iteration 1
|
||||
0(0.00%) conflict; 0(0.00%) untouched conn; 0 (nbr) score;
|
||||
Estimated worst slack/total negative slack<setup>: 56.334ns/0.000ns; real time: 15 secs
|
||||
Estimated worst slack/total negative slack<setup>: 55.130ns/0.000ns; real time: 43 secs
|
||||
|
||||
Start NBR section for post-routing at 20:50:14 06/07/24
|
||||
Start NBR section for post-routing at 16:08:34 07/12/24
|
||||
|
||||
End NBR router with 0 unrouted connection
|
||||
|
||||
@ -197,7 +204,7 @@ NBR Summary
|
||||
-----------
|
||||
Number of unrouted connections : 0 (0.00%)
|
||||
Number of connections with timing violations : 0 (0.00%)
|
||||
Estimated worst slack<setup> : 56.334ns
|
||||
Estimated worst slack<setup> : 55.130ns
|
||||
Timing score<setup> : 0
|
||||
-----------
|
||||
Notes: The timing info is calculated for SETUP only and all PAR_ADJs are ignored.
|
||||
@ -208,9 +215,9 @@ WARNING - par: The following clock signals will be routed by using generic routi
|
||||
Signal=PHI1_c loads=5 clock_loads=3
|
||||
|
||||
Total CPU time 13 secs
|
||||
Total REAL time: 16 secs
|
||||
Total REAL time: 44 secs
|
||||
Completely routed.
|
||||
End of route. 1330 routed (100.00%); 0 unrouted.
|
||||
End of route. 1292 routed (100.00%); 0 unrouted.
|
||||
|
||||
Hold time timing score: 0, hold timing errors: 0
|
||||
|
||||
@ -224,14 +231,14 @@ All signals are completely routed.
|
||||
|
||||
PAR_SUMMARY::Run status = Completed
|
||||
PAR_SUMMARY::Number of unrouted conns = 0
|
||||
PAR_SUMMARY::Worst slack<setup/<ns>> = 56.334
|
||||
PAR_SUMMARY::Worst slack<setup/<ns>> = 55.130
|
||||
PAR_SUMMARY::Timing score<setup/<ns>> = 0.000
|
||||
PAR_SUMMARY::Worst slack<hold /<ns>> = 0.379
|
||||
PAR_SUMMARY::Timing score<hold /<ns>> = 0.000
|
||||
PAR_SUMMARY::Number of errors = 0
|
||||
|
||||
Total CPU time to completion: 13 secs
|
||||
Total REAL time to completion: 16 secs
|
||||
Total CPU time to completion: 14 secs
|
||||
Total REAL time to completion: 44 secs
|
||||
|
||||
par done!
|
||||
|
||||
|
@ -13,7 +13,7 @@ Hostname: ZANEMACWIN11
|
||||
|
||||
Implementation : impl1
|
||||
|
||||
# Written on Fri Jun 7 20:49:53 2024
|
||||
# Written on Fri Jul 12 16:07:14 2024
|
||||
|
||||
##### FILES SYNTAX CHECKED ##############################################
|
||||
Constraint File(s): "\\Mac\iCloud\Repos\RAM2E\CPLD\RAM2E.sdc"
|
||||
@ -33,7 +33,7 @@ Clock Summary
|
||||
Start Requested Requested Clock Clock Clock
|
||||
Level Clock Frequency Period Type Group Load
|
||||
-----------------------------------------------------------------------------------------------
|
||||
0 - C14M 14.3 MHz 69.841 declared default_clkgroup 121
|
||||
0 - C14M 14.3 MHz 69.841 declared default_clkgroup 120
|
||||
|
||||
0 - System 100.0 MHz 10.000 system system_clkgroup 0
|
||||
|
||||
@ -47,7 +47,7 @@ Clock Load Summary
|
||||
Clock Source Clock Pin Non-clock Pin Non-clock Pin
|
||||
Clock Load Pin Seq Example Seq Example Comb Example
|
||||
--------------------------------------------------------------------------------------------
|
||||
C14M 121 C14M(port) RAT.C - un1_C14M.I[0](inv)
|
||||
C14M 120 C14M(port) RAT.C - un1_C14M.I[0](inv)
|
||||
|
||||
System 0 - - - -
|
||||
|
||||
|
@ -62,7 +62,7 @@
|
||||
</TR>
|
||||
<TR>
|
||||
<TD align='left' BGCOLOR='#DEE8F4' COLSPAN='1'><SPAN style="COLOR: #000000">Updated:</SPAN></TD>
|
||||
<TD align='left' BGCOLOR='#FFFFFF' COLSPAN='3'><SPAN style="COLOR: #000000">2024/06/07 20:50:21</SPAN></TD>
|
||||
<TD align='left' BGCOLOR='#FFFFFF' COLSPAN='3'><SPAN style="COLOR: #000000">2024/07/12 16:08:40</SPAN></TD>
|
||||
</TR>
|
||||
<TR>
|
||||
<TD align='left' BGCOLOR='#DEE8F4' COLSPAN='1'><SPAN style="COLOR: #000000">Implementation Location:</SPAN></TD>
|
||||
|
@ -12,7 +12,7 @@
|
||||
#OS: Windows 8 6.2
|
||||
#Hostname: ZANEMACWIN11
|
||||
|
||||
# Fri Jun 7 20:49:50 2024
|
||||
# Fri Jul 12 16:07:05 2024
|
||||
|
||||
#Implementation: impl1
|
||||
|
||||
@ -61,6 +61,7 @@ Synopsys Verilog Compiler, Version comp2018q2p1, Build 461R, Built Apr 1 2019 0
|
||||
@I::"\\Mac\iCloud\Repos\RAM2E\CPLD\LCMXO2-640HC-NODHGR\REFB.v" (library work)
|
||||
@I::"\\Mac\iCloud\Repos\RAM2E\CPLD\DHGR-OFF.v" (library work)
|
||||
Verilog syntax check successful!
|
||||
File \\Mac\iCloud\Repos\RAM2E\CPLD\RAM2E.v changed - recompiling
|
||||
Selecting top level module RAM2E
|
||||
@N: CG364 :"C:\lscc\diamond\3.11_x64\synpbase\lib\lucent\machxo2.v":1120:7:1120:9|Synthesizing module VHI in library work.
|
||||
Running optimization stage 1 on VHI .......
|
||||
@ -86,12 +87,12 @@ Running optimization stage 2 on EFB .......
|
||||
Running optimization stage 2 on VLO .......
|
||||
Running optimization stage 2 on VHI .......
|
||||
|
||||
At c_ver Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 73MB peak: 74MB)
|
||||
At c_ver Exit (Real Time elapsed 0h:00m:05s; CPU Time elapsed 0h:00m:00s; Memory used current: 73MB peak: 74MB)
|
||||
|
||||
Process took 0h:00m:01s realtime, 0h:00m:01s cputime
|
||||
Process took 0h:00m:05s realtime, 0h:00m:01s cputime
|
||||
|
||||
Process completed successfully.
|
||||
# Fri Jun 7 20:49:51 2024
|
||||
# Fri Jul 12 16:07:11 2024
|
||||
|
||||
###########################################################]
|
||||
|
||||
@ -111,13 +112,14 @@ Implementation : impl1
|
||||
Synopsys Synopsys Netlist Linker, Version comp2018q2p1, Build 461R, Built Apr 1 2019 09:17:43
|
||||
|
||||
@N|Running in 64-bit mode
|
||||
File \\Mac\iCloud\Repos\RAM2E\CPLD\LCMXO2-640HC-NODHGR\impl1\synwork\layer0.srs changed - recompiling
|
||||
|
||||
At syn_nfilter Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 67MB peak: 68MB)
|
||||
|
||||
Process took 0h:00m:01s realtime, 0h:00m:01s cputime
|
||||
|
||||
Process completed successfully.
|
||||
# Fri Jun 7 20:49:51 2024
|
||||
# Fri Jul 12 16:07:12 2024
|
||||
|
||||
###########################################################]
|
||||
|
||||
@ -127,12 +129,12 @@ For a summary of runtime and memory usage for all design units, please see file:
|
||||
|
||||
@END
|
||||
|
||||
At c_hdl Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 3MB peak: 4MB)
|
||||
At c_hdl Exit (Real Time elapsed 0h:00m:06s; CPU Time elapsed 0h:00m:00s; Memory used current: 3MB peak: 4MB)
|
||||
|
||||
Process took 0h:00m:01s realtime, 0h:00m:01s cputime
|
||||
Process took 0h:00m:06s realtime, 0h:00m:01s cputime
|
||||
|
||||
Process completed successfully.
|
||||
# Fri Jun 7 20:49:51 2024
|
||||
# Fri Jul 12 16:07:12 2024
|
||||
|
||||
###########################################################]
|
||||
|
||||
@ -152,18 +154,17 @@ Database state : \\Mac\iCloud\Repos\RAM2E\CPLD\LCMXO2-640HC-NODHGR\impl1\synwork
|
||||
Synopsys Synopsys Netlist Linker, Version comp2018q2p1, Build 461R, Built Apr 1 2019 09:17:43
|
||||
|
||||
@N|Running in 64-bit mode
|
||||
File \\Mac\iCloud\Repos\RAM2E\CPLD\LCMXO2-640HC-NODHGR\impl1\synwork\RAM2E_LCMXO2_640HC_impl1_comp.srs changed - recompiling
|
||||
|
||||
At syn_nfilter Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 67MB peak: 68MB)
|
||||
|
||||
Process took 0h:00m:01s realtime, 0h:00m:01s cputime
|
||||
|
||||
Process completed successfully.
|
||||
# Fri Jun 7 20:49:52 2024
|
||||
# Fri Jul 12 16:07:14 2024
|
||||
|
||||
###########################################################]
|
||||
Premap Report
|
||||
|
||||
# Fri Jun 7 20:49:53 2024
|
||||
# Fri Jul 12 16:07:14 2024
|
||||
|
||||
|
||||
Copyright (C) 1994-2018 Synopsys, Inc.
|
||||
@ -208,7 +209,7 @@ Finished loading timing files (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h
|
||||
@A: FX681 :"\\mac\icloud\repos\ram2e\cpld\ram2e.v":21:4:21:9|Initial value on register S[3:0] is non-zero which can prevent the register from being packed into a block RAM or DSP.
|
||||
@N: MH105 |UMR3 is only supported for HAPS-80.
|
||||
@N: MH105 |UMR3 is only supported for HAPS-80.
|
||||
@N: BN115 :"\\mac\icloud\repos\ram2e\cpld\ram2e.v":140:9:140:12|Removing instance dhgr (in view: work.RAM2E(verilog)) of type view:work.DHGR(verilog) because it does not drive other instances.
|
||||
@N: BN115 :"\\mac\icloud\repos\ram2e\cpld\ram2e.v":136:9:136:12|Removing instance dhgr (in view: work.RAM2E(verilog)) of type view:work.DHGR(verilog) because it does not drive other instances.
|
||||
syn_allowed_resources : blockrams=2 set on top level netlist RAM2E
|
||||
|
||||
Finished netlist restructuring (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 141MB peak: 143MB)
|
||||
@ -221,7 +222,7 @@ Clock Summary
|
||||
Start Requested Requested Clock Clock Clock
|
||||
Level Clock Frequency Period Type Group Load
|
||||
-----------------------------------------------------------------------------------------------
|
||||
0 - C14M 14.3 MHz 69.841 declared default_clkgroup 121
|
||||
0 - C14M 14.3 MHz 69.841 declared default_clkgroup 120
|
||||
|
||||
0 - System 100.0 MHz 10.000 system system_clkgroup 0
|
||||
|
||||
@ -236,7 +237,7 @@ Clock Load Summary
|
||||
Clock Source Clock Pin Non-clock Pin Non-clock Pin
|
||||
Clock Load Pin Seq Example Seq Example Comb Example
|
||||
--------------------------------------------------------------------------------------------
|
||||
C14M 121 C14M(port) RAT.C - un1_C14M.I[0](inv)
|
||||
C14M 120 C14M(port) RAT.C - un1_C14M.I[0](inv)
|
||||
|
||||
System 0 - - - -
|
||||
|
||||
@ -256,14 +257,14 @@ Number of ICG latches not removed: 0
|
||||
|
||||
#### START OF PREMAP CLOCK OPTIMIZATION REPORT #####[
|
||||
|
||||
2 non-gated/non-generated clock tree(s) driving 125 clock pin(s) of sequential element(s)
|
||||
2 non-gated/non-generated clock tree(s) driving 124 clock pin(s) of sequential element(s)
|
||||
0 gated/generated clock tree(s) driving 0 clock pin(s) of sequential element(s)
|
||||
0 instances converted, 0 sequential instances remain driven by gated/generated clocks
|
||||
|
||||
=========================== Non-Gated/Non-Generated Clocks ============================
|
||||
Clock Tree ID Driving Element Drive Element Type Fanout Sample Instance
|
||||
---------------------------------------------------------------------------------------
|
||||
@KP:ckid0_0 C14M port 121 PHI1r
|
||||
@KP:ckid0_0 C14M port 120 PHI1r
|
||||
@KP:ckid0_1 PHI1 Unconstrained_port 4 RC[2:0]
|
||||
=======================================================================================
|
||||
|
||||
@ -273,27 +274,25 @@ Clock Tree ID Driving Element Drive Element Type Fanout Sample I
|
||||
@N: FX1143 |Skipping assigning INTERNAL_VREF to iobanks, because the table of mapping from pin to iobank is not initialized.
|
||||
Finished Pre Mapping Phase.
|
||||
|
||||
Starting constraint checker (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 141MB peak: 143MB)
|
||||
Starting constraint checker (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:00s; Memory used current: 141MB peak: 143MB)
|
||||
|
||||
|
||||
Finished constraint checker preprocessing (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 142MB peak: 143MB)
|
||||
Finished constraint checker preprocessing (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:00s; Memory used current: 142MB peak: 143MB)
|
||||
|
||||
None
|
||||
None
|
||||
|
||||
Finished constraint checker (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 142MB peak: 143MB)
|
||||
Finished constraint checker (Real Time elapsed 0h:00m:02s; CPU Time elapsed 0h:00m:00s; Memory used current: 142MB peak: 143MB)
|
||||
|
||||
Pre-mapping successful!
|
||||
|
||||
At Mapper Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 57MB peak: 143MB)
|
||||
At Mapper Exit (Real Time elapsed 0h:00m:02s; CPU Time elapsed 0h:00m:00s; Memory used current: 57MB peak: 143MB)
|
||||
|
||||
Process took 0h:00m:01s realtime, 0h:00m:01s cputime
|
||||
# Fri Jun 7 20:49:53 2024
|
||||
Process took 0h:00m:02s realtime, 0h:00m:01s cputime
|
||||
# Fri Jul 12 16:07:18 2024
|
||||
|
||||
###########################################################]
|
||||
Map & Optimize Report
|
||||
|
||||
# Fri Jun 7 20:49:53 2024
|
||||
# Fri Jul 12 16:07:21 2024
|
||||
|
||||
|
||||
Copyright (C) 1994-2018 Synopsys, Inc.
|
||||
@ -328,12 +327,12 @@ Mapper Initialization Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0
|
||||
Start loading timing files (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 111MB peak: 112MB)
|
||||
|
||||
|
||||
Finished loading timing files (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 111MB peak: 114MB)
|
||||
Finished loading timing files (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:00s; Memory used current: 111MB peak: 114MB)
|
||||
|
||||
@N: MF284 |Setting synthesis effort to medium for the design
|
||||
|
||||
|
||||
Starting Optimization and Mapping (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 140MB peak: 142MB)
|
||||
Starting Optimization and Mapping (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:00s; Memory used current: 140MB peak: 142MB)
|
||||
|
||||
|
||||
Available hyper_sources - for debug and ip models
|
||||
@ -342,61 +341,61 @@ Available hyper_sources - for debug and ip models
|
||||
@N: FX493 |Applying initial value "00000000" on instance RWBank[7:0].
|
||||
@N: FX493 |Applying initial value "0000" on instance S[3:0].
|
||||
|
||||
Finished RTL optimizations (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 141MB peak: 142MB)
|
||||
Finished RTL optimizations (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:00s; Memory used current: 141MB peak: 142MB)
|
||||
|
||||
@N: MO231 :"\\mac\icloud\repos\ram2e\cpld\ram2e.v":14:23:14:28|Found counter in view:work.RAM2E(verilog) instance FS[15:0]
|
||||
|
||||
Starting factoring (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 142MB peak: 142MB)
|
||||
Starting factoring (Real Time elapsed 0h:00m:02s; CPU Time elapsed 0h:00m:00s; Memory used current: 142MB peak: 142MB)
|
||||
|
||||
|
||||
Finished factoring (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 145MB peak: 145MB)
|
||||
Finished factoring (Real Time elapsed 0h:00m:03s; CPU Time elapsed 0h:00m:00s; Memory used current: 145MB peak: 145MB)
|
||||
|
||||
|
||||
Finished generic timing optimizations - Pass 1 (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 146MB peak: 146MB)
|
||||
Finished generic timing optimizations - Pass 1 (Real Time elapsed 0h:00m:03s; CPU Time elapsed 0h:00m:00s; Memory used current: 146MB peak: 146MB)
|
||||
|
||||
|
||||
Starting Early Timing Optimization (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 146MB peak: 146MB)
|
||||
Starting Early Timing Optimization (Real Time elapsed 0h:00m:04s; CPU Time elapsed 0h:00m:01s; Memory used current: 146MB peak: 146MB)
|
||||
|
||||
|
||||
Finished Early Timing Optimization (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:00s; Memory used current: 146MB peak: 146MB)
|
||||
Finished Early Timing Optimization (Real Time elapsed 0h:00m:04s; CPU Time elapsed 0h:00m:01s; Memory used current: 146MB peak: 146MB)
|
||||
|
||||
|
||||
Finished generic timing optimizations - Pass 2 (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 146MB peak: 146MB)
|
||||
Finished generic timing optimizations - Pass 2 (Real Time elapsed 0h:00m:04s; CPU Time elapsed 0h:00m:01s; Memory used current: 146MB peak: 146MB)
|
||||
|
||||
|
||||
Finished preparing to map (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 146MB peak: 146MB)
|
||||
Finished preparing to map (Real Time elapsed 0h:00m:04s; CPU Time elapsed 0h:00m:01s; Memory used current: 146MB peak: 147MB)
|
||||
|
||||
|
||||
Finished technology mapping (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 157MB peak: 160MB)
|
||||
Finished technology mapping (Real Time elapsed 0h:00m:05s; CPU Time elapsed 0h:00m:01s; Memory used current: 157MB peak: 160MB)
|
||||
|
||||
Pass CPU time Worst Slack Luts / Registers
|
||||
------------------------------------------------------------
|
||||
1 0h:00m:01s 6.90ns 281 / 125
|
||||
1 0h:00m:01s 6.90ns 280 / 124
|
||||
|
||||
Finished technology timing optimizations and critical path resynthesis (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 157MB peak: 160MB)
|
||||
Finished technology timing optimizations and critical path resynthesis (Real Time elapsed 0h:00m:05s; CPU Time elapsed 0h:00m:01s; Memory used current: 157MB peak: 160MB)
|
||||
|
||||
@N: FX164 |The option to pack registers in the IOB has not been specified. Please set syn_useioff attribute.
|
||||
@A: BN291 :"\\mac\icloud\repos\ram2e\cpld\ram2e.v":171:4:171:9|Boundary register BA_1_.fb (in view: work.RAM2E(verilog)) is packed into a complex cell. To disable register packing, set syn_keep=1 on the net between the register and the complex cell.
|
||||
@A: BN291 :"\\mac\icloud\repos\ram2e\cpld\ram2e.v":171:4:171:9|Boundary register BA_0_.fb (in view: work.RAM2E(verilog)) is packed into a complex cell. To disable register packing, set syn_keep=1 on the net between the register and the complex cell.
|
||||
@A: BN291 :"\\mac\icloud\repos\ram2e\cpld\ram2e.v":168:4:168:9|Boundary register BA_1_.fb (in view: work.RAM2E(verilog)) is packed into a complex cell. To disable register packing, set syn_keep=1 on the net between the register and the complex cell.
|
||||
@A: BN291 :"\\mac\icloud\repos\ram2e\cpld\ram2e.v":168:4:168:9|Boundary register BA_0_.fb (in view: work.RAM2E(verilog)) is packed into a complex cell. To disable register packing, set syn_keep=1 on the net between the register and the complex cell.
|
||||
|
||||
Finished restoring hierarchy (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 158MB peak: 160MB)
|
||||
Finished restoring hierarchy (Real Time elapsed 0h:00m:06s; CPU Time elapsed 0h:00m:01s; Memory used current: 158MB peak: 160MB)
|
||||
|
||||
|
||||
Start Writing Netlists (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 122MB peak: 160MB)
|
||||
Start Writing Netlists (Real Time elapsed 0h:00m:06s; CPU Time elapsed 0h:00m:01s; Memory used current: 122MB peak: 160MB)
|
||||
|
||||
Writing Analyst data base \\Mac\iCloud\Repos\RAM2E\CPLD\LCMXO2-640HC-NODHGR\impl1\synwork\RAM2E_LCMXO2_640HC_impl1_m.srm
|
||||
|
||||
Finished Writing Netlist Databases (Real Time elapsed 0h:00m:02s; CPU Time elapsed 0h:00m:01s; Memory used current: 158MB peak: 160MB)
|
||||
Finished Writing Netlist Databases (Real Time elapsed 0h:00m:08s; CPU Time elapsed 0h:00m:02s; Memory used current: 157MB peak: 160MB)
|
||||
|
||||
Writing EDIF Netlist and constraint files
|
||||
@N: FX1056 |Writing EDF file: \\Mac\iCloud\Repos\RAM2E\CPLD\LCMXO2-640HC-NODHGR\impl1\RAM2E_LCMXO2_640HC_impl1.edi
|
||||
N-2018.03L-SP1-1
|
||||
@N: BW106 |Synplicity Constraint File capacitance units using default value of 1pF
|
||||
|
||||
Finished Writing EDIF Netlist and constraint files (Real Time elapsed 0h:00m:02s; CPU Time elapsed 0h:00m:02s; Memory used current: 162MB peak: 164MB)
|
||||
Finished Writing EDIF Netlist and constraint files (Real Time elapsed 0h:00m:10s; CPU Time elapsed 0h:00m:02s; Memory used current: 161MB peak: 163MB)
|
||||
|
||||
|
||||
Start final timing analysis (Real Time elapsed 0h:00m:03s; CPU Time elapsed 0h:00m:02s; Memory used current: 161MB peak: 164MB)
|
||||
Start final timing analysis (Real Time elapsed 0h:00m:11s; CPU Time elapsed 0h:00m:03s; Memory used current: 160MB peak: 163MB)
|
||||
|
||||
@W: MT246 :"\\mac\icloud\repos\ram2e\cpld\lcmxo2-640hc-nodhgr\refb.v":78:8:78:16|Blackbox EFB is missing a user supplied timing model. This may have a negative effect on timing analysis and optimizations (Quality of Results)
|
||||
@N: MT615 |Found clock C14M with period 69.84ns
|
||||
@ -404,7 +403,7 @@ Start final timing analysis (Real Time elapsed 0h:00m:03s; CPU Time elapsed 0h:0
|
||||
|
||||
|
||||
##### START OF TIMING REPORT #####[
|
||||
# Timing Report written on Fri Jun 7 20:49:57 2024
|
||||
# Timing Report written on Fri Jul 12 16:07:33 2024
|
||||
#
|
||||
|
||||
|
||||
@ -429,9 +428,9 @@ Worst slack in design: 6.897
|
||||
Requested Estimated Requested Estimated Clock Clock
|
||||
Starting Clock Frequency Frequency Period Period Slack Type Group
|
||||
----------------------------------------------------------------------------------------------------------------------
|
||||
C14M 14.3 MHz 112.1 MHz 69.841 8.918 31.599 declared default_clkgroup
|
||||
C14M 14.3 MHz 120.3 MHz 69.841 8.314 31.675 declared default_clkgroup
|
||||
RAM2E|PHI1 100.0 MHz 322.2 MHz 10.000 3.103 6.897 inferred Inferred_clkgroup_0
|
||||
System 100.0 MHz NA 10.000 NA 67.088 system system_clkgroup
|
||||
System 100.0 MHz NA 10.000 NA 66.719 system system_clkgroup
|
||||
======================================================================================================================
|
||||
Estimated period and frequency reported as NA means no slack depends directly on the clock waveform
|
||||
|
||||
@ -446,9 +445,9 @@ Clocks | rise to rise | fall to fall | rise
|
||||
----------------------------------------------------------------------------------------------------------------
|
||||
Starting Ending | constraint slack | constraint slack | constraint slack | constraint slack
|
||||
----------------------------------------------------------------------------------------------------------------
|
||||
System C14M | 69.841 67.088 | No paths - | No paths - | No paths -
|
||||
System C14M | 69.841 66.719 | No paths - | No paths - | No paths -
|
||||
C14M System | 69.841 68.797 | No paths - | No paths - | No paths -
|
||||
C14M C14M | 69.841 60.923 | No paths - | 34.920 31.599 | No paths -
|
||||
C14M C14M | 69.841 61.527 | No paths - | 34.920 31.675 | No paths -
|
||||
RAM2E|PHI1 C14M | No paths - | No paths - | No paths - | Diff grp -
|
||||
RAM2E|PHI1 RAM2E|PHI1 | No paths - | 10.000 6.897 | No paths - | No paths -
|
||||
================================================================================================================
|
||||
@ -477,10 +476,10 @@ Starting Points with Worst Slack
|
||||
Instance Reference Type Pin Net Time Slack
|
||||
Clock
|
||||
---------------------------------------------------------------------------
|
||||
S[2] C14M FD1S3AX Q S[2] 1.366 31.599
|
||||
S[3] C14M FD1S3AX Q S[3] 1.345 31.619
|
||||
S[1] C14M FD1S3AX Q S[1] 1.344 31.800
|
||||
S[0] C14M FD1S3AX Q S[0] 1.305 31.838
|
||||
S[2] C14M FD1S3AX Q S[2] 1.353 31.675
|
||||
S[3] C14M FD1S3AX Q S[3] 1.337 31.691
|
||||
S[0] C14M FD1S3AX Q S[0] 1.319 32.182
|
||||
S[1] C14M FD1S3AX Q S[1] 1.344 32.656
|
||||
RA[0] C14M FD1P3AX Q RA[0] 1.108 33.707
|
||||
RA[3] C14M FD1P3AX Q RA[3] 1.108 33.707
|
||||
RA[1] C14M FD1P3AX Q RA[1] 1.044 33.771
|
||||
@ -493,21 +492,21 @@ RA[5] C14M FD1P3AX Q RA[5] 1.044 33.771
|
||||
Ending Points with Worst Slack
|
||||
******************************
|
||||
|
||||
Starting Required
|
||||
Instance Reference Type Pin Net Time Slack
|
||||
Clock
|
||||
-----------------------------------------------------------------------------------
|
||||
VOE_i_0io C14M OFS1P3IX CD N_530 34.118 31.599
|
||||
VOE_i_0io C14M OFS1P3IX D VOE_ic_i 35.009 31.800
|
||||
Vout_0io[0] C14M OFS1P3DX SP Vout3 34.449 32.634
|
||||
Vout_0io[1] C14M OFS1P3DX SP Vout3 34.449 32.634
|
||||
Vout_0io[2] C14M OFS1P3DX SP Vout3 34.449 32.634
|
||||
Vout_0io[3] C14M OFS1P3DX SP Vout3 34.449 32.634
|
||||
Vout_0io[4] C14M OFS1P3DX SP Vout3 34.449 32.634
|
||||
Vout_0io[5] C14M OFS1P3DX SP Vout3 34.449 32.634
|
||||
Vout_0io[6] C14M OFS1P3DX SP Vout3 34.449 32.634
|
||||
Vout_0io[7] C14M OFS1P3DX SP Vout3 34.449 32.634
|
||||
===================================================================================
|
||||
Starting Required
|
||||
Instance Reference Type Pin Net Time Slack
|
||||
Clock
|
||||
----------------------------------------------------------------------------------
|
||||
VOE_i_0io C14M OFS1P3IX CD N_523_1 34.118 31.675
|
||||
RAT C14M FD1S3IX CD RATc_i 34.118 32.147
|
||||
Vout_0io[0] C14M OFS1P3DX SP Vout3 34.449 32.647
|
||||
Vout_0io[1] C14M OFS1P3DX SP Vout3 34.449 32.647
|
||||
Vout_0io[2] C14M OFS1P3DX SP Vout3 34.449 32.647
|
||||
Vout_0io[3] C14M OFS1P3DX SP Vout3 34.449 32.647
|
||||
Vout_0io[4] C14M OFS1P3DX SP Vout3 34.449 32.647
|
||||
Vout_0io[5] C14M OFS1P3DX SP Vout3 34.449 32.647
|
||||
Vout_0io[6] C14M OFS1P3DX SP Vout3 34.449 32.647
|
||||
Vout_0io[7] C14M OFS1P3DX SP Vout3 34.449 32.647
|
||||
==================================================================================
|
||||
|
||||
|
||||
|
||||
@ -521,9 +520,9 @@ Path information for path number 1:
|
||||
+ Clock delay at ending point: 0.000 (ideal)
|
||||
= Required time: 34.118
|
||||
|
||||
- Propagation time: 2.519
|
||||
- Propagation time: 2.442
|
||||
- Clock delay at starting point: 0.000 (ideal)
|
||||
= Slack (non-critical) : 31.599
|
||||
= Slack (non-critical) : 31.675
|
||||
|
||||
Number of logic level(s): 1
|
||||
Starting point: S[2] / Q
|
||||
@ -531,16 +530,16 @@ Path information for path number 1:
|
||||
The start point is clocked by C14M [rising] on pin CK
|
||||
The end point is clocked by C14M [falling] on pin SCLK
|
||||
|
||||
Instance / Net Pin Pin Arrival No. of
|
||||
Name Type Name Dir Delay Time Fan Out(s)
|
||||
------------------------------------------------------------------------------------------------------------
|
||||
S[2] FD1S3AX Q Out 1.366 1.366 -
|
||||
S[2] Net - - - - 58
|
||||
ram2e_ufm.un1_wb_adr_0_sqmuxa_2_0_a2_0[0] ORCALUT4 A In 0.000 1.366 -
|
||||
ram2e_ufm.un1_wb_adr_0_sqmuxa_2_0_a2_0[0] ORCALUT4 Z Out 1.153 2.519 -
|
||||
N_530 Net - - - - 3
|
||||
VOE_i_0io OFS1P3IX CD In 0.000 2.519 -
|
||||
============================================================================================================
|
||||
Instance / Net Pin Pin Arrival No. of
|
||||
Name Type Name Dir Delay Time Fan Out(s)
|
||||
---------------------------------------------------------------------------------------
|
||||
S[2] FD1S3AX Q Out 1.353 1.353 -
|
||||
S[2] Net - - - - 50
|
||||
ram2e_ufm.VOE_2_0_a2 ORCALUT4 A In 0.000 1.353 -
|
||||
ram2e_ufm.VOE_2_0_a2 ORCALUT4 Z Out 1.089 2.442 -
|
||||
N_523_1 Net - - - - 2
|
||||
VOE_i_0io OFS1P3IX CD In 0.000 2.442 -
|
||||
=======================================================================================
|
||||
|
||||
|
||||
|
||||
@ -629,7 +628,7 @@ Starting Points with Worst Slack
|
||||
Instance Reference Type Pin Net Time Slack
|
||||
Clock
|
||||
---------------------------------------------------------------------------------------------------
|
||||
ram2e_ufm.ufmefb.EFBInst_0 System EFB WBACKO wb_ack 0.000 67.088
|
||||
ram2e_ufm.ufmefb.EFBInst_0 System EFB WBACKO wb_ack 0.000 66.719
|
||||
ram2e_ufm.ufmefb.EFBInst_0 System EFB WBDATO0 wb_dato[0] 0.000 69.313
|
||||
ram2e_ufm.ufmefb.EFBInst_0 System EFB WBDATO1 wb_dato[1] 0.000 69.313
|
||||
ram2e_ufm.ufmefb.EFBInst_0 System EFB WBDATO2 wb_dato[2] 0.000 69.313
|
||||
@ -644,21 +643,21 @@ ram2e_ufm.ufmefb.EFBInst_0 System EFB WBDATO7 wb_dato[7]
|
||||
Ending Points with Worst Slack
|
||||
******************************
|
||||
|
||||
Starting Required
|
||||
Instance Reference Type Pin Net Time Slack
|
||||
Clock
|
||||
----------------------------------------------------------------------------------------
|
||||
ram2e_ufm.RWMask[0] System FD1P3AX SP N_104 69.369 67.088
|
||||
ram2e_ufm.RWMask[1] System FD1P3AX SP N_104 69.369 67.088
|
||||
ram2e_ufm.RWMask[2] System FD1P3AX SP N_104 69.369 67.088
|
||||
ram2e_ufm.RWMask[3] System FD1P3AX SP N_104 69.369 67.088
|
||||
ram2e_ufm.RWMask[4] System FD1P3AX SP N_104 69.369 67.088
|
||||
ram2e_ufm.RWMask[5] System FD1P3AX SP N_104 69.369 67.088
|
||||
ram2e_ufm.RWMask[6] System FD1P3AX SP N_104 69.369 67.088
|
||||
ram2e_ufm.RWMask[7] System FD1P3AX SP N_104 69.369 67.088
|
||||
ram2e_ufm.LEDEN System FD1P3AX SP N_98 69.369 67.736
|
||||
ram2e_ufm.wb_cyc_stb System FD1P3AX SP N_111 69.369 67.736
|
||||
========================================================================================
|
||||
Starting Required
|
||||
Instance Reference Type Pin Net Time Slack
|
||||
Clock
|
||||
---------------------------------------------------------------------------------------------------------------
|
||||
ram2e_ufm.wb_cyc_stb System FD1P3AX SP un1_CmdBitbangMXO212_1_i[0] 69.369 66.719
|
||||
ram2e_ufm.LEDEN System FD1P3AX SP un1_LEDEN_0_sqmuxa_1_i_0[0] 69.369 67.736
|
||||
ram2e_ufm.RWMask[0] System FD1P3AX SP un1_RWMask_0_sqmuxa_1_i_0[0] 69.369 68.105
|
||||
ram2e_ufm.RWMask[1] System FD1P3AX SP un1_RWMask_0_sqmuxa_1_i_0[0] 69.369 68.105
|
||||
ram2e_ufm.RWMask[2] System FD1P3AX SP un1_RWMask_0_sqmuxa_1_i_0[0] 69.369 68.105
|
||||
ram2e_ufm.RWMask[3] System FD1P3AX SP un1_RWMask_0_sqmuxa_1_i_0[0] 69.369 68.105
|
||||
ram2e_ufm.RWMask[4] System FD1P3AX SP un1_RWMask_0_sqmuxa_1_i_0[0] 69.369 68.105
|
||||
ram2e_ufm.RWMask[5] System FD1P3AX SP un1_RWMask_0_sqmuxa_1_i_0[0] 69.369 68.105
|
||||
ram2e_ufm.RWMask[6] System FD1P3AX SP un1_RWMask_0_sqmuxa_1_i_0[0] 69.369 68.105
|
||||
ram2e_ufm.RWMask[7] System FD1P3AX SP un1_RWMask_0_sqmuxa_1_i_0[0] 69.369 68.105
|
||||
===============================================================================================================
|
||||
|
||||
|
||||
|
||||
@ -672,30 +671,33 @@ Path information for path number 1:
|
||||
+ Clock delay at ending point: 0.000 (ideal)
|
||||
= Required time: 69.369
|
||||
|
||||
- Propagation time: 2.282
|
||||
- Propagation time: 2.650
|
||||
- Clock delay at starting point: 0.000 (ideal)
|
||||
- Estimated clock delay at start point: -0.000
|
||||
= Slack (non-critical) : 67.088
|
||||
= Slack (non-critical) : 66.719
|
||||
|
||||
Number of logic level(s): 2
|
||||
Number of logic level(s): 3
|
||||
Starting point: ram2e_ufm.ufmefb.EFBInst_0 / WBACKO
|
||||
Ending point: ram2e_ufm.RWMask[0] / SP
|
||||
Ending point: ram2e_ufm.wb_cyc_stb / SP
|
||||
The start point is clocked by System [rising]
|
||||
The end point is clocked by C14M [rising] on pin CK
|
||||
|
||||
Instance / Net Pin Pin Arrival No. of
|
||||
Name Type Name Dir Delay Time Fan Out(s)
|
||||
----------------------------------------------------------------------------------------------------------------
|
||||
ram2e_ufm.ufmefb.EFBInst_0 EFB WBACKO Out 0.000 0.000 -
|
||||
wb_ack Net - - - - 5
|
||||
ram2e_ufm.un1_RWMask_0_sqmuxa_1_i_a2_0_0[0] ORCALUT4 B In 0.000 0.000 -
|
||||
ram2e_ufm.un1_RWMask_0_sqmuxa_1_i_a2_0_0[0] ORCALUT4 Z Out 1.017 1.017 -
|
||||
un1_RWMask_0_sqmuxa_1_i_a2_0_0[0] Net - - - - 1
|
||||
ram2e_ufm.un1_RWMask_0_sqmuxa_1_i_0[0] ORCALUT4 D In 0.000 1.017 -
|
||||
ram2e_ufm.un1_RWMask_0_sqmuxa_1_i_0[0] ORCALUT4 Z Out 1.265 2.282 -
|
||||
N_104 Net - - - - 8
|
||||
ram2e_ufm.RWMask[0] FD1P3AX SP In 0.000 2.282 -
|
||||
================================================================================================================
|
||||
Instance / Net Pin Pin Arrival No. of
|
||||
Name Type Name Dir Delay Time Fan Out(s)
|
||||
---------------------------------------------------------------------------------------------------------------
|
||||
ram2e_ufm.ufmefb.EFBInst_0 EFB WBACKO Out 0.000 0.000 -
|
||||
wb_ack Net - - - - 4
|
||||
ram2e_ufm.un1_CmdBitbangMXO212_1_0_0_tz[0] ORCALUT4 B In 0.000 0.000 -
|
||||
ram2e_ufm.un1_CmdBitbangMXO212_1_0_0_tz[0] ORCALUT4 Z Out 1.017 1.017 -
|
||||
un1_CmdBitbangMXO212_1_0_0_tz[0] Net - - - - 1
|
||||
ram2e_ufm.un1_CmdBitbangMXO212_1_0_0_0[0] ORCALUT4 C In 0.000 1.017 -
|
||||
ram2e_ufm.un1_CmdBitbangMXO212_1_0_0_0[0] ORCALUT4 Z Out 1.017 2.034 -
|
||||
un1_CmdBitbangMXO212_1_0_0_0[0] Net - - - - 1
|
||||
ram2e_ufm.wb_cyc_stb_RNO_0 ORCALUT4 A In 0.000 2.034 -
|
||||
ram2e_ufm.wb_cyc_stb_RNO_0 ORCALUT4 Z Out 0.617 2.650 -
|
||||
un1_CmdBitbangMXO212_1_i[0] Net - - - - 1
|
||||
ram2e_ufm.wb_cyc_stb FD1P3AX SP In 0.000 2.650 -
|
||||
===============================================================================================================
|
||||
|
||||
|
||||
|
||||
@ -704,16 +706,16 @@ ram2e_ufm.RWMask[0] FD1P3AX SP In
|
||||
Timing exceptions that could not be applied
|
||||
None
|
||||
|
||||
Finished final timing analysis (Real Time elapsed 0h:00m:03s; CPU Time elapsed 0h:00m:02s; Memory used current: 161MB peak: 164MB)
|
||||
Finished final timing analysis (Real Time elapsed 0h:00m:13s; CPU Time elapsed 0h:00m:03s; Memory used current: 161MB peak: 163MB)
|
||||
|
||||
|
||||
Finished timing report (Real Time elapsed 0h:00m:03s; CPU Time elapsed 0h:00m:02s; Memory used current: 161MB peak: 164MB)
|
||||
Finished timing report (Real Time elapsed 0h:00m:13s; CPU Time elapsed 0h:00m:03s; Memory used current: 161MB peak: 163MB)
|
||||
|
||||
---------------------------------------
|
||||
Resource Usage Report
|
||||
Part: lcmxo2_640hc-4
|
||||
|
||||
Register bits: 125 of 640 (20%)
|
||||
Register bits: 124 of 640 (19%)
|
||||
PIC Latch: 0
|
||||
I/O cells: 70
|
||||
|
||||
@ -722,7 +724,7 @@ Details:
|
||||
BB: 8
|
||||
CCU2D: 9
|
||||
EFB: 1
|
||||
FD1P3AX: 58
|
||||
FD1P3AX: 57
|
||||
FD1P3IX: 1
|
||||
FD1S3AX: 31
|
||||
FD1S3AY: 4
|
||||
@ -735,16 +737,17 @@ OB: 41
|
||||
OFS1P3BX: 6
|
||||
OFS1P3DX: 12
|
||||
OFS1P3IX: 3
|
||||
ORCALUT4: 275
|
||||
ORCALUT4: 268
|
||||
PFUMX: 10
|
||||
PUR: 1
|
||||
VHI: 3
|
||||
VLO: 3
|
||||
Mapper successful!
|
||||
|
||||
At Mapper Exit (Real Time elapsed 0h:00m:03s; CPU Time elapsed 0h:00m:03s; Memory used current: 32MB peak: 164MB)
|
||||
At Mapper Exit (Real Time elapsed 0h:00m:13s; CPU Time elapsed 0h:00m:03s; Memory used current: 32MB peak: 163MB)
|
||||
|
||||
Process took 0h:00m:03s realtime, 0h:00m:03s cputime
|
||||
# Fri Jun 7 20:49:57 2024
|
||||
Process took 0h:00m:14s realtime, 0h:00m:03s cputime
|
||||
# Fri Jul 12 16:07:38 2024
|
||||
|
||||
###########################################################]
|
||||
|
||||
|
@ -2,17 +2,19 @@
|
||||
Starting: parse design source files
|
||||
(VERI-1482) Analyzing Verilog file C:/lscc/diamond/3.11_x64/ispfpga/userware/NT/SYNTHESIS_HEADERS/machxo2.v
|
||||
(VERI-1482) Analyzing Verilog file //Mac/iCloud/Repos/RAM2E/CPLD/RAM2E.v
|
||||
WARNING - //Mac/iCloud/Repos/RAM2E/CPLD/RAM2E.v(140,9-140,25) (VERI-1362) CmdRWMaskSet is already implicitly declared on line 131
|
||||
WARNING - //Mac/iCloud/Repos/RAM2E/CPLD/RAM2E.v(141,9-141,22) (VERI-1362) CmdLEDSet is already implicitly declared on line 131
|
||||
(VERI-1482) Analyzing Verilog file //Mac/iCloud/Repos/RAM2E/CPLD/UFM-LCMXO2.v
|
||||
(VERI-1482) Analyzing Verilog file //Mac/iCloud/Repos/RAM2E/CPLD/LCMXO2-640HC-NODHGR/REFB.v
|
||||
(VERI-1482) Analyzing Verilog file //Mac/iCloud/Repos/RAM2E/CPLD/DHGR-OFF.v
|
||||
INFO - //Mac/iCloud/Repos/RAM2E/CPLD/RAM2E.v(1,8-1,13) (VERI-1018) compiling module RAM2E
|
||||
INFO - //Mac/iCloud/Repos/RAM2E/CPLD/RAM2E.v(1,1-479,10) (VERI-9000) elaborating module 'RAM2E'
|
||||
INFO - //Mac/iCloud/Repos/RAM2E/CPLD/RAM2E.v(1,1-476,10) (VERI-9000) elaborating module 'RAM2E'
|
||||
INFO - //Mac/iCloud/Repos/RAM2E/CPLD/UFM-LCMXO2.v(1,1-335,10) (VERI-9000) elaborating module 'RAM2E_UFM_uniq_1'
|
||||
INFO - //Mac/iCloud/Repos/RAM2E/CPLD/DHGR-OFF.v(1,1-1,68) (VERI-9000) elaborating module 'DHGR_uniq_1'
|
||||
INFO - //Mac/iCloud/Repos/RAM2E/CPLD/LCMXO2-640HC-NODHGR/REFB.v(8,1-113,10) (VERI-9000) elaborating module 'REFB_uniq_1'
|
||||
INFO - C:/lscc/diamond/3.11_x64/ispfpga/userware/NT/SYNTHESIS_HEADERS/machxo2.v(1120,1-1122,10) (VERI-9000) elaborating module 'VHI_uniq_1'
|
||||
INFO - C:/lscc/diamond/3.11_x64/ispfpga/userware/NT/SYNTHESIS_HEADERS/machxo2.v(1124,1-1126,10) (VERI-9000) elaborating module 'VLO_uniq_1'
|
||||
INFO - C:/lscc/diamond/3.11_x64/ispfpga/userware/NT/SYNTHESIS_HEADERS/machxo2.v(1800,1-1872,10) (VERI-9000) elaborating module 'EFB_uniq_1'
|
||||
Done: design load finished with (0) errors, and (0) warnings
|
||||
Done: design load finished with (0) errors, and (2) warnings
|
||||
|
||||
</PRE></BODY></HTML>
|
@ -1,3 +1,3 @@
|
||||
<?xml version="1.0" encoding="UTF-8"?>
|
||||
<userSetting name="//Mac/iCloud/Repos/RAM2E/CPLD/LCMXO2-640HC-NODHGR/promote.xml" version="Diamond (64-bit) 3.11.3.469" date="Sat Jun 08 04:44:53 2024" vendor="Lattice Semiconductor Corporation" >
|
||||
<userSetting name="//Mac/iCloud/Repos/RAM2E/CPLD/LCMXO2-640HC-NODHGR/promote.xml" version="Diamond (64-bit) 3.11.3.469" date="Fri Jul 12 16:10:17 2024" vendor="Lattice Semiconductor Corporation" >
|
||||
</userSetting>
|
||||
|
70
CPLD/LCMXO2-640HC/RAM2E_LCMXO2_640HC_tcl.html
Normal file
70
CPLD/LCMXO2-640HC/RAM2E_LCMXO2_640HC_tcl.html
Normal file
@ -0,0 +1,70 @@
|
||||
<HTML>
|
||||
<HEAD><TITLE>Lattice TCL Log</TITLE>
|
||||
<STYLE TYPE="text/css">
|
||||
<!--
|
||||
body,pre{
font-family:'Courier New', monospace;
color: #000000;
font-size:88%;
background-color: #ffffff;
}
h1 {
font-weight: bold;
margin-top: 24px;
margin-bottom: 10px;
border-bottom: 3px solid #000; font-size: 1em;
}
h2 {
font-weight: bold;
margin-top: 18px;
margin-bottom: 5px;
font-size: 0.90em;
}
h3 {
font-weight: bold;
margin-top: 12px;
margin-bottom: 5px;
font-size: 0.80em;
}
p {
font-size:78%;
}
P.Table {
margin-top: 4px;
margin-bottom: 4px;
margin-right: 4px;
margin-left: 4px;
}
table
{
border-width: 1px 1px 1px 1px;
border-style: solid solid solid solid;
border-color: black black black black;
border-collapse: collapse;
}
th {
font-weight:bold;
padding: 4px;
border-width: 1px 1px 1px 1px;
border-style: solid solid solid solid;
border-color: black black black black;
vertical-align:top;
text-align:left;
font-size:78%;
}
td {
padding: 4px;
border-width: 1px 1px 1px 1px;
border-style: solid solid solid solid;
border-color: black black black black;
vertical-align:top;
font-size:78%;
}
a {
color:#013C9A;
text-decoration:none;
}
a:visited {
color:#013C9A;
}
a:hover, a:active {
text-decoration:underline;
color:#5BAFD4;
}
.pass
{
background-color: #00ff00;
}
.fail
{
background-color: #ff0000;
}
.comment
{
font-size: 90%;
font-style: italic;
}
|
||||
-->
|
||||
</STYLE>
|
||||
</HEAD>
|
||||
<PRE><A name="pn240608044451"></A><B><U><big>pn240608044451</big></U></B>
|
||||
#Start recording tcl command: 6/7/2024 20:49:37
|
||||
#Project Location: //Mac/iCloud/Repos/RAM2E/CPLD/LCMXO2-640HC; Project name: RAM2E_LCMXO2_640HC
|
||||
prj_project open "//Mac/iCloud/Repos/RAM2E/CPLD/LCMXO2-640HC/RAM2E_LCMXO2_640HC.ldf"
|
||||
prj_run Export -impl impl1 -forceAll
|
||||
#Stop recording: 6/8/2024 04:44:51
|
||||
|
||||
|
||||
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
</PRE></FONT>
|
||||
</BODY>
|
||||
</HTML>
|
@ -1,6 +1,6 @@
|
||||
NOTE Copyright (C), 1992-2010, Lattice Semiconductor Corporation *
|
||||
NOTE All Rights Reserved *
|
||||
NOTE DATE CREATED: Fri Jun 07 20:50:29 2024 *
|
||||
NOTE DATE CREATED: Fri Jul 12 16:08:57 2024 *
|
||||
NOTE DESIGN NAME: RAM2E *
|
||||
NOTE DEVICE NAME: LCMXO2-640HC-4TQFP100 *
|
||||
NOTE PIN ASSIGNMENTS *
|
||||
|
@ -1,7 +1,7 @@
|
||||
----------------------------------------------------------------------
|
||||
Report for cell RAM2E.verilog
|
||||
|
||||
Register bits: 125 of 640 (20%)
|
||||
Register bits: 124 of 640 (19%)
|
||||
PIC Latch: 0
|
||||
I/O cells: 70
|
||||
Cell usage:
|
||||
@ -9,7 +9,7 @@ I/O cells: 70
|
||||
BB 8 100.0
|
||||
CCU2D 9 100.0
|
||||
EFB 1 100.0
|
||||
FD1P3AX 58 100.0
|
||||
FD1P3AX 57 100.0
|
||||
FD1P3IX 1 100.0
|
||||
FD1S3AX 31 100.0
|
||||
FD1S3AY 4 100.0
|
||||
@ -22,7 +22,8 @@ I/O cells: 70
|
||||
OFS1P3BX 6 100.0
|
||||
OFS1P3DX 12 100.0
|
||||
OFS1P3IX 3 100.0
|
||||
ORCALUT4 275 100.0
|
||||
ORCALUT4 268 100.0
|
||||
PFUMX 10 100.0
|
||||
PUR 1 100.0
|
||||
VHI 3 100.0
|
||||
VLO 3 100.0
|
||||
@ -30,23 +31,24 @@ SUB MODULES
|
||||
RAM2E_UFM 1 100.0
|
||||
REFB 1 100.0
|
||||
|
||||
TOTAL 494
|
||||
TOTAL 496
|
||||
----------------------------------------------------------------------
|
||||
Report for cell RAM2E_UFM.netlist
|
||||
Instance path: ram2e_ufm
|
||||
Cell usage:
|
||||
cell count Res Usage(%)
|
||||
EFB 1 100.0
|
||||
FD1P3AX 29 50.0
|
||||
FD1P3AX 29 50.9
|
||||
FD1P3IX 1 100.0
|
||||
FD1S3IX 1 11.1
|
||||
ORCALUT4 268 97.5
|
||||
ORCALUT4 260 97.0
|
||||
PFUMX 10 100.0
|
||||
VHI 2 66.7
|
||||
VLO 2 66.7
|
||||
SUB MODULES
|
||||
REFB 1 100.0
|
||||
|
||||
TOTAL 305
|
||||
TOTAL 307
|
||||
----------------------------------------------------------------------
|
||||
Report for cell REFB.netlist
|
||||
Instance path: ram2e_ufm.ufmefb
|
||||
|
@ -4,10 +4,10 @@ Copyright (c) 1995 AT&T Corp. All rights reserved.
|
||||
Copyright (c) 1995-2001 Lucent Technologies Inc. All rights reserved.
|
||||
Copyright (c) 2001 Agere Systems All rights reserved.
|
||||
Copyright (c) 2002-2020 Lattice Semiconductor Corporation, All rights reserved.
|
||||
Fri Jun 07 20:50:26 2024
|
||||
Fri Jul 12 16:08:51 2024
|
||||
|
||||
|
||||
Command: bitgen -g RamCfg:Reset -path //Mac/iCloud/Repos/RAM2E/CPLD/LCMXO2-640HC -w -jedec -gui RAM2E_LCMXO2_640HC_impl1.ncd RAM2E_LCMXO2_640HC_impl1.prf
|
||||
Command: bitgen -g RamCfg:Reset -path //Mac/iCloud/Repos/RAM2E/CPLD/LCMXO2-640HC -w -jedec -gui -msgset //Mac/iCloud/Repos/RAM2E/CPLD/LCMXO2-640HC/promote.xml RAM2E_LCMXO2_640HC_impl1.ncd RAM2E_LCMXO2_640HC_impl1.prf
|
||||
|
||||
Loading design for application Bitgen from file RAM2E_LCMXO2_640HC_impl1.ncd.
|
||||
Design name: RAM2E
|
||||
@ -82,5 +82,5 @@ Available General Purpose Flash Memory: 191 Pages (Page 0 to Page 190).
|
||||
Initialized UFM Pages: 1 Page (Page 190).
|
||||
|
||||
Total CPU Time: 3 secs
|
||||
Total REAL Time: 3 secs
|
||||
Total REAL Time: 6 secs
|
||||
Peak Memory Usage: 267 MB
|
||||
|
File diff suppressed because it is too large
Load Diff
@ -2,7 +2,7 @@
|
||||
NOTE Diamond (64-bit) 3.11.3.469 JEDEC Compatible Fuse File.*
|
||||
NOTE Copyright (C), 1992-2010, Lattice Semiconductor Corporation.*
|
||||
NOTE All Rights Reserved.*
|
||||
NOTE DATE CREATED: Fri Jun 07 20:50:26 2024*
|
||||
NOTE DATE CREATED: Fri Jul 12 16:08:52 2024*
|
||||
NOTE DESIGN NAME: RAM2E_LCMXO2_640HC_impl1.ncd*
|
||||
NOTE DEVICE NAME: LCMXO2-640HC-4TQFP100*
|
||||
NOTE JEDEC FILE STATUS: Final Version 1.95*
|
||||
@ -82,424 +82,424 @@ QF171904*
|
||||
G0*
|
||||
F0*
|
||||
L000000
|
||||
11111111111111111011110110110011111111111111111100111011000000000000000000000000000000100000000000000000000000001001000000110000
|
||||
00010001010100000000010100000110010010001111111101000110000000000000000000000000101110001110000000000000110101110000000000000000
|
||||
11111111111111111011110110110011111111111111111100111011000000000000000000000000000000100000000000000000000000001001000000010001
|
||||
00000011001100000000010101010000010010001111111101000110000000000000000000000000101110001110000000000000110101110000000000000000
|
||||
00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
00000000000000000000000000010001000000000000000000000000100010000000000000000000000000000000000000000000000000000000000000000000
|
||||
00000000000000000000000000010010000000000000000000000000100100000000000000000000000000000000000000000000000000000000000000000000
|
||||
00000000000000000000000000000000000000000000000000000000000010001100000000000000000000000000000000000000000000000000000000000000
|
||||
00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
00000000000000000000000100010000000000000000100111000001000100000000000000000000000000000000000000000000000000000000000000000000
|
||||
10000000000001000010010000100000100001000000000000100100000001001000011000101011001111001100000000000000000000000000000000000000
|
||||
00000000000000000000000000000000000100011000001001011001010000001001110000000010011110010100001001110100100000001000000000010000
|
||||
10000001001000000000000000000000000000000000000000000000000000000000000000001001110000010010000000000010011100000100000000000010
|
||||
01100001001100100001000100110000010010000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000
|
||||
00000010001000100110000000000000000000100001110100000100001000001000001011000000000000000000000000000000000000000000000000000000
|
||||
00000000000000000100100100100000000000000000000000000000000000000001110000010000000000000000000000000000000000000000000000000000
|
||||
00000000000000000000000010000110010110011000000000100111000000100111010001100000100001000100100011000000110001001010001000101001
|
||||
01000000000000000000000000000000000000000000000000000000000000000000000000000000000100011000000000000000100111001001010000010001
|
||||
10000100000000010100100000000000000000000000000000000000000000000000000000000000000000000000000000001001110000000100110000000000
|
||||
10011100000000000011010011000001001100000000000000000000000000000000000000000000000000000000000000000000000000000001010100000000
|
||||
01000101001110100001101001000000000001001001001011001010101010000010000010101100000000000000000000000000000000000000000000000000
|
||||
00000000000000000000000000000000010000100100011000101111000000000000101101000001000010000000000000000000000000000000000000000000
|
||||
00000000000000000000000000000000000000001010010001001110000100000000000100110000010000100000010011010001010010000000001001101001
|
||||
00000000000000000000000000000000000000000000000000000000000000000000100010000000000000000100111010011000000000000000000000000000
|
||||
10011000010001001000000000000000000000000000000000000000000000000000000000000000000000000000000000010001000000000000100010111100
|
||||
10000000000000000011000110010100011000001000100000000000000000000000000000000000000000000000000000001001110100101000000100111001
|
||||
00000000000000000010001100000000110000001100000000000010010000000010000001001000010000000000000000000000000000000000000000000000
|
||||
00000000000100010001001000010101100000000101100001010110000000000000010110000000000000000010010100010011000100010000000000000000
|
||||
00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100101000000000000000000000000000000
|
||||
00000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000001001101001100000000000000000000000
|
||||
00000000000000000000000000000000000000000000000000100001100110000000010111000000000000000000000000010001100000000000000000000000
|
||||
00000000000000000000000000000000000000000000000000000000000000000000000000000000000010000100000000000101001110011100010010000000
|
||||
00000000000000000000000000000000000000000000000000000000000000100001100001000001000000000000000000000001001010000000000000100100
|
||||
01001010100011000000000000000000000000000000000000000000000000000000000000000000000000010011110011100001110000100000001000010000
|
||||
00000000000000000001001010000100100101001101001000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
00000000000000000000001001100000100011010000110000110011001000010000000000000000000000000000000000000000000000000000000000000000
|
||||
00000000000010101010011100000100000000000000000100100000001000110000000111000010000100000100101000000000000000000000000000000000
|
||||
00000000000000000000000000000000101110000001001010000010000100001100001101110011100000000100010000100010100010100101000000000100
|
||||
01001001010000000000000000001010011001010000000000000000000000000000000000000000000000000000001000110100100001000011110000010110
|
||||
10010010000000000110011001010011000001100110110100110110010000100011001000101101000001001000110000000010000010010000000000000000
|
||||
00000100100000000010011000000000000000000000000000000000000000000000100110100111000001000110110010100000001001110010010010011010
|
||||
01110000100110100111010011001011101001100100110000000001001011101000100000000000000000000010011010001100000000000000000000000000
|
||||
00000000000000000000001100100001101010000000000000000010110110000000001011010001001000100000100010101111100100100101000000010000
|
||||
00000000000000000000010010010011000000000000000000000000000000000000000000001000100000001000100000101110010010100000000011001000
|
||||
01000001100100001100010000100010000010010000000100010000001001000000000000000000000000000110011110000000000000000000000000000000
|
||||
00000100000000000100000001000111000100001000011110000010100110100110100001100111001010110000100100010010101110001000011001000101
|
||||
10100010100001100010010100110001011000110100001010011000001000011001100000000000000000000000000001100111100000000000000000000000
|
||||
00000000000000000000100000110010100000100110100111000000000010000110010101100101000001001100010011001100010100100110010011011001
|
||||
01000001010010010001101001101001100100111000000000000000000000000011000011110000000001000101001110000000000000000000000000000000
|
||||
00000100001001100100001010111101000110001001000000100000100010000101101100010111011010000010110110000101001000011000101011000000
|
||||
01001100101011010000100010001000000000000000000000000000000000000000000000000000000000000000000000000001000001100110010001100010
|
||||
01010010001101001010110101110011110010001011110100000000100001100001010001110001010011111000100101000101010111000011100001100010
|
||||
01001010111100100100100111100111100110100101000000001101010010000000000000000000000000000110011110010010010000100000000000000000
|
||||
00000000000000000000000000000001001010001100001011001111000000011100100110100001100000111110101010011011100001000100000101110100
|
||||
10010011010011010011100100001110010000110010011000100110000010001100100001100010100010000001000100000000000000000110011110001000
|
||||
11000000000000000011001010000000000000000000000000000001000000011010010010101101001001100100010100011100001100101001000011000111
|
||||
00111101111011010000011010111110101000011100010000001000111000110110010100010011000000010001001000001001100000000000000000000110
|
||||
00011110000000000000000000000000000000000000000000000000100011001000000100010101101100110011100100101001111000011101101000100110
|
||||
01100000011010000110000010010011110100001000010010010000100111100010111100001000000000100101010000010000010010110110100000010011
|
||||
10000000000000000110011110000000000000000000000000000000000000100010001001100001000111000011001111101101010001001111010111011001
|
||||
10010100110011011010001011001010110100110011100000011010011001011010011001001101001101110000101011000100101110001000100110100100
|
||||
11000000111010011000110100111001001011100011010111001010010011110011001100001001010110100011000110000000100000000000000000000011
|
||||
00001111000000000000000000000000000000000000001001110100011000100110000000100110001010111000111001000010100111010000101110001000
|
||||
00010010111110000001000111001111001011000001000001001110010010001100100100100111001011000100110010000100000000000000000000000000
|
||||
00000000000000000000000000000000000000000000000100010110110000000100011001000011011000001001010100110011110000000100110100001110
|
||||
10000100100110001001101000101001111001101000101110000100011010001010000111100000000000001000110000000000000000000000000000000000
|
||||
00000000000000000000000000000000000000010000101110010100011001000010110100011011001001000000110000101010101100100100100010111000
|
||||
01101000110110101100010010110000111001010101000001101010100011011000000100001100000100011010001101100010110010011100000010011001
|
||||
00010000000000000000000000100111000000000000000000000000000000000000000000010100110111001001010010000111000010011110000010110100
|
||||
00010100101010010111100000100010010110010011010000011000001000100001101000010110000101010010100100000100000100101100110010010010
|
||||
01111000111001101101100000000100101000000001001000000000000001100001111000000000000000000000000000000000000000000000000100010010
|
||||
01110010000010011000100011001110000010110001100011100110001000110010001001110000001100011001000111001111001110010001000000100111
|
||||
10110100010010001000100000000000000000000000000000000000110010100000000000000000000000000000000000000000100010100101100101001000
|
||||
10110010100010010011011000100100110100001100011100001010011010011010010110011011001110000100101001101000101110100001000010000001
|
||||
00100100100101001001001010110110010010011110001000000000000000000000000000000000000000000000000000000000000000000000000100001010
|
||||
01100010000010000111010100011000111001100010000110011010011100011000010101110000001111011000000001000100001000101100101001110100
|
||||
00010010001101100001001100101010000101001111010010000000010011000000000000011000011110000000010001100000000000000000000000000000
|
||||
00000000000110100101010000011001000101001011001010110000101010101001101110101001000110111000010100010001110001110001001001100010
|
||||
10011000111001100001100001010110100100110010001000100010001101001101000000010010010011100000000000000000000000000000000100110000
|
||||
00000000000000000000000000000000000100000011000011001001111001110010011000100000001110000010100010111000100010001101001011100010
|
||||
01011011000010100111000100111100000000100101000001001111000010000010000010010000000000000000000000000000000000011000110000000000
|
||||
00000000000000000000000000000000010010110010110010001100100001110000100111110100000000010000010011110011000100000011000010100010
|
||||
00101110000010100001100100000100000100001001000101000010100000010010010001010100101001000000001000100000000000000000000000100100
|
||||
00110110010001001100000000000000000000000000000000000000001000011000001101000100000100100100000100111010011011010000101100100110
|
||||
10011010010100011010001001100001001100111100011000011100101000000101110100111000010010000000100000000000100000000000000000000000
|
||||
00000000000000000000000000000000000000000000011011111110001110010110100110101000111011111110000111100111111010000101101101000011
|
||||
01100000101000001010000101000111111110101111110011111111101010000110110111110011001001101110110001111000100010000111001100111110
|
||||
11001010011011111011101111111010000010110100010100010011000000000000000000000000000000000000000000000000000000000000000000000101
|
||||
00011000011110010100010001111111100111010000110110000011110111011000111011011111111110100100110110000011111111011000111100100010
|
||||
11111101111001001111101111111111110111000011000101100101110111101110111110000001100001100111011000111110011001011101011101110110
|
||||
01011000011001101000100010010100100010100000000111111000010001100000000000000000000000000000000000000000000000000000000000000000
|
||||
00000110111011110100010010101010001100001100100111111100100010010010011011111101110111101111110100001111011111101000010000011110
|
||||
11111101110101000101000110000110001110001101101110110100011111110011001100001100111011101111100011001011101100100011111111011011
|
||||
00001100110100010001001010010001010101100011111111001000110000000000000000000000000000000000000000000000000000000000000000000110
|
||||
00001111111001001001101100110100110110111111111110011001000011110111111101000010100011110111110101000110111111101011011010001000
|
||||
01111011111111111111011001101111011101111000110110000111010001111110001000100110101101111000110010000111001000111011111001101111
|
||||
10111010011100001100001111000111111110010011000000000000000000000000000000000000000000000000000000000000000010000001000111111000
|
||||
00000110001001000100111001000000010011100100000010001111110001000011000100111001001000111111000100001100010011100100100011111100
|
||||
01000011000100111001001000111111000000001100000011100100000010010000000000000000000000000000000000000000000000000000000000000010
|
||||
00010000000001001010010011101000001001011001000000000001000000110010100000100011100000000000000000000000110010001000000000000000
|
||||
00000100101000000000000000000000000000000000000000000010000000000000000100001000000000000010010010000100001100100010100110010001
|
||||
10001100001001000000001001100000000000000000000000010010000000000010011000000000000000000000000000000000000100000000001010010001
|
||||
11000100001010110011000011001000111001100001000110000011010001000000010001001001010000010001000000000001110001001000000000000000
|
||||
00010011010001100000000000000000000000000000000000000010001000000000100010111000100000001000010000010000000101110010110101001110
|
||||
00000000000100000000000100011000100011000000000000000000100100100110000000000000000000000000000000000000000000000010101101001000
|
||||
00100101000001001100000100001100101000000010010000100000010010110010100010111100000001000110000101001000000000000001001100000000
|
||||
01100111100000000000000100011000000000000000000000000010000111010001000000110010011010011000001000011101000100100011000110010001
|
||||
01001100100010001100110010100110000000001001000000100111000011011000000100110011010001101001100000000000000000100001100110000100
|
||||
01100000011001111000000000000000000000000000000000000011001010000000001001100100010110100100110111110001100001110001000000100100
|
||||
10001010010101001110100100100110001001100011010000100100100000110000101000000010011000000010011100000000000001000100000011000011
|
||||
11000000000000000000001001010000000000000000000000000010000000001011010100100100110000100000000000000010110100000110010100100000
|
||||
01000011101000100000001001000000010000100000000000000000000000000000000000000000000000000000000000000001011000100110100101001000
|
||||
00010010111010000011001100100100010000010101011011000001001100111100100010000011000011010000100011100001011001110000001000010100
|
||||
10001100010100110010000111010100010100111010011001001001001100010001110001111000010011001100000000010000000000000000000001100111
|
||||
10010010000000000000000000000000000000110000100100000000101010011011100110001010101001000001011101100011000010010111000010111000
|
||||
11011110000000111010000010001110010110010011010000101001000010000100100011000100110100010101100111000001010000010101010010011000
|
||||
10110110100001011000111000010010111010000011001111001010000000000001000000000001000110000110011110000000000000000100001100111000
|
||||
00000000000000000000100110100101000001100001001100100000010111010011000110100001001001101001001001010100011100011100011000100001
|
||||
11100110001001000001100100001100001100001101110000001001101111000000100111100110110010101010011010010000000000100001000000000011
|
||||
00001111000000000000000000000000000000001001010000000011000010010100110010000110101111010010011001011011111011001001110110100011
|
||||
01110000001010100101000111010101101000001100110010010111011000001101000001110110001010110010011010001000100001010011001100001101
|
||||
11000110000100111010101101100010101100110010010010010110001101010101001110000000000000000000000001100111100000000000000000000000
|
||||
00000000000010011100000000100101110000001101000101010111110100000100100100001001000001001010110010001011110010001000100100110011
|
||||
00010100100100100011010001011101000000010001110000010000000010001101001001010010010011010111001111001000010001110101110001110011
|
||||
10000001010010000000000001100001111000000000000000000000000000000000000000100010000010010001000001111000000100110101111100000010
|
||||
00001101100000001100010011011001001000111000110000100111110100000110000110001110111010011101001101100001001110000110001101000100
|
||||
11110000101101000001100011100001000110110000110000010011000111011001011010001101010101110100000011000100100001100011000000000000
|
||||
00000000000000000001001110001010110000000000000000000000000000000100110000100101010001101001101010101101100000011101000000100001
|
||||
10001110011001001100001011001001101000011001010101101010010010001110110110011111010000101000110001000001100110010100111100011100
|
||||
01000111000001011010000100110010100001101100010100110100110000000000001000100000000000000001001000000000000000000000000000000000
|
||||
00001101100000010000101101001100000110001010100100110110010010001100100100100110100000101110100001011000101101111010000010010000
|
||||
11000110011001101001111001111011011000101010011000111110000010100111001000111100100100100011100101001110001001010010100100110100
|
||||
01011010000100001011100000000010000001011000000000000000001001110100000000000000000000000000000000100011000000000110010111001001
|
||||
11010000111101010001000011101011100111010100010000010100111010001001000100010011101001001001010000100111001100101000010001110111
|
||||
01100100010100100010010110001110010011010001011100100001110010000110010110000101100100010100110111010000000100011100010110100110
|
||||
00000000000000000001001001100000111000000000000000000000000010001100000000000100110000000001000010100001100011001100100001000010
|
||||
01101011011100100110101111001011101010101010111101100000001110000010111000101011100010101011110100101010101000001001011010101000
|
||||
10111000001011000010010111000000111000010011110000100010010000011000100101100100101000000000000000000000000010000100101011000000
|
||||
00000010010100000000000000000000011001000100100110001000101100101000000000010001100100110110010100001000111000101000011001101000
|
||||
10001001000100010110010010110011001001100100111100001100101100100100010100010110011001010000011000010101001101001110100110110010
|
||||
00101001101000110000000000010011100000100101000000000000000000000000000000000000000000000100000000001000011100101001100110010010
|
||||
01000111010101100100001101111100110100110011000010101000011101100000100110001100010110110010001010110011000000110100110100000100
|
||||
01000010001011001010011001011001101001101001101000001000101001001010011001001001100110100100100111100000001011100000000010000100
|
||||
00000110000011100000010001001001100000000000000000000000000000000100011010001101001100001001011101000101111010000001000100011000
|
||||
10010110101110011100000101000001000101000001000010101100001100010100100001101011100110010010000010011110010101000111100011010111
|
||||
01100000110010110010011111011000001001000100101110000100101010101000001001000000000000000001000110000000000000000000000000000000
|
||||
00000000100110000000001011100000110111000010101000001001101101000010100110001001001000000110110110000100100010000111110000001000
|
||||
11100100100101001010100100001001001011001010010011101010111011011001111001001000000100100100111100110000000000000001000100000000
|
||||
00000100110010111100000000000000000000000000000010000110000000010010100100100010101011011000001010010000010100100100001001001000
|
||||
01001101100011000001101000001100101001000010001000001000011001110000011011000001001100100010110000100110110010110000101100000000
|
||||
00100010110010100000100101001000000000000111100000010010000101001010010000000000000000000000000010001100000011010100010100010011
|
||||
10001000000110100100110010100100100100011101011110100101010011110000110110000100000011001000101001001001111100001010100000100111
|
||||
10110010010000101101000000001001000101010111100001010001110110110110010000010011011000100100000000000001000000001001110010101000
|
||||
00000000000000000000000000000000000000010100011111001000111101110111110011110111111111011001011110111111011111101100110111101111
|
||||
11101110111011001010111111111001010100010100001101100000111111111001010001010000110010111011111110010111111110111111110100111001
|
||||
11110010100011110000000110011111111110011110101000111110111101010001111111100011000011011010000010100000000000000000000001011000
|
||||
00000000000000000000000000000000000000101010101000111110010010010010110111110000001100001100111111110010100010111011111101101010
|
||||
00110000111010100011111011101001001100101011111101100110001111111111101111111101100100110110000011111111101000111101111111111010
|
||||
10101001000111111100110001110100011111110101011101110011111001111111101111101000110010011001011111010001000111111101111101000100
|
||||
10011101111111101101100110000110011000011111111111100100100110100010011110110111000110000000000000000010001000000000000000000000
|
||||
00000000000000000000001010101010001111100100011100010001100001100100010101000101000100101111111011010100010101010000011111111100
|
||||
10110111110100001000101010001010000111011101111011111111000101110111111101000100101111100111111011111111000111100010011111110111
|
||||
01011101100011101001000001111101001011111101111111011101001111110001010100011110100001000101100010101001110011001111111101100000
|
||||
00000000000000000000000000000000000000000000000000000001010001111100100011101110111111111100011110011101100101111011111101111110
|
||||
11001101010111111011111011110111011111110000011111101111111011111011101110111101111111010100011111111010111110111010100010011011
|
||||
01011111110100010010000111000111010011000000111111111100011111101111111011111010110111110111100111111110111110111010110011111111
|
||||
11001000011100010011111101111000000000000000000000000000000000000000000000000000000000000000001100000011101110010011100110000001
|
||||
11001001000111111000000001100000011101110010011100110000001110010001001110011000000111001001000111111000000001011010100011111100
|
||||
01000010000000100111001000000010011100110000001110010001001110000000000000000000011000000111111000000000000000000000000000000000
|
||||
00000001000000000010011000001001011000010100010001001010000000000010010111000100100000000000000001010111001000001001000110010101
|
||||
00000000000010000100000000000000000000000000000000000000000000000000011000010010100001000000010011000000011100000101001101001010
|
||||
00000000110010011010011000000000000100001000000000010000111010010011000110001000110100110000000000000000000000000000100001100110
|
||||
00000000000000000000001000010000000010010001001100100110100011010011100100011100011000010011010101100000100011011101010000000101
|
||||
00100000000010001100001000111000111110000001000100110101001000000100000000000000000000000000000000000000000000000000010010100000
|
||||
00001100011000100000000000100001100101000110010100110001010011100000110010100010010100000000010000100000100001100110000010000100
|
||||
00100000100000100100000000000000000000000000000000000000000010001000000000000000010011110010110010101001000000000010000110010001
|
||||
00100000100101001001010100000100000000001000001000010000101100100100110011100000101011001001010000010010001000110000000100011100
|
||||
00100001000010000000000000000000000000000000100000000000000000001000101000000000000000000000011001010101101000001100100100001100
|
||||
11100110000110000000100000000000000000001001000000000110100001011010010011000110000100001111000100000000000000000000000000000000
|
||||
00000000000000000001000101000010001001100000000010000101100101000100101010001111010001001100010101100110000100110000000100010100
|
||||
11110011100010001110011010011000100110000000001001100001001101001110000100111000001000000000000000000000000000000000000000000000
|
||||
00001001111001011001000000000000010011000010110101001011001000110101100010000010000010001000001100001100111000010000000100110000
|
||||
11000110010110010100000000000000100001000010000001000000000000000000000000000000000000000000000000000000001000110001000010000000
|
||||
00011100000011001101101001001010001010010110000010000011010101001001111001111000010100000100000011000000110110001100110000110100
|
||||
10001000111000101001100111000001011100001000110010001011010000100100111100001100000111000001001001000110010010010001010101110000
|
||||
10010001110101110111110011000000000000000000000000000000000000000000000000100110000100111010000000000001100010010010011010010101
|
||||
01111011001010000000110100000111010010101000101000011000001000000000100011001100100010111000001001011100010101001011101000110110
|
||||
10000010001000000001000100101100101111100101100101110010000110111000000000000000000000000000000000000000000000000000001000110000
|
||||
00000010000101000010010010010001011011011000100101010001110001111010010101110001000100111001100010010111100011000001000011010111
|
||||
01111001000001000011001110100110001001001001011001010001011111100100001100011000100110100100000000000000000000000000000000000000
|
||||
00000000000000000000010000100000000001001100010011101000000110010000110000011100010001001001001000110100001010011010000101000100
|
||||
10001010001001001100011001001001001011001000100101011001110001100011001100110100111100101100011101010110000111010011010010101100
|
||||
01010011100101100110100000100101110101010100000100111000000000000000000000000000000000000000000000000001001110001000010000000000
|
||||
00100010000100110100001100001001001110010001010011101001000100000100101110010010111001000100100110010001011100001001101000010000
|
||||
11000000110100100110100001000010001110001010100100010001111000011011011001010010010101011010011000100111000000000000000000000000
|
||||
00000000000000000000001000100000101101001001111001100000000011100000010010001001011011000001101000010101010110010000100010000011
|
||||
10000110100110010010001001111100011000111010000001011101001111000001000101110001000100101011100000011101001010100000110000100101
|
||||
00010010011011100000100100110001001010110001010010001110010110011111011000001101000010101001000000000000000000000000000000000000
|
||||
00000000000100110000100100000000010001000001001001001101000101000101000011101010010000100001110100101010011101001010100011111000
|
||||
00100111101100011001001000100101101001100110100100100011100001100101110100010111010000100110000001101100100001011011000001001001
|
||||
00111011010001001000011001101001011000110100000010110000000000000000000000000000000000000000000000000000000110110000001000010000
|
||||
00001011000000010011101000001000011101100100001011000001000110100001110000110011010000100111010001001000110110010100110000010001
|
||||
11011000011000010011001101001011001111000010100011000100101010000110011001001111000110100011100000101010100111000001011100000000
|
||||
00000000000000000000000000000000000001000100000000001000000000100111010000111000110001000011101001100100111010010010100110000010
|
||||
11111010011001111000101001111000010110010001011001010001001000100000100110100111010000011011010001010101101100000100111110000110
|
||||
01000101100100010110000100101001010000111010010010000100100011011101000000000000000000000000000000000000000000100111000000000000
|
||||
00110100000110011110001100000010011000000011001010001100001001100001001000000000010001111000010011001101000011100100100101100100
|
||||
01110000001101001001000001000001111000000100001011001000010011100010001000110010011011100101101000011010111000011001010100000101
|
||||
11010001000000000000000000000000000000000000000000000000000001000110100110000000100100100010000001011001000101101000001110110000
|
||||
01001111010011000101101101000100000111000001010011010011010010000001011001001101001010101001101110000100000001000110001000000100
|
||||
10001001000110010110010001101001000110110000010011011011000000000000000000000000000000000000000000000100010000000000000000110001
|
||||
00101001010000000000001000101000001000101101000001100010100100100100011010000100011000110001000110010001110000111001100100010011
|
||||
00110001010111010010011101000100001000101000100011010000101001000111000010110010110011100100010110100010111001000011110000001000
|
||||
11000010101000000100111000000000000000000000000000001001100000000000000000000000001000000100110000000000010011100001010011100001
|
||||
01010011110010101001011100100100010110110010110001101100001010010011110110000101011100011010100100000100111100101011010010101001
|
||||
10011100000010110000110010100101110000010100111010001110000110000010010110011100000000000000000000000000000010001100000000000000
|
||||
00000000000100110100101000000000100001010011000100100001001011011110010000010110001001000100111100101010010010011100011011000011
|
||||
10110000000010101011001110101110000100100001100110111000010001101001101100001100101110010001001000001000000010010110010100110100
|
||||
00100000000000000000000000000001010110000000000000000000000010010000000001000100010011110111010000101000101001101000111101010100
|
||||
10011010001001000101001111000010100100011010000011100010010010010000101101010011100011101101010111010000000100000100001010010110
|
||||
01001000101000001101000100111101000001000000001100001101111000100000000000000000000000000000000000000000000000000000010000110011
|
||||
01001110000000010011110000001001100100101110100000110101011010000011000101110010001100110101111110100001010000010011010000011000
|
||||
10100010001111011000001000101001010010100111000100101100001010100111010001001100100001110000110010011110110000100000100110001001
|
||||
10100001101101110101110011100000011001101100101000110010011011110000000000000000000000000000000000000000000000000000000000011000
|
||||
01111111011101100101000000000000001111000100100110110101111111001011110101000111111110001010001100001111011111111011101101111011
|
||||
00111111111111011110000110010010100001010001001110111111000010101001100000111111111011101101110111110000001101111011111101101010
|
||||
10001011110110100010011001100111000011100010011110110011001010001111011111010111010011110011000000000000000000000000000000000000
|
||||
00000000001000101111110000111011101100111001100111001010000000000000100110110010110001010001100100100110111111111111100001000111
|
||||
10000111111001111111101110000101000110110111110001111001111111111110011100100101000111110111110001111111111010100100111111001011
|
||||
00100010100011110000001111011101111001001101111111110010001010001111110111111011011001001111111001101111100011111101010011011001
|
||||
10100100010100010001111011111111111001111100100101110010001100000000000000000000000000000000000000000000001000101010001010111001
|
||||
01010100010001100000000001101101111110000110001111010101101110111100111110100001101011111101000110110000011101110111010001000111
|
||||
11111011110011110010010100011100111110101000101000011111100101111110011010000011110010001001011010001111110011011000100111111100
|
||||
00001110111011101000100010110011000111101111111001001000001111110110001111101110010100011110011001000110000000000000000000000000
|
||||
00000000000000000000000000001111111010001101101111100110000000000011110111111011001100010101110101010110000110100011111001100110
|
||||
10111111111010011011101100001110111111100110111111011110011110000110010011100111110110011101011101111110111111000011100100010110
|
||||
01100111111001000011111100111110010001011010111111111101110011101110111110111111011001100111010001000011011011111111100011000100
|
||||
11111010111001111011111111100010010011000000000000000000000000000100111000000000000000000000000100001100101100010100110001001000
|
||||
00000000001100011011100100100011111100000000100000011000011001111000000001011010011100001000010000000100111001000000100011111100
|
||||
00000010000000100111001100000011100100011100001000010000000100111001001000000000000000000000000000000000000000000000000000000000
|
||||
00000000001100010101100100100100000100101000001001011000111001010000100001100101001010110100101110001100000000100101001001000000
|
||||
00101011000000000000000000000010000000000010011001000011001100000000000000000000000000000000000000101100000000100111000010011000
|
||||
10000110011010001101000110001001100100110010000110011011101000000000001001010000100011100000000000000000000000001101001010101001
|
||||
00000000001101100000001001100000000000000000000000000000000000000100110100110000110001100000100011010011000000010011110000000010
|
||||
00110101001100101000111000100010011100100001001001000000100111000000000000000000000101001100110001000001001110000010011101000000
|
||||
00000000000000000000000000000000000010011000000100110100010011010001001110000001010010001000110000010100100100001100100100011000
|
||||
01000010100011000000000010001000000000000000000000100000000010011000000000000001000100000000000000000000000000000000001000000000
|
||||
10001001100110101000001000101011010000000010010111000010011001000000010100100100001001001110000000000011001010000000000000000000
|
||||
00000000011001110000000010000000000000000000000000000000000000001001010000101011000000100101100111001000011001100001000011110000
|
||||
01010011000000000000000000000000000000000000000001101001010100110000110000111100011001111001001110010011000000000000000000000000
|
||||
00000000000011010001001001110100110001101000100100101010001100100110010010100000000100011100001000000100111010100100110100101100
|
||||
10011100100011000000000000000000000010100110011000011010011110000110000001110011101000000000000000000000000000000000000000010000
|
||||
00001001000101101011101000000100110010000001001101000100000000001000011001100000010001100011100000010010000100010000100000000000
|
||||
00000000000100000000011011100000010000100000100010000000000000000000000000000000000110010000101001100010010110000001101001010010
|
||||
01000110000101010001110100110011110001010011111011000001101001010100110001000101110001001100100000100001100011100001111000100000
|
||||
01001000010011010010001000100111000001001001000000000000000000010110010001100000011001110001100011000000001110000001000000000000
|
||||
00000000000100000000000000100110100101000110001010011010010100100011001001011110001001011000010011001000111000100100010001010011
|
||||
01011111101000010100110010001001101100000000101111110010110010001010001001000000100010110100001001001010100011011011001000010011
|
||||
00000000100010000100000000001010101100001111000001100111100100111000000000000000000000000000000000000001000100100100001001001000
|
||||
01010000001001011001101000001101000001100001100110100110000100100010000001001011100100110100101001000001000111011111000110010000
|
||||
01000110010010010011010101110001011011000001001100010011110001101000100000000000000000000110100111100000000000000000000000000000
|
||||
00000000000000000100001100110100111000110001010010011010110010011001000111100100100000110110000011001101001010101110010001001010
|
||||
11110000110010101001101100000010000011000000111000011011011001110011001010001001011000000001000001101000001010010110011110011100
|
||||
01000001000110000000010011100001001100000000000001100111100001001100000000000000000000000000000000000101100101001100000100110110
|
||||
10000111001101000011011111000111010101110001001010000110111101000011110001000011000000110110100100110101110110001010111000011100
|
||||
01100110010010011010011000110001100010101010011101111010000010010011000010100010010001000001100001010000010000010001110010000000
|
||||
00000000100111010011100011000011110000000011001110000100011000000000000000000000000000101100000000100001001000001100011010100100
|
||||
10000110010111010000011001011000101000111110010010011100000101000100010010000101111000100000011010000101100010100100011001101000
|
||||
01010011000001010110101001100110100110000000000100010000000000000001000100001100000111000010010101010010000000000000000000000000
|
||||
00000000010001001000011101000100110100000100100011100101100000100001001001010110100101010100101010010100110110100000100100111010
|
||||
01101011011000111011100100110001000100000101001100111111101000010011000100110110100010010011000001001101001110000100000000000010
|
||||
01000000100000000010011100000000000000000000000000000000000000010110100100001100101110000100111100010001011111110100000100001100
|
||||
01011001001000001101100001101011101010011100010000110010001101000110100111100111010010011000010011001101101011000100111100101011
|
||||
00101000100000110010001010010010010001000001101010001101101100100101100010000011110000010000001000010000000000000000000001000101
|
||||
11100100000001000000000000000000000000000000000000010100110000110010111100010000010010010001110000110011110000110000101100011000
|
||||
10000010000111100000010100001101011100110100110001000101101000010110000101010100110100110001001010110101100000110010100010010110
|
||||
00110000100000100111100110000111000100110000100000000000000000011100001001111000000000000000000100001000000000000000000000000000
|
||||
00000100111010011111001000010010010111001000101101101000001000110100111100010001001011000001001001011011100100100110000100100110
|
||||
00110000000010111011010000011000100001000011000011001110100001010000011100001001000100100001100110100000010001100000000000010011
|
||||
00000000000000000010000100011001010000100001100111000000000000000000000000010000100100011001001010100100100011110001001011100010
|
||||
10110000001110000110101110001001000011001001001110010000111011000001000110100100010001000100110110000100101100100100101011100011
|
||||
00010000111000010101001001001100100011010001100000000000001001000000000001000100000000010000000000000000000000000000000001001000
|
||||
10000011000010101001100010010110000011000010101110100000001100100100100011000100101100000110001011011001001001001111001011001100
|
||||
11100001001011011001111000001001000100001110100010011100010001110010001100110110010100001001001001100100100101110010011110111111
|
||||
10011000100100010000111010000011001111001110000000000000000100010000000000111110000000001110001000000000000000000000000000000000
|
||||
00100011110100100110010111100010000010010010000010000011010001001001110010010101000110101111100111100000110010001011101100001100
|
||||
10101011000010101001011101000001100100001000100110001100000100110100101010001100000110000100110010100001000110000000000000000010
|
||||
00100110101100010000011110000000001001110010011000000000000000000000000000001100000011000000001100000011011101000001001101100100
|
||||
10000100000001000111000101001111000111100100010110000001111001001001000101000100100100010001110000010011000011010000011000011001
|
||||
01001001101001011000010000100100100101111011000000010010000000000000000000100100000100110000000000000001001010000000000000000000
|
||||
00000000000000100110100101101001001001011001001101001100110010010010010100100010010001111110000001100010100001000110101001001101
|
||||
00011011001001000000100011110010010010011110110111100000011000110100001000010010100000110010010000000000000000000100101000000000
|
||||
00000100010010011000000000000000000000000000000000100110101010001000001001101000111000111000001101100000101111100110010011010000
|
||||
01101000010010011101100101000100000100111111000001010011010001101100100001110101100001001000100001111001000100101100011001010001
|
||||
10001100000100100100111101010010011100000000000000000000010001001001111010100000000000000000000000000000000000000000000011110111
|
||||
11011000011111101111111011111011111111111010011000010111110011000011000011111111111100010100000111111110111101100111000011111001
|
||||
11111000111110100000100010011011111111010100100000101000010100011110111010101000101000010100000010100001110011111111111000000111
|
||||
11101010110111011100000000000000000000100110000000000000000000000000000000000000000000001110111111100100101000101000100011111010
|
||||
11111111001000101110111011101101000110111111110010010011111110111011101000101111110000110011001110010011110111111001001000110101
|
||||
00010010010100011000000111000110111111111010010011101111111111011101100011111101110111111111101001001110111111000111010111110010
|
||||
01110111111100111100011011111101011001001010000000000000000000000000000000000000000000000000000000000000000000001100000011011110
|
||||
01101101000011101110111001111001011111110111111100000001010001100100010100101110110000010100011000011001111110100110001001010010
|
||||
01100001001110000111110001010100000110000001111101110110011001100111001000111111110101111110111101000100101110100011100000111111
|
||||
01111100111111001111111110011101101110000000000000000000000000000111100000000000000000000000000000000000000000000110000101001111
|
||||
00111111110111110111011101111100010000101000111111000001111111110110010001001101010001110000111110110011111101101101000110100001
|
||||
10000000100111111000100001100010011111011000001000001100110111100001110011001110100001010001100110011011010001100001111111101010
|
||||
10001110111111001111101111010100000000000000000000000000000000000000000000000000000000000000000010001111110000000010000001000111
|
||||
11100000000100000010001111110000000011000000111001000111000010000100001001001110010000000111000010000100000001001100000010011100
|
||||
00010011100000000000000000000110000001111110000000000000010011000100010010010100000000000000000000000000000000000000000010010000
|
||||
00001000110000010010100010001010010110100100000000001000010000000000000000000000000010010001001110000000010011101000101000011001
|
||||
10000000000000000000000000000000000010000111010010011101110000000011000010010000000010011000000100100010000110011001011110010000
|
||||
11001100000000000000000000000000000000000110000101010011000000000011011100000100110100110100101000000000000000000000000000000000
|
||||
01001101001111001101001100010011010010010010110011000000000100110000001001100000010011000100011000000000000000000000000000000011
|
||||
00101000100110001000001001110000001001110110100000100000000000000000000000000000000000100000001001001001000100000010011001001000
|
||||
00000010000000000100000000001000000001001100000100000000000000000000000000000001000001000110001001100000001001010100010000000000
|
||||
10011000000000000000000000000000001001001000110000000000010001100000000000110101100000000000000000000000000000010000100000000010
|
||||
01000000000000000110011110001000100000000000000000000000000000000000000100010001001100001000110000000100110000000010000110011000
|
||||
00100001100110000000010010110011000000000001001100000000000000011000010101001100001100001111000001100111100100111010011010011000
|
||||
00000010010000000000000000000000000000000000010011100000000000000001001101000100100101001001100000000010010000000000001001001100
|
||||
10100000000000000001100101000100110000110100111101000011001110110100000100000000000000000000000000000000000001001111001010000000
|
||||
00000000000001000000010011100100000000000001000110000000000000000100000000000000100000000011011100000000010000100100110000000000
|
||||
00000000000000000000000010001110000110011110000011000100101000110001000001000110100110010001110010000000000000001010110000000000
|
||||
00000000000000000000001000000000000000000110011110011000110001000011000110001000000000000000000000000000010000000000100110001001
|
||||
10011100010000001000101000001011001001001011110110001010010010001000000010011100000000000000000000100000000000000001001100000000
|
||||
00001000001000010000101010110000111100000110011110010011100000001000011001110000000000000000000000000000001001001001110001000101
|
||||
11100100100011001010001000101000010000000101001000000000000000010001000100110000001001100000000000010000100000000001101001111000
|
||||
00010000100000000000000000000000000000000000001000101110000001000011001100010101111101100010010100000110011001000000000000000000
|
||||
00010000000100100000001000110001000110000000000001000100000000000110011110000000100010000001000100000000000000000000000000001101
|
||||
00100100011111000000010101000011000010011001001000110000000000000000000110010100000001000010000000000000000000000000000000110000
|
||||
11110000001100111000000001001110000010011100000000000000000000000000010010111101000000100010011011001011011100000110100010011000
|
||||
01001000000100010000100111000000000000000000000000000000000000000000001100000111000000000010010100000000000000000000000000000000
|
||||
00000010011010001010010001100010010000100101001001010000000000010100100100010100111000000000000000010001000000000000000000000000
|
||||
01000000000000000000000000000000000000000000000001010101110000001100110000110000100111001000011001110011001100101000111000000000
|
||||
00010011101000100000000000000001001110000100000000000000000000000000000000000000001111101000000000000000000000000000000010001000
|
||||
00000010010011000010011100011000101101010000000100000100110100110001101000100000000001001100101100100010011100000100001000100100
|
||||
11100100101000000000000000000000000001000000000010000011000011001111000000000000000000000000000000000000000000010000000000001001
|
||||
01010011011001000011001100001001101000101001011100011001001001000000000010001110011001111000000010001100100111100110010001100000
|
||||
00000000000010000110011100000000001000011001100000100001101001000000100001100111000000000000000000000000000000000010010100111000
|
||||
00100010011000100100100101010011010001001010110011010001000001001001100010100010001101001100100100100010111000100001101000100111
|
||||
10010000000000000100010000000000000000000100100000000000010000000000000000010110000000000000000000000000100001001100010110111000
|
||||
00011000111000001011001000111010111101000101100111010011010001101100001010000110000100100010010110000110001010010001001011110000
|
||||
00110001101001000100101001001000000010111000100000000000000000000000000000100101000000000011110000000011001001000100100000000000
|
||||
00000000000000100010100111000000001101001001110010001010011000011000010011100100100110100001000001011010000000000100010010011101
|
||||
00111010001001001110000000000000000000000000000000001001010010000011110000000000001001110000101111000000000000000000000000000000
|
||||
00001001001001001000110001100110001001001100100011010000000000100011000100110010001101100001010010011000000000000000000000000000
|
||||
00000000010001101001100100110000000000010011100000000000000000000000000000000010010010011110001111010000100011010000100110000100
|
||||
10001111001000010000111001010000000100110000100011011010001001001111100010100101001100011010001010011100000000000000000000000000
|
||||
00000100110001100011000000000000001001000011010001000000000000000000000000000000000000010101010101110011101101010010011000101001
|
||||
00000111010000001001101000101001100000000001000111001111001000100101010000001001000100101000000000000000000000000000000001001001
|
||||
10100010001001111010100000000000000000000000000000000000000000011111011101010110101000101000011111111101111110000011110011011110
|
||||
00100101111001100001100000011000000111111111011000010011110111111111111110111100100101101111111111111111011010010011110111111111
|
||||
11111011110010010110111111111111111101010010000000000000000000000000000000000001001100000000000000000000000000000000000000000001
|
||||
11111111011110011011001001110011001111101111110001111111111101010001001001111001100101000100011110101010101001001100001100000000
|
||||
11001111101100001101111011110011001111011110110000110111110011001111101100001101111011110011001111011110110000110111110011001111
|
||||
10100011000000000000000000000000000000000000000000000000000000000000000000000000000000011111011111111111010100100101000110111011
|
||||
11000111100111011111100111101010001101111111100011101000001010000000001101111111110000110111101111001100111101111011000011011111
|
||||
00110011111011000011011110111100110011110111101100001101111100110011111110001100000000000000000000000000000000000000000000000000
|
||||
00000000000000000000000000000001111101110110011001001001011100110011011011111111110001111011111110001101110111011000000110011111
|
||||
11110000000111111110011010000011110111111111111110111100000101101111111111111111011010000011110111111111111110111100000101101111
|
||||
11110100000000000000000000000000000000000000000000000000000000000000000000000000000000100000001110000100001011010010011100101101
|
||||
00000000001000001111010011100100100011111100010011011010001000001111010011100100100011111100010011011010001000001111010000000000
|
||||
00000000000000000000000000000110000001111110000000000000000000000000000000000000000000000000000000100000101001101001000000000010
|
||||
10011001010000000000000000000010000000000000000000000000000000000000000000100110010000110011010010100000000000000000000001000010
|
||||
00000000000100001000000000000010010000000000000000000000001001101001010000000000100101100110000000000000000000000011011000000010
|
||||
01101001110000000000000000000000000000000000010001000000000000000000000000000000000000010110010010000000000001001001001000000000
|
||||
00000000000100111000000000101111010000000000000000000000000000010000100000000000010000000000000000000000000000000000000001000110
|
||||
10010000000000000000000000000000000000000000000000000000000000000000110010010000000000000000000000000000000000000000000000000000
|
||||
00000000000000000000000000000000000000000110011110000100001100110000000000000000000000010000110011000000000000000000000000000000
|
||||
00000000000000010011100000000000000100010000000000000000000000110000111101100111100100111001001100000000000000000000000010011000
|
||||
00000000000000000000000000000000000000000000000000000000000000000000000000000001100001111000000001100000011100111010000000000000
|
||||
00000000000000011001001010000000000000000000000000000000000000000000010001000000000000000010000000000000000000000000000001000010
|
||||
10010000000000000000000000000000000000000001101000100011100010000000000010000010001101110100000000000000000000000010010000000000
|
||||
00000000000000000000000000000000011001111001100011000000001000000000000000000000000000011001100010000000000000000000010011001000
|
||||
11000000001001100000000000100111110010100000000000000000000000000000000000010000111000011110000001100111100101111000000000000000
|
||||
00000000000000000000000101001010100100000000000000000000000000000000000000000000000000000000000000100110000011000011110000010011
|
||||
10000000000000000000000000000000000000001000011001110000000100010110001010001001110000000010010000000000000000000000000000000000
|
||||
00000000010010000000000001100111100000000000000100100000000000000000000000000000000000000000000000000000000000000000000000000100
|
||||
11100000000000000000100111010011101000100110000111100000001100111000000000000000000000000000000000000000000000000000000000000000
|
||||
00000000000000000000000000000000000000000001000100010000000000000010010100000000000000000000000000000000000000000000000000000000
|
||||
00000000000000000000000000001001000000001000011001110011001010000000001001001011000010101100000000100111101100000000000010011000
|
||||
00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111100100000000000000000000000
|
||||
00000000000000000100000001000011001000000000000000100101000000000000000000000000000000000000000000000100110000001001101001100000
|
||||
00100100000000000000000000000000000000000000000000000000000010000000000000101011001000100000000000000000000000000000000000000000
|
||||
00000000000000001000110000000000000000000000000000000000000000001010110000100011000000000010000010010100000000000000000000000000
|
||||
00000000000000000000000000000000100101000000000000000000000000000000010001000100000100110000000011000000110010001010010101100010
|
||||
01100000000010010000000000000000000000000000000000000100011000001000110000111110000000100011010010000000000000000000000011010001
|
||||
00000000000000011100010000000000100000010010000000000000000000000000100010011010110000000000001000110100110000000000000000100101
|
||||
01001100000000000010011100001001100000000000000000000000010111100000000011001110000100101000000001000111000100101100000000000000
|
||||
00000000010010100000000000100101000000000000000001000110100101000010010100110110000001001000000000000000000000001000100000000001
|
||||
00010000000000001000101001010010001100000000000000000000000000000001010110001001000000000000000001100100100010010000000000110001
|
||||
10000010001001001110000000000000000000001001010000000000100111100011010011000000000011001010000100110000000000000000000000001001
|
||||
11000000000001011000000000000000000010011010000100000000001011110000000000000000000000000000000000000000000000000000000000000000
|
||||
10000010000000001111000000000000000001111000001000000000000000000001000000100110000100010000000000000000000000000000000000000000
|
||||
00000000000000000000000000000000010010000010001000000000000001001000000000000100100000001001000010001110010110001100000000000000
|
||||
00000000000000000000000000000000000000000000000000010001100010000101000110000100111000010000100101100001000100010110000000000000
|
||||
00000100000010010000000100100000000000000000000000000000000000000000000000000000000000000000100111001000000000010001010010000001
|
||||
00000000000100100000000000001001100000010011010001100000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
00000000000000000000000000000000000010000100100000110000101000000100011100110000000000000000000000000000000000000000000000000000
|
||||
00000000001000011001000001000010100100000000000000000100001100001100100000000000000000001000010010010110011100010000111100000101
|
||||
00011000000000000000000000000000000000000000000000000000000010000110010100000000000010001100000000000000010011100000000000000000
|
||||
10010110010100010010100000000000000000000000000000000000000000000000000000000000000000000000000010011110011110011000000000000000
|
||||
00000000000000000001000111000110000000000000000000000000000000000000000000000000000000000000000000000000001001110000000000100010
|
||||
00000000000010011100000000000000000000000010100101000010000000000000000000000000000000000000000000000000000000000000000000000001
|
||||
00001000000001000011001110000000000101001000000000000000010010100101011000001010111001100000000000000000000000000000000000000000
|
||||
00000000000000000100010000000001001010001001110101100000000001000100000010000000000000110011001000010010010010000000000010010100
|
||||
00000000000000000000000000000000000000000000000000000000000000001001110100100000000000000000001010100010001000000000010011100100
|
||||
10100000000010011000000000000000000000000000000000000000000000000000000000000000000010011000000000000000000000000000000000000000
|
||||
00001000010100110000100011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
00000010001011000101001001011010110100010010011000000000000000000000000000000000000000000000000000000000000000000000100011000100
|
||||
00001000110000000000000000000000000001001000000010010000100111000100001000010000000000000000000000000000000000000000000000000000
|
||||
00000000010101100000000100110001010110000000000000010101000101011000000100101000001001010000100100000000000000000000000000000000
|
||||
00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000100000000000000000000000100001000
|
||||
00000000000000000000000000000000000000000001000010000000000000000000000010000100000000000000000000000110011001000000000000000000
|
||||
00000011001100100000000000000000000000010000100000000000001111110000000000000000000000000000000000000000000000000000000000000000
|
||||
00000000000000000000000000000000000000000000000000000000000000000000000000000000001000000100111110000100110010100000000000100101
|
||||
10000100000000001001011000010000000000000000000000100101100001000000000010010110000100000000000000000000000000000000000000000000
|
||||
00010001111011000000000000001000000100110000000000100000010011000000000000000000000000100110000000000001001100000000000000000000
|
||||
00000000000000000000000000000000000101101111000001010000000000000001001111000010000000000100111100001000000000000000000000000000
|
||||
00000000000000000000000000000000000000000000000000000000001000000100111000000000010000100010010100000001000010001001010000000000
|
||||
00000000000000000000000000000000000000000000000000000000000000000000000000000101101110100000110011000000001010101100011000100000
|
||||
11111110011111100000000000010101011000110001000001111111001111110000000000000000000000001000101001001100011001111010000111101000
|
||||
00000000010001010010011000110011110100001111010000000000000000000000000000000000000000000000000000000110001100111111000011111100
|
||||
00000000001010101100011000100000111111100111111000000000000101010110001100010000011111110011111100000000000000000000000000110001
|
||||
10011111100001111110000000000000011000110011111100001111110000000000000000000000000000000000000000000100111000000000000000001001
|
||||
11000000000000000000000000010101011000110001100011001111000000110011100000000000001010101100011000110001100111100000011001110000
|
||||
00000000000000000000000000000000000000000000000000000000000000000000000000000100001100011100011100111100111010101011000110001100
|
||||
01100111100000011001110101010110001100011000110011110000001100111000000000000010101011000110001100011001111000000110011100000000
|
||||
00000000000000000000000000000000000000000000000000000000000000000101001100110000000000000000000000000000000000000000000000000000
|
||||
00000000000000000000000000100001100110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
00000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000000
|
||||
00000000000000000000100010111000001000000100001000000000001000011001100000010011000000000100010100111000000000000000000000000000
|
||||
00000000000000000000000000000000000000000000001010110000010001010000000000101101000001100101000000001000001000110000000000000100
|
||||
10100101001101001000010010100000000000000000000000000000000000000000000000000000000000000000001000010000000000001000000000000000
|
||||
00000000100011000001001101000011000011001100100001000000000000000000000000000000000000000000000000000000000000000000001000000000
|
||||
01000000101001000000000000000000000000000001000110111000010000100000000001001000000000000000000000000000000000000000000000000000
|
||||
00000000000000000000100110000001011000000100011100110000100010000100011000000011001001000000000001001000000000000010100100000000
|
||||
00000000000000000000000000000000000000000000000000000100001100011000100100110000101110010000000001000110001001000001100100010100
|
||||
11001000111001100001001011001100010000111010000100000000000000000000000000100110000000000000000000000000000000000000000000000010
|
||||
10011100101000000100110000010011000000100110100111000001001110001011001001100110010010000010011010011000001101000001000000001001
|
||||
01000011001010000000010011010011000000000000000000000000000000000000000000000000000000000000010000100001011100100111001000101110
|
||||
00000111000001101001000100101001000001000110001000000001001110110010100100100011001100100101000000000000000000001001001001000000
|
||||
00000000000000000000000000000000000000000000000000011010110000000000100000000000100100000000000100100000000000001100100100001001
|
||||
01101001000001001000000000000000011001111000000000000000000000000000000000000000000000000000000000010010000000000000110011001010
|
||||
01100000110001001010011000000001001100010000110011001001000000000000000000000000011001111000000000000000000000000000000000000000
|
||||
00001100101000000000110010100000100110000001101001010010001000010100110011100010010010100100100111001001100000000000010011000000
|
||||
00010010100000000000011000011110000000000000000000000000000000000000000000000000000100110100000000001011101000010100000010001011
|
||||
10000001100001000010111010000001000010011000101010000000010001100110010010100000000000000000000000000000000000000000000000000000
|
||||
00000000000000000000000000000101001000010000110100111000001101101100101100110110010001010011010000011001000101010011100101000010
|
||||
00010100000010001101010111100000110101001011001010000010000010011110001101101000010001000111100011000000000000000000000000000000
|
||||
01100111100100100000000000000000000000000000000000000000000001000100001001010100110001011010100011100110000010001110100110001000
|
||||
01000001100000110010010110011010000101001100111011000010110101000010010011001100010010000111000010010011000001000100000000000000
|
||||
00000000011001111000000000000000000000000000000000000000000000001001010000010001100001000001000110100011010011010011111001010001
|
||||
10000100110001110100101000101001001101111000111000010100011000010011000011001110010000010000010011110001010011110001101000111001
|
||||
11010010000000000000000000011000011110000000000000000000000000000000000000000000000000000001001010000001001101000011101001010100
|
||||
11110001100001001110010000011000110101000000010000110001011110000001001010000000010000001100100010100001111000000100110010100000
|
||||
01001110000100001100111000000000011001111000000000010011000000000000000000000000000000000000001000000010001010011100100010111010
|
||||
00000010010110011110001010110001001001100001010010011001000111010011010111011000010001010111001001101110000101100100010001010011
|
||||
10000011000110001101100000100100110010100000000000000000000011000011110000000000001000110000000000000000000000000000000000000100
|
||||
01001001111001010100000100001100111000111000011011100000011001111001111100011010100101111010000010001010001110001110001010011110
|
||||
01001110000010101001010010110010100100011101100100101000000010000100000000000000000000000000000000000011001010000000000000000000
|
||||
00000000000000000110000101000100100010000011001001000100100010000110001010001010001110010011000010101000101010011100100001101010
|
||||
10010110000110000110011101010101100101000000101110100110000100111000001100010100000000010001000100110000000000000001010100000000
|
||||
00000000000000000000000000000000000000100010000011001100101001010001011101011101110001000100110100111010000110011100111100101001
|
||||
10001011011000010100011001000011000101000010100011100110100100100010000010101001000010110100001010011100001000000010010000100001
|
||||
10011100000000000010011100000000000000000000000000000000000000000000000100111100101100001100000100110000100011110001100001100100
|
||||
10100100100110001100101001101000010011100000011000111101000101000010000110011000000000100111110000101011010010011001110000000000
|
||||
00010011100000110000111100000000000000000000000000000000000000000000000000010011000110001101010011001001010111000000111010010101
|
||||
00111100000100101010011110010010001100100001110100010010000110010011010000101001000111011010010001010010100100010000011001010000
|
||||
00000000100010000000000000000000000010000110011100000000110010100000000000000000000000000000000000011000100101000010100100100000
|
||||
10000110011010010100110010001010010011100001000110000100110010010010110011010010110011000010010111110000011000111001000100010110
|
||||
00001101001010001000000001000000011001000011000011001000000000010011000001001000000000000000000000000000000000000000000000000000
|
||||
00000000000100111001000001010011000010010101110000110111110011001000011100000110111000001010001101001100110000101010010010011100
|
||||
00101011111010000000000001000111001110101100000000010011101001000000000000110000111100000000010010101001100000000000000000000000
|
||||
00000000000000000110011100000001000111101000100010000000100100110100000110011110001011110000000010100110000110011001001011000101
|
||||
00110100010010011010000101001011110000100010011110000001000001001010000110000011011010010011001100000000000000000000000000000100
|
||||
01101001010000000000000000000000000000000000000000000001001000100001001001011110001000100010011000110001110100000010011101001000
|
||||
10011101100001001110010000111101100001001001100011000100011100001100000000000001110100010000000000000000000000000000000000000100
|
||||
01101010100000000000000000000000000000000000000000000101111100101000000100100111000000110001110010110111110000111010001001100011
|
||||
01000001000001000100101001010010101110000100001000100100001100101100101010001001110000001100001000101010001010110000000000000000
|
||||
00100100001001100000000000000000000000000000000000000010000010011001000110000010001000001000101010110100111000001011110001001111
|
||||
10010001010011110110110011001010111000011000100000010011100100010100110101100100011000000000000000000000000000000000000000000000
|
||||
00000000000000000000000011001111110001010000101000001100110011101000010100011110111010101000111110101001100110011111111000010011
|
||||
01111110111101000011101111111111111001010100011001100110111011101111010011011001100000111101010010011011101110111111110101100001
|
||||
10100011111011101001100000000000000000000000000000000000000000000000000000000000000000000000000100100101100000111111100011011100
|
||||
00111111111000101101111101111110010011101111111111011101100011110010111111101010101001001010001111000011010100011111110111001001
|
||||
11010111010100011000011001101010101101000100100111011101111010011011000110000111101010011000011001011101110100000101100111001100
|
||||
11110001000100011000000000000000000000000000000000000000000000000000000000000000000000001011110001111111010110110000010100000101
|
||||
00011111011111001011010001111111011100011111110011110000010010101100110011110000110011011111111010001001011111100100101000100010
|
||||
10101011111011111011001010001101001101100011000011000010011100001100110010011111111001001011001110111011111101010010001100000000
|
||||
00000000000000000000000000000000000000000000000000000000000000001110011111000101000011111101110011111101111010000111111101110100
|
||||
01001101111110111111111111001111111011111100110010011010100011111111100111110010011111111010111111101010100010000111011111111101
|
||||
00110110011000001111111001100110110010011111111001001000011110111011111101010010011000000000000000000000000000000000000000000000
|
||||
00000000000000000000000000001100001100111100000000100000010001111110000000010111000100110001011011001001000111111000000001011011
|
||||
00100100011111100010000100100000001100010011100100100011111100010000100100000000000000000000000000000000000000000000000000000000
|
||||
00000000000000010000000001000100100101000001001010010001100000000100110000000100100010010001000010000000000000000000000000010010
|
||||
10000000000000000010000100000000000000000000000000000000000000000010001010010000011001010101101000100100100100101010100111001000
|
||||
10100110100101000001001010000100000000000110010010000000001001100000000000000000010010000010011000000000000000000000000000000000
|
||||
00000000000010001000001001011001101001000001000111001100001001101000111001100000001000110000100011010010100011000010011001100011
|
||||
11000000000000000000000000000100110000000000000000010010000000000000000000000000000000000000000001000001000011001100001011101000
|
||||
01010001100100000010010100000100101000011000010100001001100100011010011110000110010000001000110000000000000000100100000000000000
|
||||
00000100010000000000000000000000000000000010001101000101001011001000010010000100100000100011100100000100010010110000000100001000
|
||||
00000000101001000010001111110000000000000000000001001100000000000110011110000000000000100000000000000000000000000001000110000000
|
||||
10011001010110000100001110100010100001100101010100110000011001000101001100000000000110010011010011000000010010100000100011000000
|
||||
00000000100001100001100110000100011000000110011110000000000000000000000000000000000000100110000001100101000000100110010011010100
|
||||
10001001111001010010010010011001001100011001010001001100000000100111000000000100011000111100000001000001000110000000000001100101
|
||||
00000000001100001111000000000000000000000000000000000000000000000000010101010000001011110001000101000111110001000000101110100000
|
||||
10011010010000100000110000011010101000000000000000001000011001010100001100100010001110010100000000000000000000000000000000000000
|
||||
00000000000000000000000001001110000011000110101100100010001000011000101000001000011001111001111000101000000110001001010011001000
|
||||
01010000010001110011000100110011100001001001101000111000001001001100001001100000111001100000100100110100100110010010010100011000
|
||||
11001110100001011000100101001110000000000000000000000000011001111001001000000000000000000000000000000000000000100010000000011000
|
||||
01100000100000100100001011010010111010001010010111100010001001000001000100100010100011111001010110000110000010010101001101001101
|
||||
00100010000001001011001101000001001111001101100100010010011010100110011000000000000010000000000010001100011001111000000000000000
|
||||
00000000000000000000000000000000100100100111101001001110000100111000000111011000001000011010010100111001000010110100100100111100
|
||||
00000100111011010101001100001010101111100111010011110010101101100010100111000100011110111000010010110101010001001110000100010010
|
||||
01001110000101011000000000000011000011110000000000000000000000000000000000000000000000011000001101000010000100010110001100111101
|
||||
10000010000111100001001000111110000010101001100110100000010000110000011100110000100000010011010000011000111000110010001010001111
|
||||
00010110100010000010000010000110011010010010010111001100101000011100001001110100010000000000000000000000011001111000000000000000
|
||||
00000000000000000000000000000010011101100011000000110000101001000111000001001110010101011000110001000001110000101100110001100100
|
||||
00111011000101001110100011010001011100001000010010111010001001110000100110000100110000001101100100100010111000000110000110101010
|
||||
01111000010110011100110000110000100000000000000000001100001111000000000000000000000000000000000000000000000000101100000000010001
|
||||
01100100001100011001110000010111000010010010101010100100111010010101110100000010000011001001101001011000110100100110100000110010
|
||||
11010010001000100100100001000110101001100110000000000000000000000000000000000000000000000000000000000000000000010010010010000110
|
||||
01010010100011110000101011010100100001100010010110100101010101010010010011001000010101010010000001101100010001001101001100010000
|
||||
11101000010110010100010000101111000000110101100010000101000011001010100111100100100111000000000000000000000000000000000000000000
|
||||
00000000000000000000000000000100100010001010000000100000010110000100101010001010001000010010010001010001010010000110000101001000
|
||||
10100000100111000110001101010111110001101010011000101100010100100000100110000110000101011000010010000000000000000000000000100111
|
||||
00000000000000000000000000000000000000000010000001001001000010000101110110011001010011010011100010101110011001000011101010010011
|
||||
00000110110100000101110100000100100101100110000101010101010011010010101100100101010011110011101000111101000001100001001000101000
|
||||
11011010000101101100000000000000000000000011000001110000000000000000000000000000000000001001110000000100011000001001101000101000
|
||||
11000100011111001010010011110010001001100100111100100100100100000100011100000110100000101100100010100011010101110001001000001100
|
||||
00101001001111001111001010010000111001000101100011000010011000011010010011000100100011000000000000000000000000000000110001001010
|
||||
01110001001011000011001110000100001100111000000000000000000000000000000110001001000010010100100001010010010000110011010000000100
|
||||
10010000111100010001000100001001011100001010100111000100001110010100000100110010000001010010000100010100011000000000000000000000
|
||||
00001001010001000000000000000000000000000100010000000001001100000100100100011000001000011100001010010111110101000101011100110000
|
||||
01000011101100001111010000011010000101001100010111011100011001010011000110100001100101110000110010010010010001100000110110100100
|
||||
11110011000010001110011011000100101110000001101111100110000000000000000000110000011100000000011000110000111001100000000000000000
|
||||
00000000000000000000000100101000110110000000011000010101100100001100111100110010101001101000010100111100011001010110000100010101
|
||||
00111100110000001000110100100001000001001000110100001000100010011001010011000011101100010000100111000000000001100010100000000000
|
||||
00000000000000000000000000000000000000000000000110000100100100011001001011000101001010001110000100010010110010100111100000010010
|
||||
11100001001100011010000010111010000011010000111000110001000000000100011110000110011011000000000100010000100001000000000100000000
|
||||
00000000000001001000100110000000000000000000000000000000000000000001001011001100101001011001010101101010100100111101111000101010
|
||||
10000110010001100001001100010100001100101001000011110000001100011100110010010101011111000110010010100000001000110100111000000000
|
||||
00010010000001000000000001111000000100100001000110000000000000000000000000000000000000010110110101010011110011011001010101001110
|
||||
00110010011000100011010001011010000101000100001000101001001100100001100111100000100110010001001010010001100101001100000100110010
|
||||
01000110100000110000011100010000100011010100111001000011011110000000000000000001100000110000000000000000000000000000000000000000
|
||||
00000000000001111001111101000011110111011111110000011111100101101110111011111101101101110111100110110001001111110000001000011101
|
||||
10111111111100001001101010001101111111100001110110111111111111011001101001111111110000010100011111110010110000111110100001011011
|
||||
01101011101000101010000101000101000011111101111010000101000101000000000000000000000010101000000000000000000000000000000000000000
|
||||
00000000000001011011010001101110000101110111001100111000011001010001010001001001010001111110111110000110011000100111111110011101
|
||||
10011011000001111001100100011101000110101111110110011111101101111111101110010100011110100000100100100111111111110110001111000011
|
||||
10111111011110010010110110110110001111111000101101101101100100111111101111111100111000111110111111111101101110010011011111111111
|
||||
11101110001100000000000000000100010000000000000000000000000000000000000000000000000001100011101101000110110000011000111011111001
|
||||
10010001011111111001010000101000111011111111000011001100110011111000101110110011110010011100110011110000110011111010101100001111
|
||||
10110010100010100010001111001010101110101010011101100111110011001100010110011001111110010000101100100101011110011001110001000011
|
||||
00101011111101000101111110111111010101001101111111111111000000000000000000000000000000000000000000000000000000000000000000000110
|
||||
00111011010000110001110111111100000111111111011011101110111111110011001111111001101011011110001011100001111100100111100110011001
|
||||
10111011111111100011111000011101100110111101110010101011001111111110101011011001100111110011011011001100011111001000011111111001
|
||||
11111001001111010101111101110101100101011101000011111110111111110000010100011001100110000000000000000000000000000000000000000000
|
||||
00000000000000000000000000001000000110000110011110000000010110110010001001110011000100111001001000111111000100001100010011100100
|
||||
10001111110001000011000110010010011100101101100100010011100100000001001110010000000100111000000000000000000001011011111000000000
|
||||
00000000000000000000000000000000000001000110001000101001010000000000001010110010001010000010010000010001101000000000000000101011
|
||||
00000000000010000100000001001000000000000000000000000000000000000000000000000000000001001100001000000010000001110000010110100101
|
||||
10000000000000000110100001010011010000101001110001010100001000110100101000110010001011010000101001010000000000000000000000000000
|
||||
00001000011001100000000000000000000000000000000000010011000100000001000001010110000000001001101101000100100111010001100000000010
|
||||
01110000100110001001100100011000010011000100000000000000000000000000000000000000000000000000000000000000000000001000000100100011
|
||||
00000110100001000000000000100000110000011000100110000010001000001000110001011100100101010010000100000100101001001000000000000100
|
||||
10100000000000000000000000000000000000000000000000000100011000001001010001100001001110010100000000100010100111000010001010011100
|
||||
00000000101001100001100101000000000100101001001000100011100111100001000000000000000000000000000000000000000000000000000000000100
|
||||
11000100001100110010010000100001100110000011001100101001100000110010001010011000000010010100000001001000011000010011100001011000
|
||||
01000001000110000010001100000000000000000000000000000000000000000000000000000000000000000000100010000000010010010011000001001000
|
||||
00000100110000010001010100100100111000100111000010001111011000000010011000000000000000000000000000000000000000000000000000000000
|
||||
00000000000010000000110000101000001011100000000000011000110010100101000110010000110000100000000000110000101000000100011111010000
|
||||
01000000000000000000000000000000000000000000000000000000000000000000000100111110101001011100010011000011110001101001100001010100
|
||||
10110000110011100110000110010000110011110010100010000011001010011001111000111010111001011000001000010011101000101110000010110001
|
||||
10101100010010110011000111010110001001001110000010100011100110100110101001100010100000110110000110000111010000101001111000110101
|
||||
11010001011000100101011110000000000000000000000000000000000000000000000000000000100000000000101111010010010110000001000101001010
|
||||
10001100111001010011000010011001111011010010011010010101001101001011000010100101100110101100010000010011110011110011001100101000
|
||||
01001001100000110010000010010110110010001000011001001100100011110100001011100100100000000000000000000000000000000000000000000000
|
||||
00000000000000000110010000111000010101010010010000010001111000110011110001000100110011010001011001010000011000010011000111001010
|
||||
10001111100000111101001010100011001001100101110110100010010000100100000111010000011000010010001001111000111001011100010010000110
|
||||
00101000010011100000000000000000000000000000000000000000000000000000000000000001001010100010100101000100110110001001001000110010
|
||||
10011000110000101101010000111101000000100011101110010010111100110000100101100010100010100001100110100110101111100001101011010001
|
||||
10100100100010100001110010010001001111110100011001100001010100111100110000000000000000000000000000000000000000000000000000100011
|
||||
00000000000000011000100101000101001111000101001001100100101100010100011100111001001111000011101100000001100001010010011011100010
|
||||
00001001001001011010100010000110000011001100011110001010101010010001101011101000011100100001011100001001000011010111000001001110
|
||||
00101110111000001000000000000000000000000000000000000000000000000010011000000000000011010110000100011001010101011000011010000011
|
||||
00110001000011001000100000100011001000011000010100111100100110110000011000010101101010010001011111100101100100011000100110100110
|
||||
11100010001000101001110010000011011000001010101100100100000111100100000000000000000000000000000000000000000000000000000000000000
|
||||
00000001101001011011000110001001000001001011000111011101110001010000010011111001000101001100100000100000110100111001000111000111
|
||||
00011110010000100001110000010100011110010100010011010001101000111100010100110100001011101000101000111000111110000100010010110011
|
||||
10010001010000111100001011110100000000000000000000000000000000000000000000000000000000000000000100101010011010000000111010000101
|
||||
10100001010011000001100001001100010100111001001100010001010011010011110011110001010000000011001010001110000001100000100101100110
|
||||
11100010101110000100100001101010010011010010111101010011100001010110000011010010110011100100011100010000000000000000000000000000
|
||||
00000000000000000000000000000000000000010010010011110001011000100100010010110001010100110000010011010011101101110000110001100001
|
||||
11000100010011101001101000111101010001100000110100001101100101000010000110010100110000100101000110100000100101011000010101101100
|
||||
00001101001010010001010111010000010010010000110001010000010010010011000000000000000000000000000000000000000000000000000000000000
|
||||
00000010000110010101001100000001000101001010010001010000110011001000011001011100010010100110100100111000010010010010010101100111
|
||||
01001001110011001001001101000010110010000111100101001001100100111100100101110110100010010001110010101000100100110000101001110000
|
||||
10011110010100000000000000000000000000000000000000000000000000000000000000000010000110010001000111001000001000001101000110100101
|
||||
11000100101000010100000100100011100000100111000000111010000011000001000001100110010010101110001011100001101000011001011010010100
|
||||
00110001000110001001011000101000100101010110001001000011010001001001000100100000000000000000000000000000000000000000000000000000
|
||||
00000000000000000100100100000001110001000100111010101110011101001101000111000100100100010101010001111000110000100111100111100110
|
||||
10100101101111000100110001011001110000001110011000111100100010001100000110101110111000000110011010011101110000001110100010110011
|
||||
10100011100010110000011001010101001110000000000000000000000000000000000000000000000000000000000010101011000010101110000010100110
|
||||
11001010000110001001011000010010011100000011011001100010010011001000100100000111010000011100011001100000110100000111000010100010
|
||||
00101011101001000100010010011010000101001100101101110100101011000100101101110000110100000100110100001010000100100000011000100101
|
||||
00110100110100111000000000000000000000000000000000000000000000000000000000000011010000111001000100100100010000100101100101110000
|
||||
10100001100100001100001010001110010110001111001000010010010000100111100000100101100111001101101000100011000001001001001011000100
|
||||
10010101100011000110110100010011000010001101100000110000000000000000000000000000000000000000000000000000000000000000001001001001
|
||||
00011001000011001111001010101011100000110100011011011001001100001110100111101111111000010010011010010101001000010001110110010011
|
||||
01001011000100110100010101001100001001101000110100100010110110000101001101011110010000010000110010000011000101011000100100111000
|
||||
00000000000000000000000000000000000000000000000000000000010001010111011100010000000100000100111001000001100001001110000111010011
|
||||
10110101001010101111011000101110000101100100100100001001101100101010100011010010010010010001011000010010000100010110010101000001
|
||||
00010100011101111010010011001010000111000000111100110000000000000000000000000000000000000000000000000000000000000000000111100110
|
||||
10010100011011111111001101111110000110000110001011101110001000011111101011110001000011001111111111111011010101111111111000111111
|
||||
01101111100110100110110000011111101010100111111011010110101110101000100111011010001001100111111011011101111010000111111111011010
|
||||
00100011011111111111111101100001111111001110100001111111100101000011111011111010000000000000000000000000000000000000000000000000
|
||||
00000000000000100010111101110001001001110111111110111011111000011101111110001010001010010011100010001100001100011110011001011001
|
||||
10011111111111110111100001100110101010101001001010001101100110100011110000111111000011111011001010001100000110100011111010100001
|
||||
00100110100011111001111111000111100000111111011111110010011111110001111011101100011101000111100110010010011111101111101111111100
|
||||
01110100011111100001001001010001111111011100011000000000000000000000000000000000000000000000000000000000000000100010110100010100
|
||||
11101101001111110111110000111010100011111101111001001111011100111111000010001001111001100100101110011001111100110011000100111010
|
||||
11110110010011011001100111000111101110111110000111110110011111111101100001101011111110111110101000100101111110011011110000000110
|
||||
00001111111101110011011111111110011001100011111110111011101010011001001111110111101111011001101011100111111011111111001101000100
|
||||
00000000000000000000000000000000000000000000000000000000000000011010001000011111100001101110111100110111100011110100010000111110
|
||||
11101110111000001110111011111100000001010001010000111010111110100001100111111111011001110011010100011101010101000011010001111011
|
||||
10101010001111111100011011001101111000000011011101111111100000010100011111100001001101111101110110010000110000111111100111110011
|
||||
00101101010101111010101001010001111011101000000000000000000000000000000000000000000000000000000000000010110110010000001100010011
|
||||
10110001110000010001011011001001000111111000000001011011001000100111001011100100011111100010000100000010001111110000000010000000
|
||||
10011100101110001110000100001000000010011100000000000000000000000000000000000000000000000000000000000000000010010000001001010010
|
||||
01010000000000000101011001000101000110100101000000000000001001000100001000000000000000010001110000000000001001100100001100110000
|
||||
00000000000000000000000000000000001010101001110000000100001100110100011000000000011000010010000111100001011010010110000010000001
|
||||
00101000000100101000000000000000000011001000101010010000010011111011000000010011000000000000000000000000000000000000100110001001
|
||||
10000011001010000010001110001110011110001100010001100000000000010010100100001011000101000100100000000100100100110010001000000000
|
||||
00000000010011000100000100111000001001110100000000000000000000000000000000000000011010101001101000001001000100000010001100010000
|
||||
10100110000100001000000000001000000000110100000100000000000010001010011100000000000000011000110010000100110000000000000000000000
|
||||
00000000000000000000000000000000000010010100000000000010101100000000000000000100001100101001001000000000000000000001000110000000
|
||||
01100111000000000000000000000000000000000000000000001000110000000010000110011010011000000001001110100001110100010000001110000010
|
||||
11010000100100110001000011011100000000000000000000000000000110010001010011000011000011110110011110010011100110100100100000000000
|
||||
00000000000000000000000000000000000100011001001100000000000100111001000100001111001000010000101100010100101111100110000110000110
|
||||
11001010010011000000000000000000001001100001101001111000010110110011101000000000000000000000000000000000000000000010011000000000
|
||||
01010111001001001000001010110100010000100000100011000010000110000110001100110100000110001010011110010001010100000000000000000000
|
||||
00000110001100100001101110000000010000100000100010000000001001100000000000000000000000111000001010011110010001001010010001010001
|
||||
10010010110010011000101001001101000011101010001011100000101110010100110000100110000111011000010100010011000010101000011101000010
|
||||
01000100100011110001100001001010000100011100101100001100111010000101001100010000010010110000100000000000100010010101000000000000
|
||||
01100111000110001100000000111000000100000001000110000000000000001000000000000010001001001110100101011100000101001100001110100000
|
||||
11000110011001111000011000001011110100111010001100100100100110100011001011000100000100000111000010010010001100101000111100100001
|
||||
00111110001100101001001100011000100100001000010001001100000000000010000010000000000110000011011000011110011001111001001110000000
|
||||
00000000000000000000000000000001001000100101000100000100101100101100100001100000110100010101010001101100011100011110010110110000
|
||||
10000100110001001101110001000100100011100110001001110100010110010100000010011110010110010110000000100010001001011001001001110000
|
||||
00000000000000110100111100000000000000000000000000000000000000010010001000110100110010010010000111110000000100111011100000100100
|
||||
11111001001010010010110001111001100101001011001101010101000001001101100010100011100110010100101110010010111000101001100100001000
|
||||
01000011101001001101111100110100100010001010001001000110010000101010101010100000000000000000000001100111100000000000000000000000
|
||||
00000000000000000000000000010011001000101111100000100100100100010011110000010001110001110111110011101110000010110100010011001000
|
||||
10100011100111010000110000011100001001001001001101000011110100000110000100100101010000100001100001000100001000000000000000111000
|
||||
00010100111000110000111100110011100000000000000000000000000000000000000000000000000010011001010111110000001010011110010001000001
|
||||
00111110001001001000111000001111000010100100100111100011100011110100000111010001001110000101100111000100101100110010010010010010
|
||||
01111100011000110000110010011000011001001000100110000000000000001000100001100000111000000010010101010010000010000110011100000000
|
||||
00000000000000000000000000000001100001001010000110011010000101001000110000101001001100000011000010101001100100111100000011100001
|
||||
00101010000100100001100101000011001001000111000100010001100001100011000000000000000010010000001000000000001001110000000000000000
|
||||
00000000000000000000000000000001000111001010100010110000101010011101001111000101011001110000010000100001100011101011100110001000
|
||||
11110001010010000110001110111101000111100100100100001010011001100101000010000010001111101000000011001010011111000000100111000000
|
||||
00000001000010000000000001000101111001000000000000000000000000000000000000000000000100000101001010111000100101010100101001100100
|
||||
01110000010001111100010011001101000011001010110001101011010000101010101001111001010110000100111011001101001100100101000100111100
|
||||
10110000001100001001100011100001000100100010000100000000000000000010001011110000000000000000000000000000000000000000000100000000
|
||||
00010000110010100110000100100000000001101000001111000000110010110000010010110001010111000100011100111011110000001000101001000100
|
||||
00101001100100100001001100100001100011100100000100001111001100000100111100011100101111010000000000000000000000000000000000001000
|
||||
01100111000000000010000110011100000000000001000010000000000000000110001001000100100100001100001100110101010100110010000011001000
|
||||
10100111100100100110001100001010101001100001011110000000100000100000010000000011000110001001101001100010010010011110011110011110
|
||||
00100110001010101001001001110000000000000000000001000100000000000000000000000000000000000000000110000101000100100000010000010011
|
||||
11000001000111100001101011000100101101000100100010100010101110101111010011010001001000001001110101010011010001001000111011110010
|
||||
00110100001100101100100100100011010010101100100101000101011001001100000000000000000000000011111000000010010101001100000000000000
|
||||
00000000000000000011000010011001101000110000010011001110011000100110001010111001011100001100111010000010001001000011000011001011
|
||||
11001000110010101100100100110001001010001110000111000011000110010101001001100001101000010110001001010001100010001110000100000110
|
||||
00100101010010000000000001001010010001001101011000111000000111110000000000100111000010010000000000000000000000000000000000100011
|
||||
10011001001100001101001010110110000000010100101110000010100111100100010001110010010011111100000100001000001001011001110100000001
|
||||
11000010000001000110111000100000100110100101100011100010010011001000011001101000100000000000001001000010010000010011000000000100
|
||||
01101010010000000000000000000000000000000010011100101001000100000010011100110001010011100100101100011000110010010001001111000011
|
||||
00000110100010110010100011000010010010100110010001000101001101000110100000100001010010010011010011001000110000000100100111010000
|
||||
01001110000000000000100100100101000000000000000000000000000000000000000000000001001000110001010001001010001000100010001101001101
|
||||
10001010010000110011010011110101011010000011000101001001101000011100110001001101001010010010001011101000001110001011010010010000
|
||||
01100100100110010000101001000011001001001100001001010011110011001000001101000001000000000000000100101100010011100001001100000110
|
||||
00000000000000000000000000000000000000000000000000110110011010100000000111111000000101000100001110011111111001000100110101111110
|
||||
10000111110111011110100000101000110111111101001101010000111111001110100001000001010000110111011111111100000010100001111111110101
|
||||
00001010000011110111011111110000001011000000000000000000000100110000000000000000000000000000000000000000000000000100100111110111
|
||||
01100110011000100100110010001010111111000011001111111110111010000010010011000110011100101111100011110100110011111111011001001111
|
||||
10111010100010001111011111111010001001001100001001111111110110001111001100111111111110001101111111100011110111011111000011111011
|
||||
00111000100011110111011001011010001110111011100100111011111100101000111100110000110011100110001100000000000000000000000000000000
|
||||
00000000000000000000000000000000001110101010110011001110001100010100011110100001000100010010001011110101000101000010100011111100
|
||||
00100010011001100110110010010011100010001000101010000100100111111010011101110111000101111111110101000100101111110111110110110001
|
||||
11010001110101010011111100010011110111011110101010001001000000000000000000000000011110000000000000000000000000000000000000000000
|
||||
00011011001101010001010100001110011001111101110101100000111100111100001100000111001100001110111111110111111101111111000111101000
|
||||
00110011001111100010000111001010011100010000111111111010011110000111111100001110011001011111111100011110011101111111100100110111
|
||||
11011011111001100010100000101000111100111100000000000000000000000000000000000000000000000000000000000000000000000000000000101101
|
||||
10010001110000100001000000010011100101101100100010011100100001001001110010110110110010001010011000100000001001110010000000100111
|
||||
00000000000000000000101101111100000000000100110010000101000010000000000000000000000000000000000000000000100100000000100011000100
|
||||
10100000000000011001100010000000000000000100001000000000000000110010010001001110000000000100111001100001010100110000000000000000
|
||||
00000100011000000000000000000000000000001001100100001110100100100000000001000011001100110001001000100001100110000000000000000000
|
||||
00000000001000011101000010000000001101110000010011011010001000000000000000000000000000000000000000110010100000001001001001100000
|
||||
00000010001110011000010011010001010011100010011001100100100000100110000000000000000000000000000001001100010000010011100000100111
|
||||
10000010000000000000000000000000010010100000000000000000000010011000000000000010010000100000000001000000001001110100000000000000
|
||||
00001000000000000000000001100100101110000101000010011000001001011000010000000000000000000000000000000000000000110001100000000100
|
||||
10100001001011000110001011111100111000000101011000000100000000001000010000000000000000000000000001100100100000000110011110000100
|
||||
01100000000000000000000100011000000100011000000000010001110011001000011001101001110001000011001100010011000000001000011001100000
|
||||
10000110011010000101001110100001100110010011000000010011000001001100000000000000010000110011000011000011110000000110011110010011
|
||||
10100110100110000000000000000000010010100000000000000000010010100010001110011010100100000000100110011001000011001100001001001001
|
||||
10000100110100010000010011010000010010010001100000100100000010010000000000000000010011000011010011110000010000110011110000010000
|
||||
00000000000000000000000000001001010000000000100110000001001001000110000100011001000001000111001101000011001000001001011001000010
|
||||
00000011100001000010000000010011000000000000000010110100000000011001001010000110111000000100001000000000000001000000000000000000
|
||||
00000100111000000000010001010001000001110110000001001100100011010001010000110100110111100010000100000010101100000000000000000000
|
||||
00000000000000000000000001100111100110001100000000100000000000000000000000000000010001000000000001000011000001100100010111000001
|
||||
01010010100011001001110100000111000100001101100000100011000010011010001100000010001000000100010100000000000000001001100000000000
|
||||
01000001000010000110000011011000011110000110011110010011101000011001110000000000000000000000000000000000000001000100100011110100
|
||||
01001001110010110001000100001001111000110110100001000010001110000100000000000000000000000000000000000000000000110100111100000000
|
||||
00000000100010000000000000000000000000000000110010000111000001101001010001000001000011000110001000001001101100010011100101000100
|
||||
01100000001001110000010011100000000000100011000000000000100010000000000001100111100000000000000000000000000000000000000000000010
|
||||
00011001111001011000011001100011000100100010010001001110011011000001001100001000111001111101000001000000000000000000000000000000
|
||||
00000000000001100001111000110011100000000100111000000000000000000010001100000000000000001001010110000011010111110001100100000110
|
||||
01001101001100000110010010110000011000111000001101000001010010000000000000000000000000000000000000000000011000001110000000100101
|
||||
10011100000000000000000000000000000000000000000100100010010110011000010010100100111000110000100111000110001001100100000100110110
|
||||
01000101110000100100001001010010001001110100010100111001010010000000000000000000000000000010000000000000000100100000100010000000
|
||||
00000000000000100110000000000000000000101011101011111010000000101100110010100110011000100101010010110000001111010000100001100011
|
||||
10001110000110110100101011001000010000010110100000000000000000000000000000000000001111101000010010000000000000000000000000000000
|
||||
00000010010000010000100000010010011000101001000101000011000000010001011000010101000011010100001000001110000100010010000100010010
|
||||
01110100000010001001001110000000000000000000000000010010010011100111000010011110000000000000100101000000000000000000000000000000
|
||||
00000100101000000111001011011100100101010010001000011001101000100010000000010000110010101110101000101110101010011101000000100110
|
||||
01000110001001100110000110000000000000100001100111000000000001001100110010010000000000000000000011001010000100001100111000000000
|
||||
00000000000000000000000000100101110100000110011100111010000110010101000010010001110010110011110011100100010100000100101111000010
|
||||
00001000110100110100111100010010001101001101010010000000000000000000000010010000000000000100000000000000000101100000000000000000
|
||||
00000000000000010011010001010101110001010010100001100001110010011101001011100101010110011101010010110000010011001100101000100000
|
||||
10010011110000001000111110000101100100011001000100001001000100101100111000000000000000000000000000010001000000000011110000000000
|
||||
11010000010000001001000000000000000101001000000000001000100001000111001011001011010011000011001101000011011001010110000010010111
|
||||
00111010100001100111010011101011001011100000100010010011101000001010011000100100111000000001000000000000000000000001011000010000
|
||||
01111000000000000010011101000111100011000010000100000000000000000000000010011100000001000111001110011110000110010000111100011001
|
||||
00011000110010011011010000100100101001001110100011000100010010001110000010010101001100110000110010000000100110000000000000000000
|
||||
00000000000100010010011001001100000010000111100001000110001100000000010010100000000000000000000000001001100010000100010011010011
|
||||
00100000010011100010010001000101000100100111000100011010011001101010100100011100011010011001100010100100010111000100001000101111
|
||||
00100000000001000010000000000000010001000100110001100011000000000010010001001101001100100111000000000000000000000001000100000000
|
||||
01101001100100111000100110100011101011100000111010000001001101000101110101001101010100001100111010001110000011011100001000110100
|
||||
11000110000101010000110011110000111001001011001000100101100111001001000100101000000000000000000000001000000010010010001001001111
|
||||
10000011000000000000000000000000000000000000000000000000000001010001111111011011111111001111101111011100010001111111010010100011
|
||||
11011101010100011111101110110000110111110011000111000001110101101101100110111111111011000010011110111111111111110111100100101101
|
||||
11111111111111101101001001111011111111111111011110010010110111111111111111101010010000000000000000000000000000001001100000000000
|
||||
00000000000000000000000000000000000001111111110101000100100111001100010100010001101111111010100100111001100111110111011000111111
|
||||
11101101001001100001111110100010010001111100010001011011001000110011111011000011011110111100110011110111101100001101111100110011
|
||||
11101100001101111011110011001111011110110000110111110011001111101000110000000000000000000000000000000000000000000000000000000000
|
||||
00000000000000000000010001111110111110110110111011011111111000101100001100111111110001110011001111111100101010001100110011100100
|
||||
11011001101010000110101010111111101010101000110111111111000011011110111100110011110111101100001101111100110011111011000011011110
|
||||
11110011001111011110110000110111110011001111111000110000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
00000110000101111010111110110000110011011011110110000110011111111000101000111111100101100110011110011011101111111110111011111101
|
||||
00110110101111101111011111111111110011010000011110111111111111110111100000101101111111111111111011010000011110111111111111110111
|
||||
10000010110111111110100000000000000000000000000000000000000000000000000000000000000000000000000000001011011001000100111001011011
|
||||
00100010011100100000010001111110001000010110110010001001110100000111101001110010010001111110001001101101000100000111101001110010
|
||||
01000111111000100110110100010000011110100000000000000000000000000000000010110111110000000000010000000000000000000000000000000000
|
||||
00000001000001010011010010000000001001001010011001010000000000000000000010000000000000100111000000000000000000000000000000100110
|
||||
01000011001100000000000000000000000010000100000000000010000100000000000001001000000000000000000000000100110100101000000000010010
|
||||
11001100000000000000000000000000001101100000001101000100000000000000000000000000000000000000000000000000100010000000000000000000
|
||||
00000010111110010000001000010000010101010010000000000000000000010011100000101111010000000000000000000000000000010000100000000000
|
||||
00000000000001101000001000000000000000000000010001110000100000000000010010000000000000000000000000000000000000000000000000001100
|
||||
10010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100111100001000011001100000000
|
||||
00000000000000010000110011000000000000000000000000000000000000000000000000000000000000100010000000000000000000000110000111100000
|
||||
01100111100100111001001100000000000000000000000010011000000000000010010000000000000000000000000000000000000000000000000000000000
|
||||
00000000000011000011110001011011001110100000000000000000000000000001100100101000000000000100010111010000000000000000000000000000
|
||||
00000000000000000000000100000000000000000000000000000000010000100000000000000000000000000000000000000010001101001100000000100100
|
||||
10001110011001001110000000000000000000100100000000000000000000000000000000000000011001111001100011000000001000000000000000000000
|
||||
00100001000010000000000000100101010010000000001000010100011010010100000000000000000001001111100101000000000000000000000000000000
|
||||
00000010000111000011110001100111100101111000000000000000000000000000000000000000000000000010010111010001000101010000000000000000
|
||||
00000000000000000000000000000000000100110000011000011110000000000000000000000000000100001000000000000011001001000101111000000011
|
||||
10000010000010110000000000000000000000000000000000000000000000000001001000000000011001111000000000000000000000000000000000000000
|
||||
00000000000000000000000000000000000000000000000010011100000000000000000100111010011101000100110000111100001100111000000000000000
|
||||
00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000100010000000000000010010100000000
|
||||
00000000000000000000000000000000000000000000000000000000000000000000000000001001000000001000011001110011001010000000001001001010
|
||||
10001010110000000010011100000000000000000000000000000000000000000000000001011000000000000000000000000000000000000000000000000000
|
||||
00000000000000000011110010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
01001010100010000100110100110000001001000000000000000000000000000000000000000000000000000000000000100010000100001100110000000100
|
||||
01000000000000000000000000000000010111100000000000000000000000000000000000000000000000000000000000010101100000000010000000000000
|
||||
00010000000000000000000000000000000000100001000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
00000000000000000000000000000000000100111001000110000000001111100000001000110100110000000000000000000000110010100000000000000001
|
||||
00110000000010000001000110101010000000000000000000000100011011010110000000000001000110100110000000000000000001001100000000100111
|
||||
00000000000000000000000000001001110000000001101100100011000110000000000000001000110000000000000000000000000000000010010100000000
|
||||
00000000010001101001010000100101000010001100000000000000000000000100100000000010001000000000000100010010011000000000000000000000
|
||||
00000000000000000100100000000000000000100100010111100000001100011000001100111000011101000000000000000000000000001100010100000000
|
||||
00001001111000100100111000000000110100010001110100000100110000000000000000000001001000100111000000000001010100000000000000001010
|
||||
01001000010000000010111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
00000000000000000000000000000000000000000000000000100001000000000000000000000001000010000000000000000000000000000000000000000000
|
||||
00010000100000000000000000000000100001000000000000000000000001100110010000000000000000000000001100110010000000000000000000000001
|
||||
00001000000000000011111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
00000000000000000000000000000000000000000010000001001111100001001100101000000000001001011000010000000000100101100001000000000000
|
||||
00000000001001011000010000000000100101100001000000000000000000000000000000000000000000000001000111101100000000000000100000010011
|
||||
00000000001000000100110000000000000000000000001001100000000000010011000000000000000000000000000000000000000000000000000000010111
|
||||
01110000010100000000000000010011110000100000000001001111000010000000000000000000000000000000000000000000000000000000000000000000
|
||||
00000000000000000010000001001110000000000100001000100101000000010000100010010100000000000000000000000000000000000000000000000000
|
||||
00000000000000000000000000000000000001011101101000001100110000000011000001101100011000100000111111100111111000000000000110000011
|
||||
01100011000100000111111100111111000000000000000000000000100010100100110001100111101000011110100000000000010001010010011000110011
|
||||
11010000111101000000000000000000000000000000000000000000000000000000011000110011111100001111110000000000001100000110110001100010
|
||||
00001111111001111110000000000001100000110110001100010000011111110011111100000000000000000000000000110001100111111000011111100000
|
||||
00000000011000110011111100001111110000000000000000000000000000000000000000000100111000000000000000001001110000000000000000000000
|
||||
00011000001101100011000110001100111100000011001110000000000000110000011011000110001100011001111000000110011100000000000000000000
|
||||
00000000000000000000000000000000000000000000000000000000000001000011000111000111001111001110110000011011000110001100011001111000
|
||||
00011001110110000011011000110001100011001111000000110011100000000000001100000110110001100011000110011110000001100111000000000000
|
||||
00000000000000000000000000000000000000000000000000000000000000000000000000000000010001100000000000000000000000000000000000000000
|
||||
00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
00000000000000000000000000000000000000000000000000000000100000100011000000000000000000000000000000000000000000000000000000000000
|
||||
00000000000000000000000000000000000000000000000000000000100001100011000000000000000000000000000000001001010000000000000001110001
|
||||
01000000111010011010011011111111111111111111111111111111111111111111111111111111111111111100001010000000000000000000000000000000
|
||||
01000000010010110000000111111111111111111111111111111111111111111111111111111111111111111100001010000000000000000000000000000000
|
||||
00000000000000000000000000101010101001110010001000000000000000000000000001000000000000000000000000000000111111111111111111111111
|
||||
11111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111101011110000000000000000000000000
|
||||
11111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
|
||||
*
|
||||
NOTE END CONFIG DATA*
|
||||
L53120
|
||||
L52352
|
||||
00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
@ -1432,10 +1432,10 @@ L171648
|
||||
00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
*
|
||||
CAE1F*
|
||||
C863D*
|
||||
NOTE FEATURE_ROW*
|
||||
E0000000000000000000000000000000000000000000000000000000000000000
|
||||
0000010001100000*
|
||||
NOTE User Electronic Signature Data*
|
||||
UH00000000*
|
||||
571D
|
||||
557C
|
||||
|
@ -9,25 +9,26 @@ Command line: map -a MachXO2 -p LCMXO2-640HC -t TQFP100 -s 4 -oc Commercial
|
||||
RAM2E_LCMXO2_640HC_impl1.ngd -o RAM2E_LCMXO2_640HC_impl1_map.ncd -pr
|
||||
RAM2E_LCMXO2_640HC_impl1.prf -mp RAM2E_LCMXO2_640HC_impl1.mrp -lpf //Mac/iC
|
||||
loud/Repos/RAM2E/CPLD/LCMXO2-640HC/impl1/RAM2E_LCMXO2_640HC_impl1_synplify.
|
||||
lpf -lpf //Mac/iCloud/Repos/RAM2E/CPLD/RAM2E-LCMXO2.lpf -c 0 -gui
|
||||
lpf -lpf //Mac/iCloud/Repos/RAM2E/CPLD/RAM2E-LCMXO2.lpf -c 0 -gui -msgset
|
||||
//Mac/iCloud/Repos/RAM2E/CPLD/LCMXO2-640HC/promote.xml
|
||||
Target Vendor: LATTICE
|
||||
Target Device: LCMXO2-640HCTQFP100
|
||||
Target Performance: 4
|
||||
Mapper: xo2c00, version: Diamond (64-bit) 3.11.3.469
|
||||
Mapped on: 06/07/24 20:50:09
|
||||
Mapped on: 07/12/24 16:08:05
|
||||
|
||||
Design Summary
|
||||
--------------
|
||||
|
||||
Number of registers: 125 out of 877 (14%)
|
||||
PFU registers: 103 out of 640 (16%)
|
||||
Number of registers: 124 out of 877 (14%)
|
||||
PFU registers: 102 out of 640 (16%)
|
||||
PIO registers: 22 out of 237 (9%)
|
||||
Number of SLICEs: 148 out of 320 (46%)
|
||||
SLICEs as Logic/ROM: 148 out of 320 (46%)
|
||||
Number of SLICEs: 145 out of 320 (45%)
|
||||
SLICEs as Logic/ROM: 145 out of 320 (45%)
|
||||
SLICEs as RAM: 0 out of 240 (0%)
|
||||
SLICEs as Carry: 9 out of 320 (3%)
|
||||
Number of LUT4s: 295 out of 640 (46%)
|
||||
Number used as logic LUTs: 277
|
||||
Number of LUT4s: 288 out of 640 (45%)
|
||||
Number used as logic LUTs: 270
|
||||
Number used as distributed RAM: 0
|
||||
Number used as ripple logic: 18
|
||||
Number used as shift registers: 0
|
||||
@ -51,53 +52,54 @@ Design Summary
|
||||
2. Number of logic LUT4s does not include count of distributed RAM and
|
||||
ripple logic.
|
||||
Number of clocks: 2
|
||||
Net C14M_c: 85 loads, 63 rising, 22 falling (Driver: PIO C14M )
|
||||
Net C14M_c: 84 loads, 62 rising, 22 falling (Driver: PIO C14M )
|
||||
Net PHI1_c: 3 loads, 0 rising, 3 falling (Driver: PIO PHI1 )
|
||||
Number of Clock Enables: 13
|
||||
Net N_117_i: 2 loads, 0 LSLICEs
|
||||
Net RWBank14: 11 loads, 11 LSLICEs
|
||||
Net N_347_i: 2 loads, 0 LSLICEs
|
||||
Net RWBank14: 10 loads, 10 LSLICEs
|
||||
Net ram2e_ufm/wb_adr_0_sqmuxa_1_i: 1 loads, 1 LSLICEs
|
||||
Net un9_VOE_0_a2_0_a2: 1 loads, 1 LSLICEs
|
||||
Net un6_DOEEN_0_a2_0_a2: 2 loads, 2 LSLICEs
|
||||
Net ram2e_ufm/un1_wb_adr_0_sqmuxa_2_i[0]: 8 loads, 8 LSLICEs
|
||||
Net ram2e_ufm/N_63: 1 loads, 1 LSLICEs
|
||||
|
||||
Page 1
|
||||
|
||||
|
||||
|
||||
|
||||
Design: RAM2E Date: 06/07/24 20:50:09
|
||||
Design: RAM2E Date: 07/12/24 16:08:05
|
||||
|
||||
Design Summary (cont)
|
||||
---------------------
|
||||
Net ram2e_ufm/un1_wb_cyc_stb_0_sqmuxa_1_i[0]: 1 loads, 1 LSLICEs
|
||||
Net ram2e_ufm/N_111: 1 loads, 1 LSLICEs
|
||||
Net ram2e_ufm/N_104: 4 loads, 4 LSLICEs
|
||||
Net ram2e_ufm/N_98: 1 loads, 1 LSLICEs
|
||||
Net un1_CKE48_0_i: 6 loads, 6 LSLICEs
|
||||
Net N_389_i: 2 loads, 0 LSLICEs
|
||||
Net ram2e_ufm/un1_wb_adr_0_sqmuxa_2_i_0[0]: 8 loads, 8 LSLICEs
|
||||
Net ram2e_ufm/un1_CmdBitbangMXO212_1_i[0]: 1 loads, 1 LSLICEs
|
||||
Net ram2e_ufm/un1_RWMask_0_sqmuxa_1_i_0[0]: 4 loads, 4 LSLICEs
|
||||
Net ram2e_ufm/un1_LEDEN_0_sqmuxa_1_i_0[0]: 1 loads, 1 LSLICEs
|
||||
Net un9_VOE_0_a2: 1 loads, 1 LSLICEs
|
||||
Net un1_CKE48_i: 6 loads, 6 LSLICEs
|
||||
Net N_346_i: 2 loads, 0 LSLICEs
|
||||
Net Vout3: 8 loads, 0 LSLICEs
|
||||
Number of LSRs: 8
|
||||
Net N_148: 2 loads, 2 LSLICEs
|
||||
Net N_430_i: 2 loads, 0 LSLICEs
|
||||
Number of LSRs: 9
|
||||
Net un1_CS_0_sqmuxa_i: 2 loads, 2 LSLICEs
|
||||
Net ram2e_ufm.wb_rst13: 2 loads, 0 LSLICEs
|
||||
Net RC7: 2 loads, 2 LSLICEs
|
||||
Net S[2]: 2 loads, 2 LSLICEs
|
||||
Net S[1]: 1 loads, 1 LSLICEs
|
||||
Net ram2e_ufm/wb_rst: 1 loads, 0 LSLICEs
|
||||
Net ram2e_ufm/nRWE_0_i_o3_RNIP8E61: 1 loads, 1 LSLICEs
|
||||
Net N_530: 1 loads, 0 LSLICEs
|
||||
Net N_301_i: 1 loads, 1 LSLICEs
|
||||
Net ram2e_ufm/wb_rst6_i: 1 loads, 1 LSLICEs
|
||||
Net N_523_1: 1 loads, 0 LSLICEs
|
||||
Net N_727_0: 1 loads, 1 LSLICEs
|
||||
Net RATc_i: 1 loads, 1 LSLICEs
|
||||
Number of nets driven by tri-state buffers: 0
|
||||
Top 10 highest fanout non-clock nets:
|
||||
Net S[2]: 60 loads
|
||||
Net S[3]: 48 loads
|
||||
Net S[1]: 46 loads
|
||||
Net S[0]: 31 loads
|
||||
Net FS[11]: 24 loads
|
||||
Net FS[10]: 23 loads
|
||||
Net FS[12]: 23 loads
|
||||
Net FS[9]: 23 loads
|
||||
Net FS[13]: 21 loads
|
||||
Net FS[8]: 18 loads
|
||||
Net S[2]: 51 loads
|
||||
Net S[1]: 44 loads
|
||||
Net S[3]: 40 loads
|
||||
Net S[0]: 32 loads
|
||||
Net FS[8]: 29 loads
|
||||
Net FS[9]: 26 loads
|
||||
Net FS[10]: 25 loads
|
||||
Net FS[11]: 23 loads
|
||||
Net FS[13]: 20 loads
|
||||
Net ram2e_ufm.wb_rst13: 17 loads
|
||||
|
||||
|
||||
|
||||
@ -121,21 +123,22 @@ WARNING - map: UFM was enabled in EFB: Enabling the configuration interface will
|
||||
WARNING - map: IO buffer missing for top level port nWE80...logic will be
|
||||
discarded.
|
||||
|
||||
IO (PIO) Attributes
|
||||
-------------------
|
||||
|
||||
+---------------------+-----------+-----------+------------+
|
||||
| IO Name | Direction | Levelmode | IO |
|
||||
|
||||
|
||||
|
||||
Page 2
|
||||
|
||||
|
||||
|
||||
|
||||
Design: RAM2E Date: 06/07/24 20:50:09
|
||||
Design: RAM2E Date: 07/12/24 16:08:05
|
||||
|
||||
IO (PIO) Attributes (cont)
|
||||
--------------------------
|
||||
IO (PIO) Attributes
|
||||
-------------------
|
||||
|
||||
+---------------------+-----------+-----------+------------+
|
||||
| IO Name | Direction | Levelmode | IO |
|
||||
| | | IO_TYPE | Register |
|
||||
+---------------------+-----------+-----------+------------+
|
||||
| RD[0] | BIDIR | LVCMOS33 | |
|
||||
@ -189,19 +192,19 @@ IO (PIO) Attributes (cont)
|
||||
| BA[1] | OUTPUT | LVCMOS33 | OUT |
|
||||
+---------------------+-----------+-----------+------------+
|
||||
| BA[0] | OUTPUT | LVCMOS33 | OUT |
|
||||
+---------------------+-----------+-----------+------------+
|
||||
| nRWEout | OUTPUT | LVCMOS33 | OUT |
|
||||
+---------------------+-----------+-----------+------------+
|
||||
|
||||
Page 3
|
||||
|
||||
|
||||
|
||||
|
||||
Design: RAM2E Date: 06/07/24 20:50:09
|
||||
Design: RAM2E Date: 07/12/24 16:08:05
|
||||
|
||||
IO (PIO) Attributes (cont)
|
||||
--------------------------
|
||||
+---------------------+-----------+-----------+------------+
|
||||
| nRWEout | OUTPUT | LVCMOS33 | OUT |
|
||||
+---------------------+-----------+-----------+------------+
|
||||
| nCASout | OUTPUT | LVCMOS33 | OUT |
|
||||
+---------------------+-----------+-----------+------------+
|
||||
| nRASout | OUTPUT | LVCMOS33 | OUT |
|
||||
@ -255,19 +258,19 @@ IO (PIO) Attributes (cont)
|
||||
| Din[4] | INPUT | LVCMOS33 | |
|
||||
+---------------------+-----------+-----------+------------+
|
||||
| Din[3] | INPUT | LVCMOS33 | |
|
||||
+---------------------+-----------+-----------+------------+
|
||||
| Din[2] | INPUT | LVCMOS33 | |
|
||||
+---------------------+-----------+-----------+------------+
|
||||
|
||||
Page 4
|
||||
|
||||
|
||||
|
||||
|
||||
Design: RAM2E Date: 06/07/24 20:50:09
|
||||
Design: RAM2E Date: 07/12/24 16:08:05
|
||||
|
||||
IO (PIO) Attributes (cont)
|
||||
--------------------------
|
||||
+---------------------+-----------+-----------+------------+
|
||||
| Din[2] | INPUT | LVCMOS33 | |
|
||||
+---------------------+-----------+-----------+------------+
|
||||
| Din[1] | INPUT | LVCMOS33 | |
|
||||
+---------------------+-----------+-----------+------------+
|
||||
| Din[0] | INPUT | LVCMOS33 | |
|
||||
@ -321,19 +324,19 @@ Signal ram2e_ufm/ufmefb/TCINT undriven or does not drive anything - clipped.
|
||||
Signal ram2e_ufm/ufmefb/SPIIRQO undriven or does not drive anything - clipped.
|
||||
Signal ram2e_ufm/ufmefb/SPICSNEN undriven or does not drive anything - clipped.
|
||||
Signal ram2e_ufm/ufmefb/SPIMCSN7 undriven or does not drive anything - clipped.
|
||||
Signal ram2e_ufm/ufmefb/SPIMCSN6 undriven or does not drive anything - clipped.
|
||||
Signal ram2e_ufm/ufmefb/SPIMCSN5 undriven or does not drive anything - clipped.
|
||||
Signal ram2e_ufm/ufmefb/SPIMCSN4 undriven or does not drive anything - clipped.
|
||||
|
||||
Page 5
|
||||
|
||||
|
||||
|
||||
|
||||
Design: RAM2E Date: 06/07/24 20:50:09
|
||||
Design: RAM2E Date: 07/12/24 16:08:05
|
||||
|
||||
Removed logic (cont)
|
||||
--------------------
|
||||
Signal ram2e_ufm/ufmefb/SPIMCSN6 undriven or does not drive anything - clipped.
|
||||
Signal ram2e_ufm/ufmefb/SPIMCSN5 undriven or does not drive anything - clipped.
|
||||
Signal ram2e_ufm/ufmefb/SPIMCSN4 undriven or does not drive anything - clipped.
|
||||
Signal ram2e_ufm/ufmefb/SPIMCSN3 undriven or does not drive anything - clipped.
|
||||
Signal ram2e_ufm/ufmefb/SPIMCSN2 undriven or does not drive anything - clipped.
|
||||
Signal ram2e_ufm/ufmefb/SPIMCSN1 undriven or does not drive anything - clipped.
|
||||
@ -388,15 +391,13 @@ Block ram2e_ufm/ufmefb/GND was optimized away.
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
Page 6
|
||||
|
||||
|
||||
|
||||
|
||||
Design: RAM2E Date: 06/07/24 20:50:09
|
||||
Design: RAM2E Date: 07/12/24 16:08:05
|
||||
|
||||
|
||||
Embedded Functional Block Connection Summary
|
||||
--------------------------------------------
|
||||
@ -446,7 +447,7 @@ Run Time and Memory Usage
|
||||
-------------------------
|
||||
|
||||
Total CPU Time: 0 secs
|
||||
Total REAL Time: 0 secs
|
||||
Total REAL Time: 3 secs
|
||||
Peak Memory Usage: 59 MB
|
||||
|
||||
|
||||
@ -456,7 +457,6 @@ Run Time and Memory Usage
|
||||
|
||||
|
||||
|
||||
|
||||
Page 7
|
||||
|
||||
|
||||
|
@ -6,7 +6,7 @@ Performance Grade: 4
|
||||
PACKAGE: TQFP100
|
||||
Package Status: Final Version 1.39
|
||||
|
||||
Fri Jun 07 20:50:19 2024
|
||||
Fri Jul 12 16:08:27 2024
|
||||
|
||||
Pinout by Port Name:
|
||||
+-----------+----------+---------------+-------+-----------+-----------+------------------------------------------------------------+
|
||||
@ -283,5 +283,5 @@ Copyright (c) 1995 AT&T Corp. All rights reserved.
|
||||
Copyright (c) 1995-2001 Lucent Technologies Inc. All rights reserved.
|
||||
Copyright (c) 2001 Agere Systems All rights reserved.
|
||||
Copyright (c) 2002-2020 Lattice Semiconductor Corporation, All rights reserved.
|
||||
Fri Jun 07 20:50:23 2024
|
||||
Fri Jul 12 16:08:36 2024
|
||||
|
||||
|
@ -1,5 +1,5 @@
|
||||
SCHEMATIC START ;
|
||||
# map: version Diamond (64-bit) 3.11.3.469 -- WARNING: Map write only section -- Fri Jun 07 20:50:09 2024
|
||||
# map: version Diamond (64-bit) 3.11.3.469 -- WARNING: Map write only section -- Fri Jul 12 16:08:06 2024
|
||||
|
||||
SYSCONFIG SDM_PORT=DISABLE SLAVE_SPI_PORT=DISABLE I2C_PORT=DISABLE MASTER_SPI_PORT=DISABLE COMPRESS_CONFIG=ON CONFIGURATION=CFG MY_ASSP=OFF ONE_TIME_PROGRAM=OFF CONFIG_SECURE=OFF MCCLK_FREQ=2.08 JTAG_PORT=ENABLE ENABLE_TRANSFR=DISABLE SHAREDEBRINIT=DISABLE MUX_CONFIGURATION_PORTS=DISABLE BACKGROUND_RECONFIG=OFF INBUF=ON ;
|
||||
LOCATE COMP "RD[0]" SITE "36" ;
|
||||
|
@ -3,7 +3,7 @@
|
||||
#OS: Windows 8 6.2
|
||||
#Hostname: ZANEMACWIN11
|
||||
|
||||
# Fri Jun 7 20:50:00 2024
|
||||
# Fri Jul 12 16:07:07 2024
|
||||
|
||||
#Implementation: impl1
|
||||
|
||||
@ -52,6 +52,7 @@ Synopsys Verilog Compiler, Version comp2018q2p1, Build 461R, Built Apr 1 2019 0
|
||||
@I::"\\Mac\iCloud\Repos\RAM2E\CPLD\LCMXO2-640HC\REFB.v" (library work)
|
||||
@I::"\\Mac\iCloud\Repos\RAM2E\CPLD\DHGR-ON.v" (library work)
|
||||
Verilog syntax check successful!
|
||||
File \\Mac\iCloud\Repos\RAM2E\CPLD\RAM2E.v changed - recompiling
|
||||
Selecting top level module RAM2E
|
||||
@N: CG364 :"C:\lscc\diamond\3.11_x64\synpbase\lib\lucent\machxo2.v":1120:7:1120:9|Synthesizing module VHI in library work.
|
||||
Running optimization stage 1 on VHI .......
|
||||
@ -77,12 +78,12 @@ Running optimization stage 2 on EFB .......
|
||||
Running optimization stage 2 on VLO .......
|
||||
Running optimization stage 2 on VHI .......
|
||||
|
||||
At c_ver Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 73MB peak: 74MB)
|
||||
At c_ver Exit (Real Time elapsed 0h:00m:04s; CPU Time elapsed 0h:00m:00s; Memory used current: 73MB peak: 74MB)
|
||||
|
||||
Process took 0h:00m:01s realtime, 0h:00m:01s cputime
|
||||
Process took 0h:00m:04s realtime, 0h:00m:01s cputime
|
||||
|
||||
Process completed successfully.
|
||||
# Fri Jun 7 20:50:00 2024
|
||||
# Fri Jul 12 16:07:12 2024
|
||||
|
||||
###########################################################]
|
||||
|
||||
@ -102,13 +103,14 @@ Implementation : impl1
|
||||
Synopsys Synopsys Netlist Linker, Version comp2018q2p1, Build 461R, Built Apr 1 2019 09:17:43
|
||||
|
||||
@N|Running in 64-bit mode
|
||||
File \\Mac\iCloud\Repos\RAM2E\CPLD\LCMXO2-640HC\impl1\synwork\layer0.srs changed - recompiling
|
||||
|
||||
At syn_nfilter Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 67MB peak: 68MB)
|
||||
|
||||
Process took 0h:00m:01s realtime, 0h:00m:01s cputime
|
||||
|
||||
Process completed successfully.
|
||||
# Fri Jun 7 20:50:00 2024
|
||||
# Fri Jul 12 16:07:12 2024
|
||||
|
||||
###########################################################]
|
||||
|
||||
@ -118,12 +120,12 @@ For a summary of runtime and memory usage for all design units, please see file:
|
||||
|
||||
@END
|
||||
|
||||
At c_hdl Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 3MB peak: 4MB)
|
||||
At c_hdl Exit (Real Time elapsed 0h:00m:05s; CPU Time elapsed 0h:00m:00s; Memory used current: 3MB peak: 4MB)
|
||||
|
||||
Process took 0h:00m:01s realtime, 0h:00m:01s cputime
|
||||
Process took 0h:00m:05s realtime, 0h:00m:01s cputime
|
||||
|
||||
Process completed successfully.
|
||||
# Fri Jun 7 20:50:00 2024
|
||||
# Fri Jul 12 16:07:12 2024
|
||||
|
||||
###########################################################]
|
||||
|
||||
@ -143,18 +145,17 @@ Database state : \\Mac\iCloud\Repos\RAM2E\CPLD\LCMXO2-640HC\impl1\synwork\|impl1
|
||||
Synopsys Synopsys Netlist Linker, Version comp2018q2p1, Build 461R, Built Apr 1 2019 09:17:43
|
||||
|
||||
@N|Running in 64-bit mode
|
||||
File \\Mac\iCloud\Repos\RAM2E\CPLD\LCMXO2-640HC\impl1\synwork\RAM2E_LCMXO2_640HC_impl1_comp.srs changed - recompiling
|
||||
|
||||
At syn_nfilter Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 68MB peak: 68MB)
|
||||
|
||||
Process took 0h:00m:01s realtime, 0h:00m:01s cputime
|
||||
|
||||
Process completed successfully.
|
||||
# Fri Jun 7 20:50:02 2024
|
||||
# Fri Jul 12 16:07:15 2024
|
||||
|
||||
###########################################################]
|
||||
Premap Report
|
||||
|
||||
# Fri Jun 7 20:50:02 2024
|
||||
# Fri Jul 12 16:07:17 2024
|
||||
|
||||
|
||||
Copyright (C) 1994-2018 Synopsys, Inc.
|
||||
@ -183,26 +184,26 @@ Printing clock summary report in "\\Mac\iCloud\Repos\RAM2E\CPLD\LCMXO2-640HC\im
|
||||
@N: MF248 |Running in 64-bit mode.
|
||||
@N: MF666 |Clock conversion enabled. (Command "set_option -fix_gated_and_generated_clocks 1" in the project file.)
|
||||
|
||||
Design Input Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 100MB peak: 102MB)
|
||||
Design Input Complete (Real Time elapsed 0h:00m:02s; CPU Time elapsed 0h:00m:00s; Memory used current: 100MB peak: 102MB)
|
||||
|
||||
|
||||
Mapper Initialization Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 100MB peak: 102MB)
|
||||
Mapper Initialization Complete (Real Time elapsed 0h:00m:02s; CPU Time elapsed 0h:00m:00s; Memory used current: 100MB peak: 102MB)
|
||||
|
||||
|
||||
Start loading timing files (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 112MB peak: 113MB)
|
||||
Start loading timing files (Real Time elapsed 0h:00m:02s; CPU Time elapsed 0h:00m:00s; Memory used current: 112MB peak: 113MB)
|
||||
|
||||
|
||||
Finished loading timing files (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 113MB peak: 115MB)
|
||||
Finished loading timing files (Real Time elapsed 0h:00m:02s; CPU Time elapsed 0h:00m:00s; Memory used current: 113MB peak: 115MB)
|
||||
|
||||
@N: MF284 |Setting synthesis effort to medium for the design
|
||||
@W: FX474 |User-specified initial value defined for some sequential elements which can prevent optimum synthesis results from being achieved.
|
||||
@A: FX681 :"\\mac\icloud\repos\ram2e\cpld\ram2e.v":21:4:21:9|Initial value on register S[3:0] is non-zero which can prevent the register from being packed into a block RAM or DSP.
|
||||
@N: MH105 |UMR3 is only supported for HAPS-80.
|
||||
@N: MH105 |UMR3 is only supported for HAPS-80.
|
||||
@N: BN115 :"\\mac\icloud\repos\ram2e\cpld\ram2e.v":140:9:140:12|Removing instance dhgr (in view: work.RAM2E(verilog)) of type view:work.DHGR(verilog) because it does not drive other instances.
|
||||
@N: BN115 :"\\mac\icloud\repos\ram2e\cpld\ram2e.v":136:9:136:12|Removing instance dhgr (in view: work.RAM2E(verilog)) of type view:work.DHGR(verilog) because it does not drive other instances.
|
||||
syn_allowed_resources : blockrams=2 set on top level netlist RAM2E
|
||||
|
||||
Finished netlist restructuring (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 141MB peak: 143MB)
|
||||
Finished netlist restructuring (Real Time elapsed 0h:00m:03s; CPU Time elapsed 0h:00m:00s; Memory used current: 141MB peak: 143MB)
|
||||
|
||||
|
||||
|
||||
@ -212,7 +213,7 @@ Clock Summary
|
||||
Start Requested Requested Clock Clock Clock
|
||||
Level Clock Frequency Period Type Group Load
|
||||
-----------------------------------------------------------------------------------------------
|
||||
0 - C14M 14.3 MHz 69.841 declared default_clkgroup 121
|
||||
0 - C14M 14.3 MHz 69.841 declared default_clkgroup 120
|
||||
|
||||
0 - System 100.0 MHz 10.000 system system_clkgroup 0
|
||||
|
||||
@ -227,7 +228,7 @@ Clock Load Summary
|
||||
Clock Source Clock Pin Non-clock Pin Non-clock Pin
|
||||
Clock Load Pin Seq Example Seq Example Comb Example
|
||||
--------------------------------------------------------------------------------------------
|
||||
C14M 121 C14M(port) RAT.C - un1_C14M.I[0](inv)
|
||||
C14M 120 C14M(port) RAT.C - un1_C14M.I[0](inv)
|
||||
|
||||
System 0 - - - -
|
||||
|
||||
@ -247,14 +248,14 @@ Number of ICG latches not removed: 0
|
||||
|
||||
#### START OF PREMAP CLOCK OPTIMIZATION REPORT #####[
|
||||
|
||||
2 non-gated/non-generated clock tree(s) driving 125 clock pin(s) of sequential element(s)
|
||||
2 non-gated/non-generated clock tree(s) driving 124 clock pin(s) of sequential element(s)
|
||||
0 gated/generated clock tree(s) driving 0 clock pin(s) of sequential element(s)
|
||||
0 instances converted, 0 sequential instances remain driven by gated/generated clocks
|
||||
|
||||
=========================== Non-Gated/Non-Generated Clocks ============================
|
||||
Clock Tree ID Driving Element Drive Element Type Fanout Sample Instance
|
||||
---------------------------------------------------------------------------------------
|
||||
@KP:ckid0_0 C14M port 121 PHI1r
|
||||
@KP:ckid0_0 C14M port 120 PHI1r
|
||||
@KP:ckid0_1 PHI1 Unconstrained_port 4 RC[2:0]
|
||||
=======================================================================================
|
||||
|
||||
@ -264,27 +265,25 @@ Clock Tree ID Driving Element Drive Element Type Fanout Sample I
|
||||
@N: FX1143 |Skipping assigning INTERNAL_VREF to iobanks, because the table of mapping from pin to iobank is not initialized.
|
||||
Finished Pre Mapping Phase.
|
||||
|
||||
Starting constraint checker (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 142MB peak: 143MB)
|
||||
Starting constraint checker (Real Time elapsed 0h:00m:06s; CPU Time elapsed 0h:00m:00s; Memory used current: 142MB peak: 143MB)
|
||||
|
||||
|
||||
Finished constraint checker preprocessing (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 142MB peak: 143MB)
|
||||
Finished constraint checker preprocessing (Real Time elapsed 0h:00m:06s; CPU Time elapsed 0h:00m:00s; Memory used current: 142MB peak: 143MB)
|
||||
|
||||
None
|
||||
None
|
||||
|
||||
Finished constraint checker (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 142MB peak: 143MB)
|
||||
Finished constraint checker (Real Time elapsed 0h:00m:09s; CPU Time elapsed 0h:00m:00s; Memory used current: 142MB peak: 143MB)
|
||||
|
||||
Pre-mapping successful!
|
||||
|
||||
At Mapper Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 58MB peak: 143MB)
|
||||
At Mapper Exit (Real Time elapsed 0h:00m:09s; CPU Time elapsed 0h:00m:00s; Memory used current: 58MB peak: 143MB)
|
||||
|
||||
Process took 0h:00m:01s realtime, 0h:00m:01s cputime
|
||||
# Fri Jun 7 20:50:03 2024
|
||||
Process took 0h:00m:10s realtime, 0h:00m:01s cputime
|
||||
# Fri Jul 12 16:07:28 2024
|
||||
|
||||
###########################################################]
|
||||
Map & Optimize Report
|
||||
|
||||
# Fri Jun 7 20:50:03 2024
|
||||
# Fri Jul 12 16:07:31 2024
|
||||
|
||||
|
||||
Copyright (C) 1994-2018 Synopsys, Inc.
|
||||
@ -324,7 +323,7 @@ Finished loading timing files (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h
|
||||
@N: MF284 |Setting synthesis effort to medium for the design
|
||||
|
||||
|
||||
Starting Optimization and Mapping (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 140MB peak: 142MB)
|
||||
Starting Optimization and Mapping (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:00s; Memory used current: 140MB peak: 142MB)
|
||||
|
||||
|
||||
Available hyper_sources - for debug and ip models
|
||||
@ -333,61 +332,61 @@ Available hyper_sources - for debug and ip models
|
||||
@N: FX493 |Applying initial value "00000000" on instance RWBank[7:0].
|
||||
@N: FX493 |Applying initial value "0000" on instance S[3:0].
|
||||
|
||||
Finished RTL optimizations (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 141MB peak: 142MB)
|
||||
Finished RTL optimizations (Real Time elapsed 0h:00m:02s; CPU Time elapsed 0h:00m:00s; Memory used current: 141MB peak: 142MB)
|
||||
|
||||
@N: MO231 :"\\mac\icloud\repos\ram2e\cpld\ram2e.v":14:23:14:28|Found counter in view:work.RAM2E(verilog) instance FS[15:0]
|
||||
|
||||
Starting factoring (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 142MB peak: 142MB)
|
||||
Starting factoring (Real Time elapsed 0h:00m:03s; CPU Time elapsed 0h:00m:00s; Memory used current: 142MB peak: 142MB)
|
||||
|
||||
|
||||
Finished factoring (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 145MB peak: 145MB)
|
||||
Finished factoring (Real Time elapsed 0h:00m:03s; CPU Time elapsed 0h:00m:00s; Memory used current: 145MB peak: 145MB)
|
||||
|
||||
|
||||
Finished generic timing optimizations - Pass 1 (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 146MB peak: 146MB)
|
||||
Finished generic timing optimizations - Pass 1 (Real Time elapsed 0h:00m:04s; CPU Time elapsed 0h:00m:01s; Memory used current: 146MB peak: 146MB)
|
||||
|
||||
|
||||
Starting Early Timing Optimization (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 146MB peak: 146MB)
|
||||
Starting Early Timing Optimization (Real Time elapsed 0h:00m:04s; CPU Time elapsed 0h:00m:01s; Memory used current: 146MB peak: 146MB)
|
||||
|
||||
|
||||
Finished Early Timing Optimization (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:00s; Memory used current: 146MB peak: 146MB)
|
||||
Finished Early Timing Optimization (Real Time elapsed 0h:00m:05s; CPU Time elapsed 0h:00m:01s; Memory used current: 146MB peak: 146MB)
|
||||
|
||||
|
||||
Finished generic timing optimizations - Pass 2 (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:00s; Memory used current: 146MB peak: 146MB)
|
||||
Finished generic timing optimizations - Pass 2 (Real Time elapsed 0h:00m:05s; CPU Time elapsed 0h:00m:01s; Memory used current: 146MB peak: 146MB)
|
||||
|
||||
|
||||
Finished preparing to map (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 146MB peak: 146MB)
|
||||
Finished preparing to map (Real Time elapsed 0h:00m:05s; CPU Time elapsed 0h:00m:01s; Memory used current: 146MB peak: 147MB)
|
||||
|
||||
|
||||
Finished technology mapping (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 157MB peak: 160MB)
|
||||
Finished technology mapping (Real Time elapsed 0h:00m:06s; CPU Time elapsed 0h:00m:01s; Memory used current: 157MB peak: 160MB)
|
||||
|
||||
Pass CPU time Worst Slack Luts / Registers
|
||||
------------------------------------------------------------
|
||||
1 0h:00m:01s 6.90ns 281 / 125
|
||||
1 0h:00m:01s 6.90ns 280 / 124
|
||||
|
||||
Finished technology timing optimizations and critical path resynthesis (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 157MB peak: 160MB)
|
||||
Finished technology timing optimizations and critical path resynthesis (Real Time elapsed 0h:00m:06s; CPU Time elapsed 0h:00m:01s; Memory used current: 157MB peak: 160MB)
|
||||
|
||||
@N: FX164 |The option to pack registers in the IOB has not been specified. Please set syn_useioff attribute.
|
||||
@A: BN291 :"\\mac\icloud\repos\ram2e\cpld\ram2e.v":171:4:171:9|Boundary register BA_1_.fb (in view: work.RAM2E(verilog)) is packed into a complex cell. To disable register packing, set syn_keep=1 on the net between the register and the complex cell.
|
||||
@A: BN291 :"\\mac\icloud\repos\ram2e\cpld\ram2e.v":171:4:171:9|Boundary register BA_0_.fb (in view: work.RAM2E(verilog)) is packed into a complex cell. To disable register packing, set syn_keep=1 on the net between the register and the complex cell.
|
||||
@A: BN291 :"\\mac\icloud\repos\ram2e\cpld\ram2e.v":168:4:168:9|Boundary register BA_1_.fb (in view: work.RAM2E(verilog)) is packed into a complex cell. To disable register packing, set syn_keep=1 on the net between the register and the complex cell.
|
||||
@A: BN291 :"\\mac\icloud\repos\ram2e\cpld\ram2e.v":168:4:168:9|Boundary register BA_0_.fb (in view: work.RAM2E(verilog)) is packed into a complex cell. To disable register packing, set syn_keep=1 on the net between the register and the complex cell.
|
||||
|
||||
Finished restoring hierarchy (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 158MB peak: 160MB)
|
||||
Finished restoring hierarchy (Real Time elapsed 0h:00m:07s; CPU Time elapsed 0h:00m:02s; Memory used current: 158MB peak: 160MB)
|
||||
|
||||
|
||||
Start Writing Netlists (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 122MB peak: 160MB)
|
||||
Start Writing Netlists (Real Time elapsed 0h:00m:08s; CPU Time elapsed 0h:00m:02s; Memory used current: 122MB peak: 160MB)
|
||||
|
||||
Writing Analyst data base \\Mac\iCloud\Repos\RAM2E\CPLD\LCMXO2-640HC\impl1\synwork\RAM2E_LCMXO2_640HC_impl1_m.srm
|
||||
|
||||
Finished Writing Netlist Databases (Real Time elapsed 0h:00m:02s; CPU Time elapsed 0h:00m:02s; Memory used current: 158MB peak: 160MB)
|
||||
Finished Writing Netlist Databases (Real Time elapsed 0h:00m:10s; CPU Time elapsed 0h:00m:02s; Memory used current: 157MB peak: 160MB)
|
||||
|
||||
Writing EDIF Netlist and constraint files
|
||||
@N: FX1056 |Writing EDF file: \\Mac\iCloud\Repos\RAM2E\CPLD\LCMXO2-640HC\impl1\RAM2E_LCMXO2_640HC_impl1.edi
|
||||
N-2018.03L-SP1-1
|
||||
@N: BW106 |Synplicity Constraint File capacitance units using default value of 1pF
|
||||
|
||||
Finished Writing EDIF Netlist and constraint files (Real Time elapsed 0h:00m:03s; CPU Time elapsed 0h:00m:02s; Memory used current: 162MB peak: 164MB)
|
||||
Finished Writing EDIF Netlist and constraint files (Real Time elapsed 0h:00m:13s; CPU Time elapsed 0h:00m:03s; Memory used current: 161MB peak: 163MB)
|
||||
|
||||
|
||||
Start final timing analysis (Real Time elapsed 0h:00m:03s; CPU Time elapsed 0h:00m:03s; Memory used current: 161MB peak: 164MB)
|
||||
Start final timing analysis (Real Time elapsed 0h:00m:13s; CPU Time elapsed 0h:00m:03s; Memory used current: 160MB peak: 163MB)
|
||||
|
||||
@W: MT246 :"\\mac\icloud\repos\ram2e\cpld\lcmxo2-640hc\refb.v":78:8:78:16|Blackbox EFB is missing a user supplied timing model. This may have a negative effect on timing analysis and optimizations (Quality of Results)
|
||||
@N: MT615 |Found clock C14M with period 69.84ns
|
||||
@ -395,7 +394,7 @@ Start final timing analysis (Real Time elapsed 0h:00m:03s; CPU Time elapsed 0h:0
|
||||
|
||||
|
||||
##### START OF TIMING REPORT #####[
|
||||
# Timing Report written on Fri Jun 7 20:50:06 2024
|
||||
# Timing Report written on Fri Jul 12 16:07:45 2024
|
||||
#
|
||||
|
||||
|
||||
@ -420,9 +419,9 @@ Worst slack in design: 6.897
|
||||
Requested Estimated Requested Estimated Clock Clock
|
||||
Starting Clock Frequency Frequency Period Period Slack Type Group
|
||||
----------------------------------------------------------------------------------------------------------------------
|
||||
C14M 14.3 MHz 112.1 MHz 69.841 8.918 31.599 declared default_clkgroup
|
||||
C14M 14.3 MHz 120.3 MHz 69.841 8.314 31.675 declared default_clkgroup
|
||||
RAM2E|PHI1 100.0 MHz 322.2 MHz 10.000 3.103 6.897 inferred Inferred_clkgroup_0
|
||||
System 100.0 MHz NA 10.000 NA 67.088 system system_clkgroup
|
||||
System 100.0 MHz NA 10.000 NA 66.719 system system_clkgroup
|
||||
======================================================================================================================
|
||||
Estimated period and frequency reported as NA means no slack depends directly on the clock waveform
|
||||
|
||||
@ -437,9 +436,9 @@ Clocks | rise to rise | fall to fall | rise
|
||||
----------------------------------------------------------------------------------------------------------------
|
||||
Starting Ending | constraint slack | constraint slack | constraint slack | constraint slack
|
||||
----------------------------------------------------------------------------------------------------------------
|
||||
System C14M | 69.841 67.088 | No paths - | No paths - | No paths -
|
||||
System C14M | 69.841 66.719 | No paths - | No paths - | No paths -
|
||||
C14M System | 69.841 68.797 | No paths - | No paths - | No paths -
|
||||
C14M C14M | 69.841 60.923 | No paths - | 34.920 31.599 | No paths -
|
||||
C14M C14M | 69.841 61.527 | No paths - | 34.920 31.675 | No paths -
|
||||
RAM2E|PHI1 C14M | No paths - | No paths - | No paths - | Diff grp -
|
||||
RAM2E|PHI1 RAM2E|PHI1 | No paths - | 10.000 6.897 | No paths - | No paths -
|
||||
================================================================================================================
|
||||
@ -468,10 +467,10 @@ Starting Points with Worst Slack
|
||||
Instance Reference Type Pin Net Time Slack
|
||||
Clock
|
||||
---------------------------------------------------------------------------
|
||||
S[2] C14M FD1S3AX Q S[2] 1.366 31.599
|
||||
S[3] C14M FD1S3AX Q S[3] 1.345 31.619
|
||||
S[1] C14M FD1S3AX Q S[1] 1.344 31.800
|
||||
S[0] C14M FD1S3AX Q S[0] 1.305 31.838
|
||||
S[2] C14M FD1S3AX Q S[2] 1.353 31.675
|
||||
S[3] C14M FD1S3AX Q S[3] 1.337 31.691
|
||||
S[0] C14M FD1S3AX Q S[0] 1.319 32.182
|
||||
S[1] C14M FD1S3AX Q S[1] 1.344 32.656
|
||||
RA[0] C14M FD1P3AX Q RA[0] 1.108 33.707
|
||||
RA[3] C14M FD1P3AX Q RA[3] 1.108 33.707
|
||||
RA[1] C14M FD1P3AX Q RA[1] 1.044 33.771
|
||||
@ -484,21 +483,21 @@ RA[5] C14M FD1P3AX Q RA[5] 1.044 33.771
|
||||
Ending Points with Worst Slack
|
||||
******************************
|
||||
|
||||
Starting Required
|
||||
Instance Reference Type Pin Net Time Slack
|
||||
Clock
|
||||
-----------------------------------------------------------------------------------
|
||||
VOE_i_0io C14M OFS1P3IX CD N_530 34.118 31.599
|
||||
VOE_i_0io C14M OFS1P3IX D VOE_ic_i 35.009 31.800
|
||||
Vout_0io[0] C14M OFS1P3DX SP Vout3 34.449 32.634
|
||||
Vout_0io[1] C14M OFS1P3DX SP Vout3 34.449 32.634
|
||||
Vout_0io[2] C14M OFS1P3DX SP Vout3 34.449 32.634
|
||||
Vout_0io[3] C14M OFS1P3DX SP Vout3 34.449 32.634
|
||||
Vout_0io[4] C14M OFS1P3DX SP Vout3 34.449 32.634
|
||||
Vout_0io[5] C14M OFS1P3DX SP Vout3 34.449 32.634
|
||||
Vout_0io[6] C14M OFS1P3DX SP Vout3 34.449 32.634
|
||||
Vout_0io[7] C14M OFS1P3DX SP Vout3 34.449 32.634
|
||||
===================================================================================
|
||||
Starting Required
|
||||
Instance Reference Type Pin Net Time Slack
|
||||
Clock
|
||||
----------------------------------------------------------------------------------
|
||||
VOE_i_0io C14M OFS1P3IX CD N_523_1 34.118 31.675
|
||||
RAT C14M FD1S3IX CD RATc_i 34.118 32.147
|
||||
Vout_0io[0] C14M OFS1P3DX SP Vout3 34.449 32.647
|
||||
Vout_0io[1] C14M OFS1P3DX SP Vout3 34.449 32.647
|
||||
Vout_0io[2] C14M OFS1P3DX SP Vout3 34.449 32.647
|
||||
Vout_0io[3] C14M OFS1P3DX SP Vout3 34.449 32.647
|
||||
Vout_0io[4] C14M OFS1P3DX SP Vout3 34.449 32.647
|
||||
Vout_0io[5] C14M OFS1P3DX SP Vout3 34.449 32.647
|
||||
Vout_0io[6] C14M OFS1P3DX SP Vout3 34.449 32.647
|
||||
Vout_0io[7] C14M OFS1P3DX SP Vout3 34.449 32.647
|
||||
==================================================================================
|
||||
|
||||
|
||||
|
||||
@ -512,9 +511,9 @@ Path information for path number 1:
|
||||
+ Clock delay at ending point: 0.000 (ideal)
|
||||
= Required time: 34.118
|
||||
|
||||
- Propagation time: 2.519
|
||||
- Propagation time: 2.442
|
||||
- Clock delay at starting point: 0.000 (ideal)
|
||||
= Slack (non-critical) : 31.599
|
||||
= Slack (non-critical) : 31.675
|
||||
|
||||
Number of logic level(s): 1
|
||||
Starting point: S[2] / Q
|
||||
@ -522,16 +521,16 @@ Path information for path number 1:
|
||||
The start point is clocked by C14M [rising] on pin CK
|
||||
The end point is clocked by C14M [falling] on pin SCLK
|
||||
|
||||
Instance / Net Pin Pin Arrival No. of
|
||||
Name Type Name Dir Delay Time Fan Out(s)
|
||||
------------------------------------------------------------------------------------------------------------
|
||||
S[2] FD1S3AX Q Out 1.366 1.366 -
|
||||
S[2] Net - - - - 58
|
||||
ram2e_ufm.un1_wb_adr_0_sqmuxa_2_0_a2_0[0] ORCALUT4 A In 0.000 1.366 -
|
||||
ram2e_ufm.un1_wb_adr_0_sqmuxa_2_0_a2_0[0] ORCALUT4 Z Out 1.153 2.519 -
|
||||
N_530 Net - - - - 3
|
||||
VOE_i_0io OFS1P3IX CD In 0.000 2.519 -
|
||||
============================================================================================================
|
||||
Instance / Net Pin Pin Arrival No. of
|
||||
Name Type Name Dir Delay Time Fan Out(s)
|
||||
---------------------------------------------------------------------------------------
|
||||
S[2] FD1S3AX Q Out 1.353 1.353 -
|
||||
S[2] Net - - - - 50
|
||||
ram2e_ufm.VOE_2_0_a2 ORCALUT4 A In 0.000 1.353 -
|
||||
ram2e_ufm.VOE_2_0_a2 ORCALUT4 Z Out 1.089 2.442 -
|
||||
N_523_1 Net - - - - 2
|
||||
VOE_i_0io OFS1P3IX CD In 0.000 2.442 -
|
||||
=======================================================================================
|
||||
|
||||
|
||||
|
||||
@ -620,7 +619,7 @@ Starting Points with Worst Slack
|
||||
Instance Reference Type Pin Net Time Slack
|
||||
Clock
|
||||
---------------------------------------------------------------------------------------------------
|
||||
ram2e_ufm.ufmefb.EFBInst_0 System EFB WBACKO wb_ack 0.000 67.088
|
||||
ram2e_ufm.ufmefb.EFBInst_0 System EFB WBACKO wb_ack 0.000 66.719
|
||||
ram2e_ufm.ufmefb.EFBInst_0 System EFB WBDATO0 wb_dato[0] 0.000 69.313
|
||||
ram2e_ufm.ufmefb.EFBInst_0 System EFB WBDATO1 wb_dato[1] 0.000 69.313
|
||||
ram2e_ufm.ufmefb.EFBInst_0 System EFB WBDATO2 wb_dato[2] 0.000 69.313
|
||||
@ -635,21 +634,21 @@ ram2e_ufm.ufmefb.EFBInst_0 System EFB WBDATO7 wb_dato[7]
|
||||
Ending Points with Worst Slack
|
||||
******************************
|
||||
|
||||
Starting Required
|
||||
Instance Reference Type Pin Net Time Slack
|
||||
Clock
|
||||
----------------------------------------------------------------------------------------
|
||||
ram2e_ufm.RWMask[0] System FD1P3AX SP N_104 69.369 67.088
|
||||
ram2e_ufm.RWMask[1] System FD1P3AX SP N_104 69.369 67.088
|
||||
ram2e_ufm.RWMask[2] System FD1P3AX SP N_104 69.369 67.088
|
||||
ram2e_ufm.RWMask[3] System FD1P3AX SP N_104 69.369 67.088
|
||||
ram2e_ufm.RWMask[4] System FD1P3AX SP N_104 69.369 67.088
|
||||
ram2e_ufm.RWMask[5] System FD1P3AX SP N_104 69.369 67.088
|
||||
ram2e_ufm.RWMask[6] System FD1P3AX SP N_104 69.369 67.088
|
||||
ram2e_ufm.RWMask[7] System FD1P3AX SP N_104 69.369 67.088
|
||||
ram2e_ufm.LEDEN System FD1P3AX SP N_98 69.369 67.736
|
||||
ram2e_ufm.wb_cyc_stb System FD1P3AX SP N_111 69.369 67.736
|
||||
========================================================================================
|
||||
Starting Required
|
||||
Instance Reference Type Pin Net Time Slack
|
||||
Clock
|
||||
---------------------------------------------------------------------------------------------------------------
|
||||
ram2e_ufm.wb_cyc_stb System FD1P3AX SP un1_CmdBitbangMXO212_1_i[0] 69.369 66.719
|
||||
ram2e_ufm.LEDEN System FD1P3AX SP un1_LEDEN_0_sqmuxa_1_i_0[0] 69.369 67.736
|
||||
ram2e_ufm.RWMask[0] System FD1P3AX SP un1_RWMask_0_sqmuxa_1_i_0[0] 69.369 68.105
|
||||
ram2e_ufm.RWMask[1] System FD1P3AX SP un1_RWMask_0_sqmuxa_1_i_0[0] 69.369 68.105
|
||||
ram2e_ufm.RWMask[2] System FD1P3AX SP un1_RWMask_0_sqmuxa_1_i_0[0] 69.369 68.105
|
||||
ram2e_ufm.RWMask[3] System FD1P3AX SP un1_RWMask_0_sqmuxa_1_i_0[0] 69.369 68.105
|
||||
ram2e_ufm.RWMask[4] System FD1P3AX SP un1_RWMask_0_sqmuxa_1_i_0[0] 69.369 68.105
|
||||
ram2e_ufm.RWMask[5] System FD1P3AX SP un1_RWMask_0_sqmuxa_1_i_0[0] 69.369 68.105
|
||||
ram2e_ufm.RWMask[6] System FD1P3AX SP un1_RWMask_0_sqmuxa_1_i_0[0] 69.369 68.105
|
||||
ram2e_ufm.RWMask[7] System FD1P3AX SP un1_RWMask_0_sqmuxa_1_i_0[0] 69.369 68.105
|
||||
===============================================================================================================
|
||||
|
||||
|
||||
|
||||
@ -663,30 +662,33 @@ Path information for path number 1:
|
||||
+ Clock delay at ending point: 0.000 (ideal)
|
||||
= Required time: 69.369
|
||||
|
||||
- Propagation time: 2.282
|
||||
- Propagation time: 2.650
|
||||
- Clock delay at starting point: 0.000 (ideal)
|
||||
- Estimated clock delay at start point: -0.000
|
||||
= Slack (non-critical) : 67.088
|
||||
= Slack (non-critical) : 66.719
|
||||
|
||||
Number of logic level(s): 2
|
||||
Number of logic level(s): 3
|
||||
Starting point: ram2e_ufm.ufmefb.EFBInst_0 / WBACKO
|
||||
Ending point: ram2e_ufm.RWMask[0] / SP
|
||||
Ending point: ram2e_ufm.wb_cyc_stb / SP
|
||||
The start point is clocked by System [rising]
|
||||
The end point is clocked by C14M [rising] on pin CK
|
||||
|
||||
Instance / Net Pin Pin Arrival No. of
|
||||
Name Type Name Dir Delay Time Fan Out(s)
|
||||
----------------------------------------------------------------------------------------------------------------
|
||||
ram2e_ufm.ufmefb.EFBInst_0 EFB WBACKO Out 0.000 0.000 -
|
||||
wb_ack Net - - - - 5
|
||||
ram2e_ufm.un1_RWMask_0_sqmuxa_1_i_a2_0_0[0] ORCALUT4 B In 0.000 0.000 -
|
||||
ram2e_ufm.un1_RWMask_0_sqmuxa_1_i_a2_0_0[0] ORCALUT4 Z Out 1.017 1.017 -
|
||||
un1_RWMask_0_sqmuxa_1_i_a2_0_0[0] Net - - - - 1
|
||||
ram2e_ufm.un1_RWMask_0_sqmuxa_1_i_0[0] ORCALUT4 D In 0.000 1.017 -
|
||||
ram2e_ufm.un1_RWMask_0_sqmuxa_1_i_0[0] ORCALUT4 Z Out 1.265 2.282 -
|
||||
N_104 Net - - - - 8
|
||||
ram2e_ufm.RWMask[0] FD1P3AX SP In 0.000 2.282 -
|
||||
================================================================================================================
|
||||
Instance / Net Pin Pin Arrival No. of
|
||||
Name Type Name Dir Delay Time Fan Out(s)
|
||||
---------------------------------------------------------------------------------------------------------------
|
||||
ram2e_ufm.ufmefb.EFBInst_0 EFB WBACKO Out 0.000 0.000 -
|
||||
wb_ack Net - - - - 4
|
||||
ram2e_ufm.un1_CmdBitbangMXO212_1_0_0_tz[0] ORCALUT4 B In 0.000 0.000 -
|
||||
ram2e_ufm.un1_CmdBitbangMXO212_1_0_0_tz[0] ORCALUT4 Z Out 1.017 1.017 -
|
||||
un1_CmdBitbangMXO212_1_0_0_tz[0] Net - - - - 1
|
||||
ram2e_ufm.un1_CmdBitbangMXO212_1_0_0_0[0] ORCALUT4 C In 0.000 1.017 -
|
||||
ram2e_ufm.un1_CmdBitbangMXO212_1_0_0_0[0] ORCALUT4 Z Out 1.017 2.034 -
|
||||
un1_CmdBitbangMXO212_1_0_0_0[0] Net - - - - 1
|
||||
ram2e_ufm.wb_cyc_stb_RNO_0 ORCALUT4 A In 0.000 2.034 -
|
||||
ram2e_ufm.wb_cyc_stb_RNO_0 ORCALUT4 Z Out 0.617 2.650 -
|
||||
un1_CmdBitbangMXO212_1_i[0] Net - - - - 1
|
||||
ram2e_ufm.wb_cyc_stb FD1P3AX SP In 0.000 2.650 -
|
||||
===============================================================================================================
|
||||
|
||||
|
||||
|
||||
@ -695,16 +697,16 @@ ram2e_ufm.RWMask[0] FD1P3AX SP In
|
||||
Timing exceptions that could not be applied
|
||||
None
|
||||
|
||||
Finished final timing analysis (Real Time elapsed 0h:00m:03s; CPU Time elapsed 0h:00m:03s; Memory used current: 161MB peak: 164MB)
|
||||
Finished final timing analysis (Real Time elapsed 0h:00m:15s; CPU Time elapsed 0h:00m:03s; Memory used current: 161MB peak: 163MB)
|
||||
|
||||
|
||||
Finished timing report (Real Time elapsed 0h:00m:03s; CPU Time elapsed 0h:00m:03s; Memory used current: 161MB peak: 164MB)
|
||||
Finished timing report (Real Time elapsed 0h:00m:15s; CPU Time elapsed 0h:00m:03s; Memory used current: 161MB peak: 163MB)
|
||||
|
||||
---------------------------------------
|
||||
Resource Usage Report
|
||||
Part: lcmxo2_640hc-4
|
||||
|
||||
Register bits: 125 of 640 (20%)
|
||||
Register bits: 124 of 640 (19%)
|
||||
PIC Latch: 0
|
||||
I/O cells: 70
|
||||
|
||||
@ -713,7 +715,7 @@ Details:
|
||||
BB: 8
|
||||
CCU2D: 9
|
||||
EFB: 1
|
||||
FD1P3AX: 58
|
||||
FD1P3AX: 57
|
||||
FD1P3IX: 1
|
||||
FD1S3AX: 31
|
||||
FD1S3AY: 4
|
||||
@ -726,15 +728,16 @@ OB: 41
|
||||
OFS1P3BX: 6
|
||||
OFS1P3DX: 12
|
||||
OFS1P3IX: 3
|
||||
ORCALUT4: 275
|
||||
ORCALUT4: 268
|
||||
PFUMX: 10
|
||||
PUR: 1
|
||||
VHI: 3
|
||||
VLO: 3
|
||||
Mapper successful!
|
||||
|
||||
At Mapper Exit (Real Time elapsed 0h:00m:03s; CPU Time elapsed 0h:00m:03s; Memory used current: 33MB peak: 164MB)
|
||||
At Mapper Exit (Real Time elapsed 0h:00m:15s; CPU Time elapsed 0h:00m:03s; Memory used current: 33MB peak: 163MB)
|
||||
|
||||
Process took 0h:00m:03s realtime, 0h:00m:03s cputime
|
||||
# Fri Jun 7 20:50:07 2024
|
||||
Process took 0h:00m:15s realtime, 0h:00m:03s cputime
|
||||
# Fri Jul 12 16:07:49 2024
|
||||
|
||||
###########################################################]
|
||||
|
152
CPLD/LCMXO2-640HC/impl1/RAM2E_LCMXO2_640HC_impl1_bgn.html
Normal file
152
CPLD/LCMXO2-640HC/impl1/RAM2E_LCMXO2_640HC_impl1_bgn.html
Normal file
@ -0,0 +1,152 @@
|
||||
<HTML>
|
||||
<HEAD><TITLE>Bitgen Report</TITLE>
|
||||
<STYLE TYPE="text/css">
|
||||
<!--
|
||||
body,pre{
font-family:'Courier New', monospace;
color: #000000;
font-size:88%;
background-color: #ffffff;
}
h1 {
font-weight: bold;
margin-top: 24px;
margin-bottom: 10px;
border-bottom: 3px solid #000; font-size: 1em;
}
h2 {
font-weight: bold;
margin-top: 18px;
margin-bottom: 5px;
font-size: 0.90em;
}
h3 {
font-weight: bold;
margin-top: 12px;
margin-bottom: 5px;
font-size: 0.80em;
}
p {
font-size:78%;
}
P.Table {
margin-top: 4px;
margin-bottom: 4px;
margin-right: 4px;
margin-left: 4px;
}
table
{
border-width: 1px 1px 1px 1px;
border-style: solid solid solid solid;
border-color: black black black black;
border-collapse: collapse;
}
th {
font-weight:bold;
padding: 4px;
border-width: 1px 1px 1px 1px;
border-style: solid solid solid solid;
border-color: black black black black;
vertical-align:top;
text-align:left;
font-size:78%;
}
td {
padding: 4px;
border-width: 1px 1px 1px 1px;
border-style: solid solid solid solid;
border-color: black black black black;
vertical-align:top;
font-size:78%;
}
a {
color:#013C9A;
text-decoration:none;
}
a:visited {
color:#013C9A;
}
a:hover, a:active {
text-decoration:underline;
color:#5BAFD4;
}
.pass
{
background-color: #00ff00;
}
.fail
{
background-color: #ff0000;
}
.comment
{
font-size: 90%;
font-style: italic;
}
|
||||
-->
|
||||
</STYLE>
|
||||
</HEAD>
|
||||
<PRE><A name="Bgn"></A>BITGEN: Bitstream Generator Diamond (64-bit) 3.11.3.469
|
||||
Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved.
|
||||
Copyright (c) 1995 AT&T Corp. All rights reserved.
|
||||
Copyright (c) 1995-2001 Lucent Technologies Inc. All rights reserved.
|
||||
Copyright (c) 2001 Agere Systems All rights reserved.
|
||||
Copyright (c) 2002-2020 Lattice Semiconductor Corporation, All rights reserved.
|
||||
Fri Jun 07 20:50:26 2024
|
||||
|
||||
|
||||
Command: bitgen -g RamCfg:Reset -path //Mac/iCloud/Repos/RAM2E/CPLD/LCMXO2-640HC -w -jedec -gui RAM2E_LCMXO2_640HC_impl1.ncd RAM2E_LCMXO2_640HC_impl1.prf
|
||||
|
||||
Loading design for application Bitgen from file RAM2E_LCMXO2_640HC_impl1.ncd.
|
||||
Design name: RAM2E
|
||||
NCD version: 3.3
|
||||
Vendor: LATTICE
|
||||
Device: LCMXO2-640HC
|
||||
Package: TQFP100
|
||||
Performance: 4
|
||||
Loading device for application Bitgen from file 'xo2c640.nph' in environment: C:/lscc/diamond/3.11_x64/ispfpga.
|
||||
Package Status: Final Version 1.39.
|
||||
Performance Hardware Data Status: Final Version 34.4.
|
||||
|
||||
Running DRC.
|
||||
DRC detected 0 errors and 0 warnings.
|
||||
Reading Preference File from RAM2E_LCMXO2_640HC_impl1.prf.
|
||||
|
||||
<A name="bgn_ps"></A>
|
||||
<B><U><big>Preference Summary:</big></U></B>
|
||||
|
||||
+---------------------------------+---------------------------------+
|
||||
| Preference | Current Setting |
|
||||
+---------------------------------+---------------------------------+
|
||||
| RamCfg | Reset** |
|
||||
+---------------------------------+---------------------------------+
|
||||
| MCCLK_FREQ | 2.08** |
|
||||
+---------------------------------+---------------------------------+
|
||||
| CONFIG_SECURE | OFF** |
|
||||
+---------------------------------+---------------------------------+
|
||||
| INBUF | ON** |
|
||||
+---------------------------------+---------------------------------+
|
||||
| JTAG_PORT | ENABLE** |
|
||||
+---------------------------------+---------------------------------+
|
||||
| SDM_PORT | DISABLE** |
|
||||
+---------------------------------+---------------------------------+
|
||||
| SLAVE_SPI_PORT | DISABLE** |
|
||||
+---------------------------------+---------------------------------+
|
||||
| MASTER_SPI_PORT | DISABLE** |
|
||||
+---------------------------------+---------------------------------+
|
||||
| I2C_PORT | DISABLE** |
|
||||
+---------------------------------+---------------------------------+
|
||||
| MUX_CONFIGURATION_PORTS | DISABLE** |
|
||||
+---------------------------------+---------------------------------+
|
||||
| CONFIGURATION | CFG** |
|
||||
+---------------------------------+---------------------------------+
|
||||
| COMPRESS_CONFIG | ON** |
|
||||
+---------------------------------+---------------------------------+
|
||||
| MY_ASSP | OFF** |
|
||||
+---------------------------------+---------------------------------+
|
||||
| ONE_TIME_PROGRAM | OFF** |
|
||||
+---------------------------------+---------------------------------+
|
||||
| ENABLE_TRANSFR | DISABLE** |
|
||||
+---------------------------------+---------------------------------+
|
||||
| SHAREDEBRINIT | DISABLE** |
|
||||
+---------------------------------+---------------------------------+
|
||||
| BACKGROUND_RECONFIG | OFF** |
|
||||
+---------------------------------+---------------------------------+
|
||||
* Default setting.
|
||||
** The specified setting matches the default setting.
|
||||
|
||||
|
||||
Creating bit map...
|
||||
|
||||
Bitstream Status: Final Version 1.95.
|
||||
|
||||
Saving bit stream in "RAM2E_LCMXO2_640HC_impl1.jed".
|
||||
|
||||
===========
|
||||
UFM Summary.
|
||||
===========
|
||||
UFM Size: 191 Pages (128*191 Bits).
|
||||
UFM Utilization: General Purpose Flash Memory.
|
||||
|
||||
Available General Purpose Flash Memory: 191 Pages (Page 0 to Page 190).
|
||||
Initialized UFM Pages: 1 Page (Page 190).
|
||||
|
||||
Total CPU Time: 3 secs
|
||||
Total REAL Time: 3 secs
|
||||
Peak Memory Usage: 267 MB
|
||||
|
||||
|
||||
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
<BR>
|
||||
</PRE></FONT>
|
||||
</BODY>
|
||||
</HTML>
|
@ -13,7 +13,7 @@ Hostname: ZANEMACWIN11
|
||||
|
||||
Implementation : impl1
|
||||
|
||||
# Written on Fri Jun 7 20:50:03 2024
|
||||
# Written on Fri Jul 12 16:07:24 2024
|
||||
|
||||
##### DESIGN INFO #######################################################
|
||||
|
||||
|
@ -17,24 +17,25 @@ Command line: map -a MachXO2 -p LCMXO2-640HC -t TQFP100 -s 4 -oc Commercial
|
||||
RAM2E_LCMXO2_640HC_impl1.ngd -o RAM2E_LCMXO2_640HC_impl1_map.ncd -pr
|
||||
RAM2E_LCMXO2_640HC_impl1.prf -mp RAM2E_LCMXO2_640HC_impl1.mrp -lpf //Mac/iC
|
||||
loud/Repos/RAM2E/CPLD/LCMXO2-640HC/impl1/RAM2E_LCMXO2_640HC_impl1_synplify.
|
||||
lpf -lpf //Mac/iCloud/Repos/RAM2E/CPLD/RAM2E-LCMXO2.lpf -c 0 -gui
|
||||
lpf -lpf //Mac/iCloud/Repos/RAM2E/CPLD/RAM2E-LCMXO2.lpf -c 0 -gui -msgset
|
||||
//Mac/iCloud/Repos/RAM2E/CPLD/LCMXO2-640HC/promote.xml
|
||||
Target Vendor: LATTICE
|
||||
Target Device: LCMXO2-640HCTQFP100
|
||||
Target Performance: 4
|
||||
Mapper: xo2c00, version: Diamond (64-bit) 3.11.3.469
|
||||
Mapped on: 06/07/24 20:50:09
|
||||
Mapped on: 07/12/24 16:08:05
|
||||
|
||||
|
||||
<A name="mrp_ds"></A><B><U><big>Design Summary</big></U></B>
|
||||
Number of registers: 125 out of 877 (14%)
|
||||
PFU registers: 103 out of 640 (16%)
|
||||
Number of registers: 124 out of 877 (14%)
|
||||
PFU registers: 102 out of 640 (16%)
|
||||
PIO registers: 22 out of 237 (9%)
|
||||
Number of SLICEs: 148 out of 320 (46%)
|
||||
SLICEs as Logic/ROM: 148 out of 320 (46%)
|
||||
Number of SLICEs: 145 out of 320 (45%)
|
||||
SLICEs as Logic/ROM: 145 out of 320 (45%)
|
||||
SLICEs as RAM: 0 out of 240 (0%)
|
||||
SLICEs as Carry: 9 out of 320 (3%)
|
||||
Number of LUT4s: 295 out of 640 (46%)
|
||||
Number used as logic LUTs: 277
|
||||
Number of LUT4s: 288 out of 640 (45%)
|
||||
Number used as logic LUTs: 270
|
||||
Number used as distributed RAM: 0
|
||||
Number used as ripple logic: 18
|
||||
Number used as shift registers: 0
|
||||
@ -58,44 +59,45 @@ Mapped on: 06/07/24 20:50:09
|
||||
2. Number of logic LUT4s does not include count of distributed RAM and
|
||||
ripple logic.
|
||||
Number of clocks: 2
|
||||
Net C14M_c: 85 loads, 63 rising, 22 falling (Driver: PIO C14M )
|
||||
Net C14M_c: 84 loads, 62 rising, 22 falling (Driver: PIO C14M )
|
||||
Net PHI1_c: 3 loads, 0 rising, 3 falling (Driver: PIO PHI1 )
|
||||
Number of Clock Enables: 13
|
||||
Net N_117_i: 2 loads, 0 LSLICEs
|
||||
Net RWBank14: 11 loads, 11 LSLICEs
|
||||
Net N_347_i: 2 loads, 0 LSLICEs
|
||||
Net RWBank14: 10 loads, 10 LSLICEs
|
||||
Net ram2e_ufm/wb_adr_0_sqmuxa_1_i: 1 loads, 1 LSLICEs
|
||||
Net un9_VOE_0_a2_0_a2: 1 loads, 1 LSLICEs
|
||||
Net un6_DOEEN_0_a2_0_a2: 2 loads, 2 LSLICEs
|
||||
Net ram2e_ufm/un1_wb_adr_0_sqmuxa_2_i[0]: 8 loads, 8 LSLICEs
|
||||
Net ram2e_ufm/N_63: 1 loads, 1 LSLICEs
|
||||
|
||||
Net ram2e_ufm/un1_wb_cyc_stb_0_sqmuxa_1_i[0]: 1 loads, 1 LSLICEs
|
||||
Net ram2e_ufm/N_111: 1 loads, 1 LSLICEs
|
||||
Net ram2e_ufm/N_104: 4 loads, 4 LSLICEs
|
||||
Net ram2e_ufm/N_98: 1 loads, 1 LSLICEs
|
||||
Net un1_CKE48_0_i: 6 loads, 6 LSLICEs
|
||||
Net N_389_i: 2 loads, 0 LSLICEs
|
||||
Net ram2e_ufm/un1_wb_adr_0_sqmuxa_2_i_0[0]: 8 loads, 8 LSLICEs
|
||||
Net ram2e_ufm/un1_CmdBitbangMXO212_1_i[0]: 1 loads, 1 LSLICEs
|
||||
Net ram2e_ufm/un1_RWMask_0_sqmuxa_1_i_0[0]: 4 loads, 4 LSLICEs
|
||||
Net ram2e_ufm/un1_LEDEN_0_sqmuxa_1_i_0[0]: 1 loads, 1 LSLICEs
|
||||
Net un9_VOE_0_a2: 1 loads, 1 LSLICEs
|
||||
Net un1_CKE48_i: 6 loads, 6 LSLICEs
|
||||
Net N_346_i: 2 loads, 0 LSLICEs
|
||||
Net Vout3: 8 loads, 0 LSLICEs
|
||||
Number of LSRs: 8
|
||||
Net N_148: 2 loads, 2 LSLICEs
|
||||
Net N_430_i: 2 loads, 0 LSLICEs
|
||||
Number of LSRs: 9
|
||||
Net un1_CS_0_sqmuxa_i: 2 loads, 2 LSLICEs
|
||||
Net ram2e_ufm.wb_rst13: 2 loads, 0 LSLICEs
|
||||
Net RC7: 2 loads, 2 LSLICEs
|
||||
Net S[2]: 2 loads, 2 LSLICEs
|
||||
Net S[1]: 1 loads, 1 LSLICEs
|
||||
Net ram2e_ufm/wb_rst: 1 loads, 0 LSLICEs
|
||||
Net ram2e_ufm/nRWE_0_i_o3_RNIP8E61: 1 loads, 1 LSLICEs
|
||||
Net N_530: 1 loads, 0 LSLICEs
|
||||
Net N_301_i: 1 loads, 1 LSLICEs
|
||||
Net ram2e_ufm/wb_rst6_i: 1 loads, 1 LSLICEs
|
||||
Net N_523_1: 1 loads, 0 LSLICEs
|
||||
Net N_727_0: 1 loads, 1 LSLICEs
|
||||
Net RATc_i: 1 loads, 1 LSLICEs
|
||||
Number of nets driven by tri-state buffers: 0
|
||||
Top 10 highest fanout non-clock nets:
|
||||
Net S[2]: 60 loads
|
||||
Net S[3]: 48 loads
|
||||
Net S[1]: 46 loads
|
||||
Net S[0]: 31 loads
|
||||
Net FS[11]: 24 loads
|
||||
Net FS[10]: 23 loads
|
||||
Net FS[12]: 23 loads
|
||||
Net FS[9]: 23 loads
|
||||
Net FS[13]: 21 loads
|
||||
Net FS[8]: 18 loads
|
||||
Net S[2]: 51 loads
|
||||
Net S[1]: 44 loads
|
||||
Net S[3]: 40 loads
|
||||
Net S[0]: 32 loads
|
||||
Net FS[8]: 29 loads
|
||||
Net FS[9]: 26 loads
|
||||
Net FS[10]: 25 loads
|
||||
Net FS[11]: 23 loads
|
||||
Net FS[13]: 20 loads
|
||||
Net ram2e_ufm.wb_rst13: 17 loads
|
||||
|
||||
|
||||
|
||||
@ -123,11 +125,14 @@ WARNING - map: IO buffer missing for top level port nWE80...logic will be
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
<A name="mrp_ioa"></A><B><U><big>IO (PIO) Attributes</big></U></B>
|
||||
|
||||
+---------------------+-----------+-----------+------------+
|
||||
| IO Name | Direction | Levelmode | IO |
|
||||
|
||||
| | | IO_TYPE | Register |
|
||||
+---------------------+-----------+-----------+------------+
|
||||
| RD[0] | BIDIR | LVCMOS33 | |
|
||||
@ -181,10 +186,10 @@ WARNING - map: IO buffer missing for top level port nWE80...logic will be
|
||||
| BA[1] | OUTPUT | LVCMOS33 | OUT |
|
||||
+---------------------+-----------+-----------+------------+
|
||||
| BA[0] | OUTPUT | LVCMOS33 | OUT |
|
||||
|
||||
+---------------------+-----------+-----------+------------+
|
||||
| nRWEout | OUTPUT | LVCMOS33 | OUT |
|
||||
+---------------------+-----------+-----------+------------+
|
||||
|
||||
| nCASout | OUTPUT | LVCMOS33 | OUT |
|
||||
+---------------------+-----------+-----------+------------+
|
||||
| nRASout | OUTPUT | LVCMOS33 | OUT |
|
||||
@ -238,10 +243,10 @@ WARNING - map: IO buffer missing for top level port nWE80...logic will be
|
||||
| Din[4] | INPUT | LVCMOS33 | |
|
||||
+---------------------+-----------+-----------+------------+
|
||||
| Din[3] | INPUT | LVCMOS33 | |
|
||||
|
||||
+---------------------+-----------+-----------+------------+
|
||||
| Din[2] | INPUT | LVCMOS33 | |
|
||||
+---------------------+-----------+-----------+------------+
|
||||
|
||||
| Din[1] | INPUT | LVCMOS33 | |
|
||||
+---------------------+-----------+-----------+------------+
|
||||
| Din[0] | INPUT | LVCMOS33 | |
|
||||
@ -296,10 +301,10 @@ Signal ram2e_ufm/ufmefb/TCINT undriven or does not drive anything - clipped.
|
||||
Signal ram2e_ufm/ufmefb/SPIIRQO undriven or does not drive anything - clipped.
|
||||
Signal ram2e_ufm/ufmefb/SPICSNEN undriven or does not drive anything - clipped.
|
||||
Signal ram2e_ufm/ufmefb/SPIMCSN7 undriven or does not drive anything - clipped.
|
||||
|
||||
Signal ram2e_ufm/ufmefb/SPIMCSN6 undriven or does not drive anything - clipped.
|
||||
Signal ram2e_ufm/ufmefb/SPIMCSN5 undriven or does not drive anything - clipped.
|
||||
Signal ram2e_ufm/ufmefb/SPIMCSN4 undriven or does not drive anything - clipped.
|
||||
|
||||
Signal ram2e_ufm/ufmefb/SPIMCSN3 undriven or does not drive anything - clipped.
|
||||
Signal ram2e_ufm/ufmefb/SPIMCSN2 undriven or does not drive anything - clipped.
|
||||
Signal ram2e_ufm/ufmefb/SPIMCSN1 undriven or does not drive anything - clipped.
|
||||
@ -357,8 +362,6 @@ Block ram2e_ufm/ufmefb/GND was optimized away.
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
<A name="mrp_efb"></A><B><U><big>Embedded Functional Block Connection Summary</big></U></B>
|
||||
|
||||
Desired WISHBONE clock frequency: 14.4 MHz
|
||||
@ -410,7 +413,7 @@ Instance Name: ram2e_ufm/ufmefb/EFBInst_0
|
||||
-------------------------
|
||||
|
||||
Total CPU Time: 0 secs
|
||||
Total REAL Time: 0 secs
|
||||
Total REAL Time: 3 secs
|
||||
Peak Memory Usage: 59 MB
|
||||
|
||||
|
||||
@ -422,7 +425,6 @@ Instance Name: ram2e_ufm/ufmefb/EFBInst_0
|
||||
|
||||
|
||||
|
||||
|
||||
Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved.
|
||||
Copyright (c) 1995 AT&T Corp. All rights reserved.
|
||||
Copyright (c) 1995-2001 Lucent Technologies Inc. All rights reserved.
|
||||
|
@ -14,7 +14,7 @@ Performance Grade: 4
|
||||
PACKAGE: TQFP100
|
||||
Package Status: Final Version 1.39
|
||||
|
||||
Fri Jun 07 20:50:19 2024
|
||||
Fri Jul 12 16:08:27 2024
|
||||
|
||||
Pinout by Port Name:
|
||||
+-----------+----------+---------------+-------+-----------+-----------+------------------------------------------------------------+
|
||||
@ -292,7 +292,7 @@ Copyright (c) 1995 AT&T Corp. All rights reserved.
|
||||
Copyright (c) 1995-2001 Lucent Technologies Inc. All rights reserved.
|
||||
Copyright (c) 2001 Agere Systems All rights reserved.
|
||||
Copyright (c) 2002-2020 Lattice Semiconductor Corporation, All rights reserved.
|
||||
Fri Jun 07 20:50:23 2024
|
||||
Fri Jul 12 16:08:36 2024
|
||||
|
||||
|
||||
|
||||
|
@ -12,11 +12,12 @@ Copyright (c) 1995 AT&T Corp. All rights reserved.
|
||||
Copyright (c) 1995-2001 Lucent Technologies Inc. All rights reserved.
|
||||
Copyright (c) 2001 Agere Systems All rights reserved.
|
||||
Copyright (c) 2002-2020 Lattice Semiconductor Corporation, All rights reserved.
|
||||
Fri Jun 07 20:50:10 2024
|
||||
Fri Jul 12 16:08:09 2024
|
||||
|
||||
C:/lscc/diamond/3.11_x64/ispfpga\bin\nt64\par -f RAM2E_LCMXO2_640HC_impl1.p2t
|
||||
RAM2E_LCMXO2_640HC_impl1_map.ncd RAM2E_LCMXO2_640HC_impl1.dir
|
||||
RAM2E_LCMXO2_640HC_impl1.prf -gui
|
||||
RAM2E_LCMXO2_640HC_impl1.prf -gui -msgset
|
||||
//Mac/iCloud/Repos/RAM2E/CPLD/LCMXO2-640HC/promote.xml
|
||||
|
||||
|
||||
Preference file: RAM2E_LCMXO2_640HC_impl1.prf.
|
||||
@ -25,22 +26,22 @@ Preference file: RAM2E_LCMXO2_640HC_impl1.prf.
|
||||
Level/ Number Worst Timing Worst Timing Run NCD
|
||||
Cost [ncd] Unrouted Slack Score Slack(hold) Score(hold) Time Status
|
||||
---------- -------- ----- ------ ----------- ----------- ---- ------
|
||||
5_1 * 0 55.718 0 0.379 0 16 Completed
|
||||
5_1 * 0 57.398 0 0.379 0 35 Completed
|
||||
* : Design saved.
|
||||
|
||||
Total (real) run time for 1-seed: 16 secs
|
||||
Total (real) run time for 1-seed: 38 secs
|
||||
|
||||
par done!
|
||||
|
||||
Note: user must run 'Trace' for timing closure signoff.
|
||||
|
||||
Lattice Place and Route Report for Design "RAM2E_LCMXO2_640HC_impl1_map.ncd"
|
||||
Fri Jun 07 20:50:10 2024
|
||||
Fri Jul 12 16:08:10 2024
|
||||
|
||||
|
||||
<A name="par_best"></A><B><U><big>Best Par Run</big></U></B>
|
||||
PAR: Place And Route Diamond (64-bit) 3.11.3.469.
|
||||
Command Line: par -w -l 5 -i 6 -t 1 -c 0 -e 0 -gui -exp parUseNBR=1:parCDP=0:parCDR=0:parPathBased=OFF RAM2E_LCMXO2_640HC_impl1_map.ncd RAM2E_LCMXO2_640HC_impl1.dir/5_1.ncd RAM2E_LCMXO2_640HC_impl1.prf
|
||||
Command Line: par -w -l 5 -i 6 -t 1 -c 0 -e 0 -gui -msgset //Mac/iCloud/Repos/RAM2E/CPLD/LCMXO2-640HC/promote.xml -exp parUseNBR=1:parCDP=0:parCDR=0:parPathBased=OFF RAM2E_LCMXO2_640HC_impl1_map.ncd RAM2E_LCMXO2_640HC_impl1.dir/5_1.ncd RAM2E_LCMXO2_640HC_impl1.prf
|
||||
Preference file: RAM2E_LCMXO2_640HC_impl1.prf.
|
||||
Placement level-cost: 5-1.
|
||||
Routing Iterations: 6
|
||||
@ -66,39 +67,39 @@ Ignore Preference Error(s): True
|
||||
70+4(JTAG)/79 94% bonded
|
||||
IOLOGIC 22/80 27% used
|
||||
|
||||
SLICE 148/320 46% used
|
||||
SLICE 145/320 45% used
|
||||
|
||||
EFB 1/1 100% used
|
||||
|
||||
|
||||
Number of Signals: 464
|
||||
Number of Connections: 1330
|
||||
Number of Signals: 446
|
||||
Number of Connections: 1292
|
||||
|
||||
Pin Constraint Summary:
|
||||
70 out of 70 pins locked (100% locked).
|
||||
|
||||
The following 1 signal is selected to use the primary clock routing resources:
|
||||
C14M_c (driver: C14M, clk load #: 85)
|
||||
C14M_c (driver: C14M, clk load #: 84)
|
||||
|
||||
WARNING - par: Signal "C14M_c" is selected to use Primary clock resources. However, its driver comp "C14M" is located at "62", which is not a dedicated pin for connecting to Primary clock resources. General routing has to be used to route this signal, and it might suffer from excessive delay or skew.
|
||||
|
||||
The following 1 signal is selected to use the secondary clock routing resources:
|
||||
RWBank14 (driver: ram2e_ufm/SLICE_82, clk load #: 0, sr load #: 0, ce load #: 11)
|
||||
RWBank14 (driver: ram2e_ufm/SLICE_89, clk load #: 0, sr load #: 0, ce load #: 10)
|
||||
|
||||
No signal is selected as Global Set/Reset.
|
||||
Starting Placer Phase 0.
|
||||
............
|
||||
Finished Placer Phase 0. REAL time: 0 secs
|
||||
.............
|
||||
Finished Placer Phase 0. REAL time: 3 secs
|
||||
|
||||
Starting Placer Phase 1.
|
||||
....................
|
||||
Placer score = 70995.
|
||||
Finished Placer Phase 1. REAL time: 9 secs
|
||||
Placer score = 68344.
|
||||
Finished Placer Phase 1. REAL time: 13 secs
|
||||
|
||||
Starting Placer Phase 2.
|
||||
.
|
||||
Placer score = 70831
|
||||
Finished Placer Phase 2. REAL time: 9 secs
|
||||
Placer score = 68130
|
||||
Finished Placer Phase 2. REAL time: 14 secs
|
||||
|
||||
|
||||
|
||||
@ -111,8 +112,8 @@ Global Clock Resources:
|
||||
DCC : 0 out of 8 (0%)
|
||||
|
||||
Global Clocks:
|
||||
PRIMARY "C14M_c" from comp "C14M" on PIO site "62 (PR5D)", clk load = 85
|
||||
SECONDARY "RWBank14" from F0 on comp "ram2e_ufm/SLICE_82" on site "R2C9D", clk load = 0, ce load = 11, sr load = 0
|
||||
PRIMARY "C14M_c" from comp "C14M" on PIO site "62 (PR5D)", clk load = 84
|
||||
SECONDARY "RWBank14" from F0 on comp "ram2e_ufm/SLICE_89" on site "R6C8B", clk load = 0, ce load = 10, sr load = 0
|
||||
|
||||
PRIMARY : 1 out of 8 (12%)
|
||||
SECONDARY: 1 out of 8 (12%)
|
||||
@ -136,20 +137,20 @@ I/O Bank Usage Summary:
|
||||
| 3 | 20 / 20 (100%) | 3.3V | - |
|
||||
+----------+----------------+------------+-----------+
|
||||
|
||||
Total placer CPU time: 7 secs
|
||||
Total placer CPU time: 8 secs
|
||||
|
||||
Dumping design to file RAM2E_LCMXO2_640HC_impl1.dir/5_1.ncd.
|
||||
|
||||
0 connections routed; 1330 unrouted.
|
||||
0 connections routed; 1292 unrouted.
|
||||
Starting router resource preassignment
|
||||
WARNING - par: The driver of primary clock net C14M_c is not placed on one of the sites dedicated for primary clocks. This primary clock will be routed to an H-spine through general routing resource and might suffer from excessive delay or skew.
|
||||
|
||||
WARNING - par: The following clock signals will be routed by using generic routing resource and may suffer from excessive delay and/or skew.
|
||||
Signal=PHI1_c loads=5 clock_loads=3
|
||||
|
||||
Completed router resource preassignment. Real time: 14 secs
|
||||
Completed router resource preassignment. Real time: 26 secs
|
||||
|
||||
Start NBR router at 20:50:24 06/07/24
|
||||
Start NBR router at 16:08:36 07/12/24
|
||||
|
||||
*****************************************************************
|
||||
Info: NBR allows conflicts(one node used by more than one signal)
|
||||
@ -164,35 +165,41 @@ Note: NBR uses a different method to calculate timing slacks. The
|
||||
your design.
|
||||
*****************************************************************
|
||||
|
||||
Start NBR special constraint process at 20:50:24 06/07/24
|
||||
Start NBR special constraint process at 16:08:36 07/12/24
|
||||
|
||||
Start NBR section for initial routing at 20:50:24 06/07/24
|
||||
Start NBR section for initial routing at 16:08:36 07/12/24
|
||||
Level 4, iteration 1
|
||||
15(0.03%) conflicts; 0(0.00%) untouched conn; 0 (nbr) score;
|
||||
Estimated worst slack/total negative slack<setup>: 55.730ns/0.000ns; real time: 14 secs
|
||||
19(0.04%) conflicts; 0(0.00%) untouched conn; 0 (nbr) score;
|
||||
Estimated worst slack/total negative slack<setup>: 57.410ns/0.000ns; real time: 33 secs
|
||||
|
||||
Info: Initial congestion level at 75% usage is 0
|
||||
Info: Initial congestion area at 75% usage is 0 (0.00%)
|
||||
|
||||
Start NBR section for normal routing at 20:50:25 06/07/24
|
||||
Start NBR section for normal routing at 16:08:43 07/12/24
|
||||
Level 4, iteration 1
|
||||
5(0.01%) conflicts; 0(0.00%) untouched conn; 0 (nbr) score;
|
||||
Estimated worst slack/total negative slack<setup>: 55.718ns/0.000ns; real time: 15 secs
|
||||
11(0.02%) conflicts; 0(0.00%) untouched conn; 0 (nbr) score;
|
||||
Estimated worst slack/total negative slack<setup>: 57.410ns/0.000ns; real time: 33 secs
|
||||
Level 4, iteration 2
|
||||
1(0.00%) conflict; 0(0.00%) untouched conn; 0 (nbr) score;
|
||||
Estimated worst slack/total negative slack<setup>: 55.718ns/0.000ns; real time: 15 secs
|
||||
3(0.01%) conflicts; 0(0.00%) untouched conn; 0 (nbr) score;
|
||||
Estimated worst slack/total negative slack<setup>: 57.398ns/0.000ns; real time: 33 secs
|
||||
Level 4, iteration 3
|
||||
1(0.00%) conflict; 0(0.00%) untouched conn; 0 (nbr) score;
|
||||
Estimated worst slack/total negative slack<setup>: 57.398ns/0.000ns; real time: 33 secs
|
||||
Level 4, iteration 4
|
||||
2(0.00%) conflicts; 0(0.00%) untouched conn; 0 (nbr) score;
|
||||
Estimated worst slack/total negative slack<setup>: 57.398ns/0.000ns; real time: 33 secs
|
||||
Level 4, iteration 5
|
||||
0(0.00%) conflict; 0(0.00%) untouched conn; 0 (nbr) score;
|
||||
Estimated worst slack/total negative slack<setup>: 55.718ns/0.000ns; real time: 15 secs
|
||||
Estimated worst slack/total negative slack<setup>: 57.398ns/0.000ns; real time: 33 secs
|
||||
|
||||
Start NBR section for setup/hold timing optimization with effort level 3 at 20:50:25 06/07/24
|
||||
Start NBR section for setup/hold timing optimization with effort level 3 at 16:08:43 07/12/24
|
||||
|
||||
Start NBR section for re-routing at 20:50:25 06/07/24
|
||||
Start NBR section for re-routing at 16:08:43 07/12/24
|
||||
Level 4, iteration 1
|
||||
0(0.00%) conflict; 0(0.00%) untouched conn; 0 (nbr) score;
|
||||
Estimated worst slack/total negative slack<setup>: 55.718ns/0.000ns; real time: 15 secs
|
||||
Estimated worst slack/total negative slack<setup>: 57.398ns/0.000ns; real time: 33 secs
|
||||
|
||||
Start NBR section for post-routing at 20:50:25 06/07/24
|
||||
Start NBR section for post-routing at 16:08:43 07/12/24
|
||||
|
||||
End NBR router with 0 unrouted connection
|
||||
|
||||
@ -200,7 +207,7 @@ NBR Summary
|
||||
-----------
|
||||
Number of unrouted connections : 0 (0.00%)
|
||||
Number of connections with timing violations : 0 (0.00%)
|
||||
Estimated worst slack<setup> : 55.718ns
|
||||
Estimated worst slack<setup> : 57.398ns
|
||||
Timing score<setup> : 0
|
||||
-----------
|
||||
Notes: The timing info is calculated for SETUP only and all PAR_ADJs are ignored.
|
||||
@ -211,9 +218,9 @@ WARNING - par: The following clock signals will be routed by using generic routi
|
||||
Signal=PHI1_c loads=5 clock_loads=3
|
||||
|
||||
Total CPU time 13 secs
|
||||
Total REAL time: 16 secs
|
||||
Total REAL time: 34 secs
|
||||
Completely routed.
|
||||
End of route. 1330 routed (100.00%); 0 unrouted.
|
||||
End of route. 1292 routed (100.00%); 0 unrouted.
|
||||
|
||||
Hold time timing score: 0, hold timing errors: 0
|
||||
|
||||
@ -227,14 +234,14 @@ All signals are completely routed.
|
||||
|
||||
PAR_SUMMARY::Run status = Completed
|
||||
PAR_SUMMARY::Number of unrouted conns = 0
|
||||
PAR_SUMMARY::Worst slack<setup/<ns>> = 55.718
|
||||
PAR_SUMMARY::Worst slack<setup/<ns>> = 57.398
|
||||
PAR_SUMMARY::Timing score<setup/<ns>> = 0.000
|
||||
PAR_SUMMARY::Worst slack<hold /<ns>> = 0.379
|
||||
PAR_SUMMARY::Timing score<hold /<ns>> = 0.000
|
||||
PAR_SUMMARY::Number of errors = 0
|
||||
|
||||
Total CPU time to completion: 13 secs
|
||||
Total REAL time to completion: 16 secs
|
||||
Total REAL time to completion: 37 secs
|
||||
|
||||
par done!
|
||||
|
||||
|
@ -13,7 +13,7 @@ Hostname: ZANEMACWIN11
|
||||
|
||||
Implementation : impl1
|
||||
|
||||
# Written on Fri Jun 7 20:50:02 2024
|
||||
# Written on Fri Jul 12 16:07:19 2024
|
||||
|
||||
##### FILES SYNTAX CHECKED ##############################################
|
||||
Constraint File(s): "\\Mac\iCloud\Repos\RAM2E\CPLD\RAM2E.sdc"
|
||||
@ -33,7 +33,7 @@ Clock Summary
|
||||
Start Requested Requested Clock Clock Clock
|
||||
Level Clock Frequency Period Type Group Load
|
||||
-----------------------------------------------------------------------------------------------
|
||||
0 - C14M 14.3 MHz 69.841 declared default_clkgroup 121
|
||||
0 - C14M 14.3 MHz 69.841 declared default_clkgroup 120
|
||||
|
||||
0 - System 100.0 MHz 10.000 system system_clkgroup 0
|
||||
|
||||
@ -47,7 +47,7 @@ Clock Load Summary
|
||||
Clock Source Clock Pin Non-clock Pin Non-clock Pin
|
||||
Clock Load Pin Seq Example Seq Example Comb Example
|
||||
--------------------------------------------------------------------------------------------
|
||||
C14M 121 C14M(port) RAT.C - un1_C14M.I[0](inv)
|
||||
C14M 120 C14M(port) RAT.C - un1_C14M.I[0](inv)
|
||||
|
||||
System 0 - - - -
|
||||
|
||||
|
@ -62,7 +62,7 @@
|
||||
</TR>
|
||||
<TR>
|
||||
<TD align='left' BGCOLOR='#DEE8F4' COLSPAN='1'><SPAN style="COLOR: #000000">Updated:</SPAN></TD>
|
||||
<TD align='left' BGCOLOR='#FFFFFF' COLSPAN='3'><SPAN style="COLOR: #000000">2024/06/07 20:50:30</SPAN></TD>
|
||||
<TD align='left' BGCOLOR='#FFFFFF' COLSPAN='3'><SPAN style="COLOR: #000000">2024/07/12 16:08:57</SPAN></TD>
|
||||
</TR>
|
||||
<TR>
|
||||
<TD align='left' BGCOLOR='#DEE8F4' COLSPAN='1'><SPAN style="COLOR: #000000">Implementation Location:</SPAN></TD>
|
||||
|
@ -12,7 +12,7 @@
|
||||
#OS: Windows 8 6.2
|
||||
#Hostname: ZANEMACWIN11
|
||||
|
||||
# Fri Jun 7 20:50:00 2024
|
||||
# Fri Jul 12 16:07:07 2024
|
||||
|
||||
#Implementation: impl1
|
||||
|
||||
@ -61,6 +61,7 @@ Synopsys Verilog Compiler, Version comp2018q2p1, Build 461R, Built Apr 1 2019 0
|
||||
@I::"\\Mac\iCloud\Repos\RAM2E\CPLD\LCMXO2-640HC\REFB.v" (library work)
|
||||
@I::"\\Mac\iCloud\Repos\RAM2E\CPLD\DHGR-ON.v" (library work)
|
||||
Verilog syntax check successful!
|
||||
File \\Mac\iCloud\Repos\RAM2E\CPLD\RAM2E.v changed - recompiling
|
||||
Selecting top level module RAM2E
|
||||
@N: CG364 :"C:\lscc\diamond\3.11_x64\synpbase\lib\lucent\machxo2.v":1120:7:1120:9|Synthesizing module VHI in library work.
|
||||
Running optimization stage 1 on VHI .......
|
||||
@ -86,12 +87,12 @@ Running optimization stage 2 on EFB .......
|
||||
Running optimization stage 2 on VLO .......
|
||||
Running optimization stage 2 on VHI .......
|
||||
|
||||
At c_ver Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 73MB peak: 74MB)
|
||||
At c_ver Exit (Real Time elapsed 0h:00m:04s; CPU Time elapsed 0h:00m:00s; Memory used current: 73MB peak: 74MB)
|
||||
|
||||
Process took 0h:00m:01s realtime, 0h:00m:01s cputime
|
||||
Process took 0h:00m:04s realtime, 0h:00m:01s cputime
|
||||
|
||||
Process completed successfully.
|
||||
# Fri Jun 7 20:50:00 2024
|
||||
# Fri Jul 12 16:07:12 2024
|
||||
|
||||
###########################################################]
|
||||
|
||||
@ -111,13 +112,14 @@ Implementation : impl1
|
||||
Synopsys Synopsys Netlist Linker, Version comp2018q2p1, Build 461R, Built Apr 1 2019 09:17:43
|
||||
|
||||
@N|Running in 64-bit mode
|
||||
File \\Mac\iCloud\Repos\RAM2E\CPLD\LCMXO2-640HC\impl1\synwork\layer0.srs changed - recompiling
|
||||
|
||||
At syn_nfilter Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 67MB peak: 68MB)
|
||||
|
||||
Process took 0h:00m:01s realtime, 0h:00m:01s cputime
|
||||
|
||||
Process completed successfully.
|
||||
# Fri Jun 7 20:50:00 2024
|
||||
# Fri Jul 12 16:07:12 2024
|
||||
|
||||
###########################################################]
|
||||
|
||||
@ -127,12 +129,12 @@ For a summary of runtime and memory usage for all design units, please see file:
|
||||
|
||||
@END
|
||||
|
||||
At c_hdl Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 3MB peak: 4MB)
|
||||
At c_hdl Exit (Real Time elapsed 0h:00m:05s; CPU Time elapsed 0h:00m:00s; Memory used current: 3MB peak: 4MB)
|
||||
|
||||
Process took 0h:00m:01s realtime, 0h:00m:01s cputime
|
||||
Process took 0h:00m:05s realtime, 0h:00m:01s cputime
|
||||
|
||||
Process completed successfully.
|
||||
# Fri Jun 7 20:50:00 2024
|
||||
# Fri Jul 12 16:07:12 2024
|
||||
|
||||
###########################################################]
|
||||
|
||||
@ -152,18 +154,17 @@ Database state : \\Mac\iCloud\Repos\RAM2E\CPLD\LCMXO2-640HC\impl1\synwork\|impl1
|
||||
Synopsys Synopsys Netlist Linker, Version comp2018q2p1, Build 461R, Built Apr 1 2019 09:17:43
|
||||
|
||||
@N|Running in 64-bit mode
|
||||
File \\Mac\iCloud\Repos\RAM2E\CPLD\LCMXO2-640HC\impl1\synwork\RAM2E_LCMXO2_640HC_impl1_comp.srs changed - recompiling
|
||||
|
||||
At syn_nfilter Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 68MB peak: 68MB)
|
||||
|
||||
Process took 0h:00m:01s realtime, 0h:00m:01s cputime
|
||||
|
||||
Process completed successfully.
|
||||
# Fri Jun 7 20:50:02 2024
|
||||
# Fri Jul 12 16:07:15 2024
|
||||
|
||||
###########################################################]
|
||||
Premap Report
|
||||
|
||||
# Fri Jun 7 20:50:02 2024
|
||||
# Fri Jul 12 16:07:17 2024
|
||||
|
||||
|
||||
Copyright (C) 1994-2018 Synopsys, Inc.
|
||||
@ -192,26 +193,26 @@ Printing clock summary report in "\\Mac\iCloud\Repos\RAM2E\CPLD\LCMXO2-640HC\im
|
||||
@N: MF248 |Running in 64-bit mode.
|
||||
@N: MF666 |Clock conversion enabled. (Command "set_option -fix_gated_and_generated_clocks 1" in the project file.)
|
||||
|
||||
Design Input Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 100MB peak: 102MB)
|
||||
Design Input Complete (Real Time elapsed 0h:00m:02s; CPU Time elapsed 0h:00m:00s; Memory used current: 100MB peak: 102MB)
|
||||
|
||||
|
||||
Mapper Initialization Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 100MB peak: 102MB)
|
||||
Mapper Initialization Complete (Real Time elapsed 0h:00m:02s; CPU Time elapsed 0h:00m:00s; Memory used current: 100MB peak: 102MB)
|
||||
|
||||
|
||||
Start loading timing files (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 112MB peak: 113MB)
|
||||
Start loading timing files (Real Time elapsed 0h:00m:02s; CPU Time elapsed 0h:00m:00s; Memory used current: 112MB peak: 113MB)
|
||||
|
||||
|
||||
Finished loading timing files (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 113MB peak: 115MB)
|
||||
Finished loading timing files (Real Time elapsed 0h:00m:02s; CPU Time elapsed 0h:00m:00s; Memory used current: 113MB peak: 115MB)
|
||||
|
||||
@N: MF284 |Setting synthesis effort to medium for the design
|
||||
@W: FX474 |User-specified initial value defined for some sequential elements which can prevent optimum synthesis results from being achieved.
|
||||
@A: FX681 :"\\mac\icloud\repos\ram2e\cpld\ram2e.v":21:4:21:9|Initial value on register S[3:0] is non-zero which can prevent the register from being packed into a block RAM or DSP.
|
||||
@N: MH105 |UMR3 is only supported for HAPS-80.
|
||||
@N: MH105 |UMR3 is only supported for HAPS-80.
|
||||
@N: BN115 :"\\mac\icloud\repos\ram2e\cpld\ram2e.v":140:9:140:12|Removing instance dhgr (in view: work.RAM2E(verilog)) of type view:work.DHGR(verilog) because it does not drive other instances.
|
||||
@N: BN115 :"\\mac\icloud\repos\ram2e\cpld\ram2e.v":136:9:136:12|Removing instance dhgr (in view: work.RAM2E(verilog)) of type view:work.DHGR(verilog) because it does not drive other instances.
|
||||
syn_allowed_resources : blockrams=2 set on top level netlist RAM2E
|
||||
|
||||
Finished netlist restructuring (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 141MB peak: 143MB)
|
||||
Finished netlist restructuring (Real Time elapsed 0h:00m:03s; CPU Time elapsed 0h:00m:00s; Memory used current: 141MB peak: 143MB)
|
||||
|
||||
|
||||
|
||||
@ -221,7 +222,7 @@ Clock Summary
|
||||
Start Requested Requested Clock Clock Clock
|
||||
Level Clock Frequency Period Type Group Load
|
||||
-----------------------------------------------------------------------------------------------
|
||||
0 - C14M 14.3 MHz 69.841 declared default_clkgroup 121
|
||||
0 - C14M 14.3 MHz 69.841 declared default_clkgroup 120
|
||||
|
||||
0 - System 100.0 MHz 10.000 system system_clkgroup 0
|
||||
|
||||
@ -236,7 +237,7 @@ Clock Load Summary
|
||||
Clock Source Clock Pin Non-clock Pin Non-clock Pin
|
||||
Clock Load Pin Seq Example Seq Example Comb Example
|
||||
--------------------------------------------------------------------------------------------
|
||||
C14M 121 C14M(port) RAT.C - un1_C14M.I[0](inv)
|
||||
C14M 120 C14M(port) RAT.C - un1_C14M.I[0](inv)
|
||||
|
||||
System 0 - - - -
|
||||
|
||||
@ -256,14 +257,14 @@ Number of ICG latches not removed: 0
|
||||
|
||||
#### START OF PREMAP CLOCK OPTIMIZATION REPORT #####[
|
||||
|
||||
2 non-gated/non-generated clock tree(s) driving 125 clock pin(s) of sequential element(s)
|
||||
2 non-gated/non-generated clock tree(s) driving 124 clock pin(s) of sequential element(s)
|
||||
0 gated/generated clock tree(s) driving 0 clock pin(s) of sequential element(s)
|
||||
0 instances converted, 0 sequential instances remain driven by gated/generated clocks
|
||||
|
||||
=========================== Non-Gated/Non-Generated Clocks ============================
|
||||
Clock Tree ID Driving Element Drive Element Type Fanout Sample Instance
|
||||
---------------------------------------------------------------------------------------
|
||||
@KP:ckid0_0 C14M port 121 PHI1r
|
||||
@KP:ckid0_0 C14M port 120 PHI1r
|
||||
@KP:ckid0_1 PHI1 Unconstrained_port 4 RC[2:0]
|
||||
=======================================================================================
|
||||
|
||||
@ -273,27 +274,25 @@ Clock Tree ID Driving Element Drive Element Type Fanout Sample I
|
||||
@N: FX1143 |Skipping assigning INTERNAL_VREF to iobanks, because the table of mapping from pin to iobank is not initialized.
|
||||
Finished Pre Mapping Phase.
|
||||
|
||||
Starting constraint checker (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 142MB peak: 143MB)
|
||||
Starting constraint checker (Real Time elapsed 0h:00m:06s; CPU Time elapsed 0h:00m:00s; Memory used current: 142MB peak: 143MB)
|
||||
|
||||
|
||||
Finished constraint checker preprocessing (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 142MB peak: 143MB)
|
||||
Finished constraint checker preprocessing (Real Time elapsed 0h:00m:06s; CPU Time elapsed 0h:00m:00s; Memory used current: 142MB peak: 143MB)
|
||||
|
||||
None
|
||||
None
|
||||
|
||||
Finished constraint checker (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 142MB peak: 143MB)
|
||||
Finished constraint checker (Real Time elapsed 0h:00m:09s; CPU Time elapsed 0h:00m:00s; Memory used current: 142MB peak: 143MB)
|
||||
|
||||
Pre-mapping successful!
|
||||
|
||||
At Mapper Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 58MB peak: 143MB)
|
||||
At Mapper Exit (Real Time elapsed 0h:00m:09s; CPU Time elapsed 0h:00m:00s; Memory used current: 58MB peak: 143MB)
|
||||
|
||||
Process took 0h:00m:01s realtime, 0h:00m:01s cputime
|
||||
# Fri Jun 7 20:50:03 2024
|
||||
Process took 0h:00m:10s realtime, 0h:00m:01s cputime
|
||||
# Fri Jul 12 16:07:28 2024
|
||||
|
||||
###########################################################]
|
||||
Map & Optimize Report
|
||||
|
||||
# Fri Jun 7 20:50:03 2024
|
||||
# Fri Jul 12 16:07:31 2024
|
||||
|
||||
|
||||
Copyright (C) 1994-2018 Synopsys, Inc.
|
||||
@ -333,7 +332,7 @@ Finished loading timing files (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h
|
||||
@N: MF284 |Setting synthesis effort to medium for the design
|
||||
|
||||
|
||||
Starting Optimization and Mapping (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 140MB peak: 142MB)
|
||||
Starting Optimization and Mapping (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:00s; Memory used current: 140MB peak: 142MB)
|
||||
|
||||
|
||||
Available hyper_sources - for debug and ip models
|
||||
@ -342,61 +341,61 @@ Available hyper_sources - for debug and ip models
|
||||
@N: FX493 |Applying initial value "00000000" on instance RWBank[7:0].
|
||||
@N: FX493 |Applying initial value "0000" on instance S[3:0].
|
||||
|
||||
Finished RTL optimizations (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 141MB peak: 142MB)
|
||||
Finished RTL optimizations (Real Time elapsed 0h:00m:02s; CPU Time elapsed 0h:00m:00s; Memory used current: 141MB peak: 142MB)
|
||||
|
||||
@N: MO231 :"\\mac\icloud\repos\ram2e\cpld\ram2e.v":14:23:14:28|Found counter in view:work.RAM2E(verilog) instance FS[15:0]
|
||||
|
||||
Starting factoring (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 142MB peak: 142MB)
|
||||
Starting factoring (Real Time elapsed 0h:00m:03s; CPU Time elapsed 0h:00m:00s; Memory used current: 142MB peak: 142MB)
|
||||
|
||||
|
||||
Finished factoring (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 145MB peak: 145MB)
|
||||
Finished factoring (Real Time elapsed 0h:00m:03s; CPU Time elapsed 0h:00m:00s; Memory used current: 145MB peak: 145MB)
|
||||
|
||||
|
||||
Finished generic timing optimizations - Pass 1 (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 146MB peak: 146MB)
|
||||
Finished generic timing optimizations - Pass 1 (Real Time elapsed 0h:00m:04s; CPU Time elapsed 0h:00m:01s; Memory used current: 146MB peak: 146MB)
|
||||
|
||||
|
||||
Starting Early Timing Optimization (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 146MB peak: 146MB)
|
||||
Starting Early Timing Optimization (Real Time elapsed 0h:00m:04s; CPU Time elapsed 0h:00m:01s; Memory used current: 146MB peak: 146MB)
|
||||
|
||||
|
||||
Finished Early Timing Optimization (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:00s; Memory used current: 146MB peak: 146MB)
|
||||
Finished Early Timing Optimization (Real Time elapsed 0h:00m:05s; CPU Time elapsed 0h:00m:01s; Memory used current: 146MB peak: 146MB)
|
||||
|
||||
|
||||
Finished generic timing optimizations - Pass 2 (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:00s; Memory used current: 146MB peak: 146MB)
|
||||
Finished generic timing optimizations - Pass 2 (Real Time elapsed 0h:00m:05s; CPU Time elapsed 0h:00m:01s; Memory used current: 146MB peak: 146MB)
|
||||
|
||||
|
||||
Finished preparing to map (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 146MB peak: 146MB)
|
||||
Finished preparing to map (Real Time elapsed 0h:00m:05s; CPU Time elapsed 0h:00m:01s; Memory used current: 146MB peak: 147MB)
|
||||
|
||||
|
||||
Finished technology mapping (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 157MB peak: 160MB)
|
||||
Finished technology mapping (Real Time elapsed 0h:00m:06s; CPU Time elapsed 0h:00m:01s; Memory used current: 157MB peak: 160MB)
|
||||
|
||||
Pass CPU time Worst Slack Luts / Registers
|
||||
------------------------------------------------------------
|
||||
1 0h:00m:01s 6.90ns 281 / 125
|
||||
1 0h:00m:01s 6.90ns 280 / 124
|
||||
|
||||
Finished technology timing optimizations and critical path resynthesis (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 157MB peak: 160MB)
|
||||
Finished technology timing optimizations and critical path resynthesis (Real Time elapsed 0h:00m:06s; CPU Time elapsed 0h:00m:01s; Memory used current: 157MB peak: 160MB)
|
||||
|
||||
@N: FX164 |The option to pack registers in the IOB has not been specified. Please set syn_useioff attribute.
|
||||
@A: BN291 :"\\mac\icloud\repos\ram2e\cpld\ram2e.v":171:4:171:9|Boundary register BA_1_.fb (in view: work.RAM2E(verilog)) is packed into a complex cell. To disable register packing, set syn_keep=1 on the net between the register and the complex cell.
|
||||
@A: BN291 :"\\mac\icloud\repos\ram2e\cpld\ram2e.v":171:4:171:9|Boundary register BA_0_.fb (in view: work.RAM2E(verilog)) is packed into a complex cell. To disable register packing, set syn_keep=1 on the net between the register and the complex cell.
|
||||
@A: BN291 :"\\mac\icloud\repos\ram2e\cpld\ram2e.v":168:4:168:9|Boundary register BA_1_.fb (in view: work.RAM2E(verilog)) is packed into a complex cell. To disable register packing, set syn_keep=1 on the net between the register and the complex cell.
|
||||
@A: BN291 :"\\mac\icloud\repos\ram2e\cpld\ram2e.v":168:4:168:9|Boundary register BA_0_.fb (in view: work.RAM2E(verilog)) is packed into a complex cell. To disable register packing, set syn_keep=1 on the net between the register and the complex cell.
|
||||
|
||||
Finished restoring hierarchy (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 158MB peak: 160MB)
|
||||
Finished restoring hierarchy (Real Time elapsed 0h:00m:07s; CPU Time elapsed 0h:00m:02s; Memory used current: 158MB peak: 160MB)
|
||||
|
||||
|
||||
Start Writing Netlists (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 122MB peak: 160MB)
|
||||
Start Writing Netlists (Real Time elapsed 0h:00m:08s; CPU Time elapsed 0h:00m:02s; Memory used current: 122MB peak: 160MB)
|
||||
|
||||
Writing Analyst data base \\Mac\iCloud\Repos\RAM2E\CPLD\LCMXO2-640HC\impl1\synwork\RAM2E_LCMXO2_640HC_impl1_m.srm
|
||||
|
||||
Finished Writing Netlist Databases (Real Time elapsed 0h:00m:02s; CPU Time elapsed 0h:00m:02s; Memory used current: 158MB peak: 160MB)
|
||||
Finished Writing Netlist Databases (Real Time elapsed 0h:00m:10s; CPU Time elapsed 0h:00m:02s; Memory used current: 157MB peak: 160MB)
|
||||
|
||||
Writing EDIF Netlist and constraint files
|
||||
@N: FX1056 |Writing EDF file: \\Mac\iCloud\Repos\RAM2E\CPLD\LCMXO2-640HC\impl1\RAM2E_LCMXO2_640HC_impl1.edi
|
||||
N-2018.03L-SP1-1
|
||||
@N: BW106 |Synplicity Constraint File capacitance units using default value of 1pF
|
||||
|
||||
Finished Writing EDIF Netlist and constraint files (Real Time elapsed 0h:00m:03s; CPU Time elapsed 0h:00m:02s; Memory used current: 162MB peak: 164MB)
|
||||
Finished Writing EDIF Netlist and constraint files (Real Time elapsed 0h:00m:13s; CPU Time elapsed 0h:00m:03s; Memory used current: 161MB peak: 163MB)
|
||||
|
||||
|
||||
Start final timing analysis (Real Time elapsed 0h:00m:03s; CPU Time elapsed 0h:00m:03s; Memory used current: 161MB peak: 164MB)
|
||||
Start final timing analysis (Real Time elapsed 0h:00m:13s; CPU Time elapsed 0h:00m:03s; Memory used current: 160MB peak: 163MB)
|
||||
|
||||
@W: MT246 :"\\mac\icloud\repos\ram2e\cpld\lcmxo2-640hc\refb.v":78:8:78:16|Blackbox EFB is missing a user supplied timing model. This may have a negative effect on timing analysis and optimizations (Quality of Results)
|
||||
@N: MT615 |Found clock C14M with period 69.84ns
|
||||
@ -404,7 +403,7 @@ Start final timing analysis (Real Time elapsed 0h:00m:03s; CPU Time elapsed 0h:0
|
||||
|
||||
|
||||
##### START OF TIMING REPORT #####[
|
||||
# Timing Report written on Fri Jun 7 20:50:06 2024
|
||||
# Timing Report written on Fri Jul 12 16:07:45 2024
|
||||
#
|
||||
|
||||
|
||||
@ -429,9 +428,9 @@ Worst slack in design: 6.897
|
||||
Requested Estimated Requested Estimated Clock Clock
|
||||
Starting Clock Frequency Frequency Period Period Slack Type Group
|
||||
----------------------------------------------------------------------------------------------------------------------
|
||||
C14M 14.3 MHz 112.1 MHz 69.841 8.918 31.599 declared default_clkgroup
|
||||
C14M 14.3 MHz 120.3 MHz 69.841 8.314 31.675 declared default_clkgroup
|
||||
RAM2E|PHI1 100.0 MHz 322.2 MHz 10.000 3.103 6.897 inferred Inferred_clkgroup_0
|
||||
System 100.0 MHz NA 10.000 NA 67.088 system system_clkgroup
|
||||
System 100.0 MHz NA 10.000 NA 66.719 system system_clkgroup
|
||||
======================================================================================================================
|
||||
Estimated period and frequency reported as NA means no slack depends directly on the clock waveform
|
||||
|
||||
@ -446,9 +445,9 @@ Clocks | rise to rise | fall to fall | rise
|
||||
----------------------------------------------------------------------------------------------------------------
|
||||
Starting Ending | constraint slack | constraint slack | constraint slack | constraint slack
|
||||
----------------------------------------------------------------------------------------------------------------
|
||||
System C14M | 69.841 67.088 | No paths - | No paths - | No paths -
|
||||
System C14M | 69.841 66.719 | No paths - | No paths - | No paths -
|
||||
C14M System | 69.841 68.797 | No paths - | No paths - | No paths -
|
||||
C14M C14M | 69.841 60.923 | No paths - | 34.920 31.599 | No paths -
|
||||
C14M C14M | 69.841 61.527 | No paths - | 34.920 31.675 | No paths -
|
||||
RAM2E|PHI1 C14M | No paths - | No paths - | No paths - | Diff grp -
|
||||
RAM2E|PHI1 RAM2E|PHI1 | No paths - | 10.000 6.897 | No paths - | No paths -
|
||||
================================================================================================================
|
||||
@ -477,10 +476,10 @@ Starting Points with Worst Slack
|
||||
Instance Reference Type Pin Net Time Slack
|
||||
Clock
|
||||
---------------------------------------------------------------------------
|
||||
S[2] C14M FD1S3AX Q S[2] 1.366 31.599
|
||||
S[3] C14M FD1S3AX Q S[3] 1.345 31.619
|
||||
S[1] C14M FD1S3AX Q S[1] 1.344 31.800
|
||||
S[0] C14M FD1S3AX Q S[0] 1.305 31.838
|
||||
S[2] C14M FD1S3AX Q S[2] 1.353 31.675
|
||||
S[3] C14M FD1S3AX Q S[3] 1.337 31.691
|
||||
S[0] C14M FD1S3AX Q S[0] 1.319 32.182
|
||||
S[1] C14M FD1S3AX Q S[1] 1.344 32.656
|
||||
RA[0] C14M FD1P3AX Q RA[0] 1.108 33.707
|
||||
RA[3] C14M FD1P3AX Q RA[3] 1.108 33.707
|
||||
RA[1] C14M FD1P3AX Q RA[1] 1.044 33.771
|
||||
@ -493,21 +492,21 @@ RA[5] C14M FD1P3AX Q RA[5] 1.044 33.771
|
||||
Ending Points with Worst Slack
|
||||
******************************
|
||||
|
||||
Starting Required
|
||||
Instance Reference Type Pin Net Time Slack
|
||||
Clock
|
||||
-----------------------------------------------------------------------------------
|
||||
VOE_i_0io C14M OFS1P3IX CD N_530 34.118 31.599
|
||||
VOE_i_0io C14M OFS1P3IX D VOE_ic_i 35.009 31.800
|
||||
Vout_0io[0] C14M OFS1P3DX SP Vout3 34.449 32.634
|
||||
Vout_0io[1] C14M OFS1P3DX SP Vout3 34.449 32.634
|
||||
Vout_0io[2] C14M OFS1P3DX SP Vout3 34.449 32.634
|
||||
Vout_0io[3] C14M OFS1P3DX SP Vout3 34.449 32.634
|
||||
Vout_0io[4] C14M OFS1P3DX SP Vout3 34.449 32.634
|
||||
Vout_0io[5] C14M OFS1P3DX SP Vout3 34.449 32.634
|
||||
Vout_0io[6] C14M OFS1P3DX SP Vout3 34.449 32.634
|
||||
Vout_0io[7] C14M OFS1P3DX SP Vout3 34.449 32.634
|
||||
===================================================================================
|
||||
Starting Required
|
||||
Instance Reference Type Pin Net Time Slack
|
||||
Clock
|
||||
----------------------------------------------------------------------------------
|
||||
VOE_i_0io C14M OFS1P3IX CD N_523_1 34.118 31.675
|
||||
RAT C14M FD1S3IX CD RATc_i 34.118 32.147
|
||||
Vout_0io[0] C14M OFS1P3DX SP Vout3 34.449 32.647
|
||||
Vout_0io[1] C14M OFS1P3DX SP Vout3 34.449 32.647
|
||||
Vout_0io[2] C14M OFS1P3DX SP Vout3 34.449 32.647
|
||||
Vout_0io[3] C14M OFS1P3DX SP Vout3 34.449 32.647
|
||||
Vout_0io[4] C14M OFS1P3DX SP Vout3 34.449 32.647
|
||||
Vout_0io[5] C14M OFS1P3DX SP Vout3 34.449 32.647
|
||||
Vout_0io[6] C14M OFS1P3DX SP Vout3 34.449 32.647
|
||||
Vout_0io[7] C14M OFS1P3DX SP Vout3 34.449 32.647
|
||||
==================================================================================
|
||||
|
||||
|
||||
|
||||
@ -521,9 +520,9 @@ Path information for path number 1:
|
||||
+ Clock delay at ending point: 0.000 (ideal)
|
||||
= Required time: 34.118
|
||||
|
||||
- Propagation time: 2.519
|
||||
- Propagation time: 2.442
|
||||
- Clock delay at starting point: 0.000 (ideal)
|
||||
= Slack (non-critical) : 31.599
|
||||
= Slack (non-critical) : 31.675
|
||||
|
||||
Number of logic level(s): 1
|
||||
Starting point: S[2] / Q
|
||||
@ -531,16 +530,16 @@ Path information for path number 1:
|
||||
The start point is clocked by C14M [rising] on pin CK
|
||||
The end point is clocked by C14M [falling] on pin SCLK
|
||||
|
||||
Instance / Net Pin Pin Arrival No. of
|
||||
Name Type Name Dir Delay Time Fan Out(s)
|
||||
------------------------------------------------------------------------------------------------------------
|
||||
S[2] FD1S3AX Q Out 1.366 1.366 -
|
||||
S[2] Net - - - - 58
|
||||
ram2e_ufm.un1_wb_adr_0_sqmuxa_2_0_a2_0[0] ORCALUT4 A In 0.000 1.366 -
|
||||
ram2e_ufm.un1_wb_adr_0_sqmuxa_2_0_a2_0[0] ORCALUT4 Z Out 1.153 2.519 -
|
||||
N_530 Net - - - - 3
|
||||
VOE_i_0io OFS1P3IX CD In 0.000 2.519 -
|
||||
============================================================================================================
|
||||
Instance / Net Pin Pin Arrival No. of
|
||||
Name Type Name Dir Delay Time Fan Out(s)
|
||||
---------------------------------------------------------------------------------------
|
||||
S[2] FD1S3AX Q Out 1.353 1.353 -
|
||||
S[2] Net - - - - 50
|
||||
ram2e_ufm.VOE_2_0_a2 ORCALUT4 A In 0.000 1.353 -
|
||||
ram2e_ufm.VOE_2_0_a2 ORCALUT4 Z Out 1.089 2.442 -
|
||||
N_523_1 Net - - - - 2
|
||||
VOE_i_0io OFS1P3IX CD In 0.000 2.442 -
|
||||
=======================================================================================
|
||||
|
||||
|
||||
|
||||
@ -629,7 +628,7 @@ Starting Points with Worst Slack
|
||||
Instance Reference Type Pin Net Time Slack
|
||||
Clock
|
||||
---------------------------------------------------------------------------------------------------
|
||||
ram2e_ufm.ufmefb.EFBInst_0 System EFB WBACKO wb_ack 0.000 67.088
|
||||
ram2e_ufm.ufmefb.EFBInst_0 System EFB WBACKO wb_ack 0.000 66.719
|
||||
ram2e_ufm.ufmefb.EFBInst_0 System EFB WBDATO0 wb_dato[0] 0.000 69.313
|
||||
ram2e_ufm.ufmefb.EFBInst_0 System EFB WBDATO1 wb_dato[1] 0.000 69.313
|
||||
ram2e_ufm.ufmefb.EFBInst_0 System EFB WBDATO2 wb_dato[2] 0.000 69.313
|
||||
@ -644,21 +643,21 @@ ram2e_ufm.ufmefb.EFBInst_0 System EFB WBDATO7 wb_dato[7]
|
||||
Ending Points with Worst Slack
|
||||
******************************
|
||||
|
||||
Starting Required
|
||||
Instance Reference Type Pin Net Time Slack
|
||||
Clock
|
||||
----------------------------------------------------------------------------------------
|
||||
ram2e_ufm.RWMask[0] System FD1P3AX SP N_104 69.369 67.088
|
||||
ram2e_ufm.RWMask[1] System FD1P3AX SP N_104 69.369 67.088
|
||||
ram2e_ufm.RWMask[2] System FD1P3AX SP N_104 69.369 67.088
|
||||
ram2e_ufm.RWMask[3] System FD1P3AX SP N_104 69.369 67.088
|
||||
ram2e_ufm.RWMask[4] System FD1P3AX SP N_104 69.369 67.088
|
||||
ram2e_ufm.RWMask[5] System FD1P3AX SP N_104 69.369 67.088
|
||||
ram2e_ufm.RWMask[6] System FD1P3AX SP N_104 69.369 67.088
|
||||
ram2e_ufm.RWMask[7] System FD1P3AX SP N_104 69.369 67.088
|
||||
ram2e_ufm.LEDEN System FD1P3AX SP N_98 69.369 67.736
|
||||
ram2e_ufm.wb_cyc_stb System FD1P3AX SP N_111 69.369 67.736
|
||||
========================================================================================
|
||||
Starting Required
|
||||
Instance Reference Type Pin Net Time Slack
|
||||
Clock
|
||||
---------------------------------------------------------------------------------------------------------------
|
||||
ram2e_ufm.wb_cyc_stb System FD1P3AX SP un1_CmdBitbangMXO212_1_i[0] 69.369 66.719
|
||||
ram2e_ufm.LEDEN System FD1P3AX SP un1_LEDEN_0_sqmuxa_1_i_0[0] 69.369 67.736
|
||||
ram2e_ufm.RWMask[0] System FD1P3AX SP un1_RWMask_0_sqmuxa_1_i_0[0] 69.369 68.105
|
||||
ram2e_ufm.RWMask[1] System FD1P3AX SP un1_RWMask_0_sqmuxa_1_i_0[0] 69.369 68.105
|
||||
ram2e_ufm.RWMask[2] System FD1P3AX SP un1_RWMask_0_sqmuxa_1_i_0[0] 69.369 68.105
|
||||
ram2e_ufm.RWMask[3] System FD1P3AX SP un1_RWMask_0_sqmuxa_1_i_0[0] 69.369 68.105
|
||||
ram2e_ufm.RWMask[4] System FD1P3AX SP un1_RWMask_0_sqmuxa_1_i_0[0] 69.369 68.105
|
||||
ram2e_ufm.RWMask[5] System FD1P3AX SP un1_RWMask_0_sqmuxa_1_i_0[0] 69.369 68.105
|
||||
ram2e_ufm.RWMask[6] System FD1P3AX SP un1_RWMask_0_sqmuxa_1_i_0[0] 69.369 68.105
|
||||
ram2e_ufm.RWMask[7] System FD1P3AX SP un1_RWMask_0_sqmuxa_1_i_0[0] 69.369 68.105
|
||||
===============================================================================================================
|
||||
|
||||
|
||||
|
||||
@ -672,30 +671,33 @@ Path information for path number 1:
|
||||
+ Clock delay at ending point: 0.000 (ideal)
|
||||
= Required time: 69.369
|
||||
|
||||
- Propagation time: 2.282
|
||||
- Propagation time: 2.650
|
||||
- Clock delay at starting point: 0.000 (ideal)
|
||||
- Estimated clock delay at start point: -0.000
|
||||
= Slack (non-critical) : 67.088
|
||||
= Slack (non-critical) : 66.719
|
||||
|
||||
Number of logic level(s): 2
|
||||
Number of logic level(s): 3
|
||||
Starting point: ram2e_ufm.ufmefb.EFBInst_0 / WBACKO
|
||||
Ending point: ram2e_ufm.RWMask[0] / SP
|
||||
Ending point: ram2e_ufm.wb_cyc_stb / SP
|
||||
The start point is clocked by System [rising]
|
||||
The end point is clocked by C14M [rising] on pin CK
|
||||
|
||||
Instance / Net Pin Pin Arrival No. of
|
||||
Name Type Name Dir Delay Time Fan Out(s)
|
||||
----------------------------------------------------------------------------------------------------------------
|
||||
ram2e_ufm.ufmefb.EFBInst_0 EFB WBACKO Out 0.000 0.000 -
|
||||
wb_ack Net - - - - 5
|
||||
ram2e_ufm.un1_RWMask_0_sqmuxa_1_i_a2_0_0[0] ORCALUT4 B In 0.000 0.000 -
|
||||
ram2e_ufm.un1_RWMask_0_sqmuxa_1_i_a2_0_0[0] ORCALUT4 Z Out 1.017 1.017 -
|
||||
un1_RWMask_0_sqmuxa_1_i_a2_0_0[0] Net - - - - 1
|
||||
ram2e_ufm.un1_RWMask_0_sqmuxa_1_i_0[0] ORCALUT4 D In 0.000 1.017 -
|
||||
ram2e_ufm.un1_RWMask_0_sqmuxa_1_i_0[0] ORCALUT4 Z Out 1.265 2.282 -
|
||||
N_104 Net - - - - 8
|
||||
ram2e_ufm.RWMask[0] FD1P3AX SP In 0.000 2.282 -
|
||||
================================================================================================================
|
||||
Instance / Net Pin Pin Arrival No. of
|
||||
Name Type Name Dir Delay Time Fan Out(s)
|
||||
---------------------------------------------------------------------------------------------------------------
|
||||
ram2e_ufm.ufmefb.EFBInst_0 EFB WBACKO Out 0.000 0.000 -
|
||||
wb_ack Net - - - - 4
|
||||
ram2e_ufm.un1_CmdBitbangMXO212_1_0_0_tz[0] ORCALUT4 B In 0.000 0.000 -
|
||||
ram2e_ufm.un1_CmdBitbangMXO212_1_0_0_tz[0] ORCALUT4 Z Out 1.017 1.017 -
|
||||
un1_CmdBitbangMXO212_1_0_0_tz[0] Net - - - - 1
|
||||
ram2e_ufm.un1_CmdBitbangMXO212_1_0_0_0[0] ORCALUT4 C In 0.000 1.017 -
|
||||
ram2e_ufm.un1_CmdBitbangMXO212_1_0_0_0[0] ORCALUT4 Z Out 1.017 2.034 -
|
||||
un1_CmdBitbangMXO212_1_0_0_0[0] Net - - - - 1
|
||||
ram2e_ufm.wb_cyc_stb_RNO_0 ORCALUT4 A In 0.000 2.034 -
|
||||
ram2e_ufm.wb_cyc_stb_RNO_0 ORCALUT4 Z Out 0.617 2.650 -
|
||||
un1_CmdBitbangMXO212_1_i[0] Net - - - - 1
|
||||
ram2e_ufm.wb_cyc_stb FD1P3AX SP In 0.000 2.650 -
|
||||
===============================================================================================================
|
||||
|
||||
|
||||
|
||||
@ -704,16 +706,16 @@ ram2e_ufm.RWMask[0] FD1P3AX SP In
|
||||
Timing exceptions that could not be applied
|
||||
None
|
||||
|
||||
Finished final timing analysis (Real Time elapsed 0h:00m:03s; CPU Time elapsed 0h:00m:03s; Memory used current: 161MB peak: 164MB)
|
||||
Finished final timing analysis (Real Time elapsed 0h:00m:15s; CPU Time elapsed 0h:00m:03s; Memory used current: 161MB peak: 163MB)
|
||||
|
||||
|
||||
Finished timing report (Real Time elapsed 0h:00m:03s; CPU Time elapsed 0h:00m:03s; Memory used current: 161MB peak: 164MB)
|
||||
Finished timing report (Real Time elapsed 0h:00m:15s; CPU Time elapsed 0h:00m:03s; Memory used current: 161MB peak: 163MB)
|
||||
|
||||
---------------------------------------
|
||||
Resource Usage Report
|
||||
Part: lcmxo2_640hc-4
|
||||
|
||||
Register bits: 125 of 640 (20%)
|
||||
Register bits: 124 of 640 (19%)
|
||||
PIC Latch: 0
|
||||
I/O cells: 70
|
||||
|
||||
@ -722,7 +724,7 @@ Details:
|
||||
BB: 8
|
||||
CCU2D: 9
|
||||
EFB: 1
|
||||
FD1P3AX: 58
|
||||
FD1P3AX: 57
|
||||
FD1P3IX: 1
|
||||
FD1S3AX: 31
|
||||
FD1S3AY: 4
|
||||
@ -735,16 +737,17 @@ OB: 41
|
||||
OFS1P3BX: 6
|
||||
OFS1P3DX: 12
|
||||
OFS1P3IX: 3
|
||||
ORCALUT4: 275
|
||||
ORCALUT4: 268
|
||||
PFUMX: 10
|
||||
PUR: 1
|
||||
VHI: 3
|
||||
VLO: 3
|
||||
Mapper successful!
|
||||
|
||||
At Mapper Exit (Real Time elapsed 0h:00m:03s; CPU Time elapsed 0h:00m:03s; Memory used current: 33MB peak: 164MB)
|
||||
At Mapper Exit (Real Time elapsed 0h:00m:15s; CPU Time elapsed 0h:00m:03s; Memory used current: 33MB peak: 163MB)
|
||||
|
||||
Process took 0h:00m:03s realtime, 0h:00m:03s cputime
|
||||
# Fri Jun 7 20:50:07 2024
|
||||
Process took 0h:00m:15s realtime, 0h:00m:03s cputime
|
||||
# Fri Jul 12 16:07:49 2024
|
||||
|
||||
###########################################################]
|
||||
|
||||
|
@ -2,17 +2,19 @@
|
||||
Starting: parse design source files
|
||||
(VERI-1482) Analyzing Verilog file C:/lscc/diamond/3.11_x64/ispfpga/userware/NT/SYNTHESIS_HEADERS/machxo2.v
|
||||
(VERI-1482) Analyzing Verilog file //Mac/iCloud/Repos/RAM2E/CPLD/RAM2E.v
|
||||
WARNING - //Mac/iCloud/Repos/RAM2E/CPLD/RAM2E.v(140,9-140,25) (VERI-1362) CmdRWMaskSet is already implicitly declared on line 131
|
||||
WARNING - //Mac/iCloud/Repos/RAM2E/CPLD/RAM2E.v(141,9-141,22) (VERI-1362) CmdLEDSet is already implicitly declared on line 131
|
||||
(VERI-1482) Analyzing Verilog file //Mac/iCloud/Repos/RAM2E/CPLD/UFM-LCMXO2.v
|
||||
(VERI-1482) Analyzing Verilog file //Mac/iCloud/Repos/RAM2E/CPLD/LCMXO2-640HC/REFB.v
|
||||
(VERI-1482) Analyzing Verilog file //Mac/iCloud/Repos/RAM2E/CPLD/DHGR-ON.v
|
||||
INFO - //Mac/iCloud/Repos/RAM2E/CPLD/RAM2E.v(1,8-1,13) (VERI-1018) compiling module RAM2E
|
||||
INFO - //Mac/iCloud/Repos/RAM2E/CPLD/RAM2E.v(1,1-479,10) (VERI-9000) elaborating module 'RAM2E'
|
||||
INFO - //Mac/iCloud/Repos/RAM2E/CPLD/RAM2E.v(1,1-476,10) (VERI-9000) elaborating module 'RAM2E'
|
||||
INFO - //Mac/iCloud/Repos/RAM2E/CPLD/UFM-LCMXO2.v(1,1-335,10) (VERI-9000) elaborating module 'RAM2E_UFM_uniq_1'
|
||||
INFO - //Mac/iCloud/Repos/RAM2E/CPLD/DHGR-ON.v(1,1-1,68) (VERI-9000) elaborating module 'DHGR_uniq_1'
|
||||
INFO - //Mac/iCloud/Repos/RAM2E/CPLD/LCMXO2-640HC/REFB.v(8,1-113,10) (VERI-9000) elaborating module 'REFB_uniq_1'
|
||||
INFO - C:/lscc/diamond/3.11_x64/ispfpga/userware/NT/SYNTHESIS_HEADERS/machxo2.v(1120,1-1122,10) (VERI-9000) elaborating module 'VHI_uniq_1'
|
||||
INFO - C:/lscc/diamond/3.11_x64/ispfpga/userware/NT/SYNTHESIS_HEADERS/machxo2.v(1124,1-1126,10) (VERI-9000) elaborating module 'VLO_uniq_1'
|
||||
INFO - C:/lscc/diamond/3.11_x64/ispfpga/userware/NT/SYNTHESIS_HEADERS/machxo2.v(1800,1-1872,10) (VERI-9000) elaborating module 'EFB_uniq_1'
|
||||
Done: design load finished with (0) errors, and (0) warnings
|
||||
Done: design load finished with (0) errors, and (2) warnings
|
||||
|
||||
</PRE></BODY></HTML>
|
@ -1,3 +1,3 @@
|
||||
<?xml version="1.0" encoding="UTF-8"?>
|
||||
<userSetting name="//Mac/iCloud/Repos/RAM2E/CPLD/LCMXO2-640HC/promote.xml" version="Diamond (64-bit) 3.11.3.469" date="Sat Jun 08 04:44:51 2024" vendor="Lattice Semiconductor Corporation" >
|
||||
<userSetting name="//Mac/iCloud/Repos/RAM2E/CPLD/LCMXO2-640HC/promote.xml" version="Diamond (64-bit) 3.11.3.469" date="Fri Jul 12 16:10:18 2024" vendor="Lattice Semiconductor Corporation" >
|
||||
</userSetting>
|
||||
|
@ -1,5 +1,5 @@
|
||||
Assembler report for RAM2E
|
||||
Sat Jun 08 01:44:21 2024
|
||||
Fri Jul 12 16:09:17 2024
|
||||
Quartus Prime Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition
|
||||
|
||||
|
||||
@ -39,7 +39,7 @@ https://fpgasoftware.intel.com/eula.
|
||||
+---------------------------------------------------------------+
|
||||
; Assembler Summary ;
|
||||
+-----------------------+---------------------------------------+
|
||||
; Assembler Status ; Successful - Sat Jun 08 01:44:21 2024 ;
|
||||
; Assembler Status ; Successful - Fri Jul 12 16:09:17 2024 ;
|
||||
; Revision Name ; RAM2E ;
|
||||
; Top-level Entity Name ; RAM2E ;
|
||||
; Family ; MAX II ;
|
||||
@ -69,8 +69,8 @@ https://fpgasoftware.intel.com/eula.
|
||||
+----------------+----------------------------------------------------------------+
|
||||
; Option ; Setting ;
|
||||
+----------------+----------------------------------------------------------------+
|
||||
; JTAG usercode ; 0x00164439 ;
|
||||
; Checksum ; 0x00164839 ;
|
||||
; JTAG usercode ; 0x001661D2 ;
|
||||
; Checksum ; 0x00166552 ;
|
||||
+----------------+----------------------------------------------------------------+
|
||||
|
||||
|
||||
@ -89,13 +89,13 @@ https://fpgasoftware.intel.com/eula.
|
||||
Info: *******************************************************************
|
||||
Info: Running Quartus Prime Assembler
|
||||
Info: Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition
|
||||
Info: Processing started: Sat Jun 08 01:44:20 2024
|
||||
Info: Processing started: Fri Jul 12 16:09:16 2024
|
||||
Info: Command: quartus_asm --read_settings_files=off --write_settings_files=off RAM2E-MAXII -c RAM2E
|
||||
Info (115031): Writing out detailed assembly data for power analysis
|
||||
Info (115030): Assembler is generating device programming files
|
||||
Info: Quartus Prime Assembler was successful. 0 errors, 0 warnings
|
||||
Info: Peak virtual memory: 13099 megabytes
|
||||
Info: Processing ended: Sat Jun 08 01:44:21 2024
|
||||
Info: Peak virtual memory: 13106 megabytes
|
||||
Info: Processing ended: Fri Jul 12 16:09:17 2024
|
||||
Info: Elapsed time: 00:00:01
|
||||
Info: Total CPU time (on all processors): 00:00:01
|
||||
|
||||
|
@ -1 +1 @@
|
||||
Sat Jun 08 01:44:26 2024
|
||||
Fri Jul 12 16:09:22 2024
|
||||
|
@ -1,5 +1,5 @@
|
||||
Fitter report for RAM2E
|
||||
Sat Jun 08 01:44:18 2024
|
||||
Fri Jul 12 16:09:14 2024
|
||||
Quartus Prime Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition
|
||||
|
||||
|
||||
@ -57,14 +57,14 @@ https://fpgasoftware.intel.com/eula.
|
||||
+---------------------------------------------------------------------+
|
||||
; Fitter Summary ;
|
||||
+-----------------------+---------------------------------------------+
|
||||
; Fitter Status ; Successful - Sat Jun 08 01:44:18 2024 ;
|
||||
; Fitter Status ; Successful - Fri Jul 12 16:09:14 2024 ;
|
||||
; Quartus Prime Version ; 19.1.0 Build 670 09/22/2019 SJ Lite Edition ;
|
||||
; Revision Name ; RAM2E ;
|
||||
; Top-level Entity Name ; RAM2E ;
|
||||
; Family ; MAX II ;
|
||||
; Device ; EPM240T100C5 ;
|
||||
; Timing Models ; Final ;
|
||||
; Total logic elements ; 238 / 240 ( 99 % ) ;
|
||||
; Total logic elements ; 233 / 240 ( 97 % ) ;
|
||||
; Total pins ; 71 / 80 ( 89 % ) ;
|
||||
; Total virtual pins ; 0 ;
|
||||
; UFM blocks ; 1 / 1 ( 100 % ) ;
|
||||
@ -134,8 +134,8 @@ https://fpgasoftware.intel.com/eula.
|
||||
; ; ;
|
||||
; Usage by Processor ; % Time Used ;
|
||||
; Processor 1 ; 100.0% ;
|
||||
; Processor 2 ; 1.0% ;
|
||||
; Processors 3-4 ; 0.9% ;
|
||||
; Processor 2 ; 1.1% ;
|
||||
; Processors 3-4 ; 1.0% ;
|
||||
+----------------------------+-------------+
|
||||
|
||||
|
||||
@ -150,27 +150,27 @@ The pin-out file can be found in /Repos/RAM2E/CPLD/MAXII-NODHGR/output_files/RAM
|
||||
+---------------------------------------------+-----------------------+
|
||||
; Resource ; Usage ;
|
||||
+---------------------------------------------+-----------------------+
|
||||
; Total logic elements ; 238 / 240 ( 99 % ) ;
|
||||
; -- Combinational with no register ; 112 ;
|
||||
; Total logic elements ; 233 / 240 ( 97 % ) ;
|
||||
; -- Combinational with no register ; 108 ;
|
||||
; -- Register only ; 19 ;
|
||||
; -- Combinational with a register ; 107 ;
|
||||
; -- Combinational with a register ; 106 ;
|
||||
; ; ;
|
||||
; Logic element usage by number of LUT inputs ; ;
|
||||
; -- 4 input functions ; 116 ;
|
||||
; -- 3 input functions ; 53 ;
|
||||
; -- 2 input functions ; 46 ;
|
||||
; -- 4 input functions ; 111 ;
|
||||
; -- 3 input functions ; 56 ;
|
||||
; -- 2 input functions ; 43 ;
|
||||
; -- 1 input functions ; 3 ;
|
||||
; -- 0 input functions ; 1 ;
|
||||
; ; ;
|
||||
; Logic elements by mode ; ;
|
||||
; -- normal mode ; 224 ;
|
||||
; -- normal mode ; 219 ;
|
||||
; -- arithmetic mode ; 14 ;
|
||||
; -- qfbk mode ; 14 ;
|
||||
; -- register cascade mode ; 0 ;
|
||||
; -- synchronous clear/load mode ; 26 ;
|
||||
; -- synchronous clear/load mode ; 25 ;
|
||||
; -- asynchronous clear/load mode ; 0 ;
|
||||
; ; ;
|
||||
; Total registers ; 126 / 240 ( 53 % ) ;
|
||||
; Total registers ; 125 / 240 ( 52 % ) ;
|
||||
; Total LABs ; 24 / 24 ( 100 % ) ;
|
||||
; Logic elements in carry chains ; 15 ;
|
||||
; Virtual pins ; 0 ;
|
||||
@ -185,12 +185,12 @@ The pin-out file can be found in /Repos/RAM2E/CPLD/MAXII-NODHGR/output_files/RAM
|
||||
; Global signals ; 2 ;
|
||||
; -- Global clocks ; 2 / 4 ( 50 % ) ;
|
||||
; JTAGs ; 0 / 1 ( 0 % ) ;
|
||||
; Average interconnect usage (total/H/V) ; 30.7% / 34.1% / 27.1% ;
|
||||
; Peak interconnect usage (total/H/V) ; 30.7% / 34.1% / 27.1% ;
|
||||
; Maximum fan-out ; 122 ;
|
||||
; Average interconnect usage (total/H/V) ; 27.3% / 28.5% / 26.1% ;
|
||||
; Peak interconnect usage (total/H/V) ; 27.3% / 28.5% / 26.1% ;
|
||||
; Maximum fan-out ; 121 ;
|
||||
; Highest non-global fan-out ; 34 ;
|
||||
; Total fan-out ; 992 ;
|
||||
; Average fan-out ; 3.20 ;
|
||||
; Total fan-out ; 973 ;
|
||||
; Average fan-out ; 3.19 ;
|
||||
+---------------------------------------------+-----------------------+
|
||||
|
||||
|
||||
@ -207,16 +207,16 @@ The pin-out file can be found in /Repos/RAM2E/CPLD/MAXII-NODHGR/output_files/RAM
|
||||
; Ain[5] ; 34 ; 1 ; 3 ; 0 ; 1 ; 2 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ;
|
||||
; Ain[6] ; 39 ; 1 ; 5 ; 0 ; 3 ; 2 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ;
|
||||
; Ain[7] ; 53 ; 2 ; 8 ; 1 ; 3 ; 2 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ;
|
||||
; C14M ; 12 ; 1 ; 1 ; 3 ; 3 ; 122 ; 0 ; yes ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ;
|
||||
; C14M ; 12 ; 1 ; 1 ; 3 ; 3 ; 121 ; 0 ; yes ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ;
|
||||
; Din[0] ; 38 ; 1 ; 4 ; 0 ; 0 ; 15 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ;
|
||||
; Din[1] ; 40 ; 1 ; 5 ; 0 ; 2 ; 11 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ;
|
||||
; Din[2] ; 42 ; 1 ; 5 ; 0 ; 0 ; 13 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ;
|
||||
; Din[3] ; 41 ; 1 ; 5 ; 0 ; 1 ; 13 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ;
|
||||
; Din[4] ; 48 ; 1 ; 6 ; 0 ; 0 ; 10 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ;
|
||||
; Din[1] ; 40 ; 1 ; 5 ; 0 ; 2 ; 8 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ;
|
||||
; Din[2] ; 42 ; 1 ; 5 ; 0 ; 0 ; 11 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ;
|
||||
; Din[3] ; 41 ; 1 ; 5 ; 0 ; 1 ; 11 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ;
|
||||
; Din[4] ; 48 ; 1 ; 6 ; 0 ; 0 ; 8 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ;
|
||||
; Din[5] ; 49 ; 1 ; 7 ; 0 ; 2 ; 9 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ;
|
||||
; Din[6] ; 36 ; 1 ; 4 ; 0 ; 2 ; 8 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ;
|
||||
; Din[7] ; 35 ; 1 ; 3 ; 0 ; 0 ; 10 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ;
|
||||
; PHI1 ; 37 ; 1 ; 4 ; 0 ; 1 ; 5 ; 0 ; yes ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ;
|
||||
; Din[6] ; 36 ; 1 ; 4 ; 0 ; 2 ; 7 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ;
|
||||
; Din[7] ; 35 ; 1 ; 3 ; 0 ; 0 ; 8 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ;
|
||||
; PHI1 ; 37 ; 1 ; 4 ; 0 ; 1 ; 6 ; 0 ; yes ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ;
|
||||
; nC07X ; 52 ; 2 ; 8 ; 1 ; 4 ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ;
|
||||
; nEN80 ; 28 ; 1 ; 2 ; 0 ; 1 ; 10 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ;
|
||||
; nWE ; 51 ; 1 ; 7 ; 0 ; 0 ; 9 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ;
|
||||
@ -233,7 +233,7 @@ The pin-out file can be found in /Repos/RAM2E/CPLD/MAXII-NODHGR/output_files/RAM
|
||||
; BA[1] ; 14 ; 1 ; 1 ; 2 ; 0 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ;
|
||||
; CKEout ; 4 ; 1 ; 1 ; 4 ; 2 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ;
|
||||
; DQMH ; 100 ; 2 ; 2 ; 5 ; 2 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ;
|
||||
; DQML ; 98 ; 2 ; 2 ; 5 ; 0 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ;
|
||||
; DQML ; 98 ; 2 ; 2 ; 5 ; 0 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; no ; User ; 10 pF ; - ; - ;
|
||||
; Dout[0] ; 77 ; 2 ; 7 ; 5 ; 2 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; no ; User ; 10 pF ; - ; - ;
|
||||
; Dout[1] ; 76 ; 2 ; 7 ; 5 ; 1 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; no ; User ; 10 pF ; - ; - ;
|
||||
; Dout[2] ; 74 ; 2 ; 8 ; 4 ; 0 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; no ; User ; 10 pF ; - ; - ;
|
||||
@ -255,15 +255,15 @@ The pin-out file can be found in /Repos/RAM2E/CPLD/MAXII-NODHGR/output_files/RAM
|
||||
; RAout[7] ; 19 ; 1 ; 1 ; 1 ; 1 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; no ; User ; 10 pF ; - ; - ;
|
||||
; RAout[8] ; 17 ; 1 ; 1 ; 2 ; 3 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ;
|
||||
; RAout[9] ; 15 ; 1 ; 1 ; 2 ; 1 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ;
|
||||
; Vout[0] ; 70 ; 2 ; 8 ; 4 ; 4 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; no ; User ; 10 pF ; - ; - ;
|
||||
; Vout[0] ; 70 ; 2 ; 8 ; 4 ; 4 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ;
|
||||
; Vout[1] ; 67 ; 2 ; 8 ; 3 ; 2 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ;
|
||||
; Vout[2] ; 69 ; 2 ; 8 ; 3 ; 0 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; no ; User ; 10 pF ; - ; - ;
|
||||
; Vout[3] ; 62 ; 2 ; 8 ; 2 ; 0 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; no ; User ; 10 pF ; - ; - ;
|
||||
; Vout[2] ; 69 ; 2 ; 8 ; 3 ; 0 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ;
|
||||
; Vout[3] ; 62 ; 2 ; 8 ; 2 ; 0 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ;
|
||||
; Vout[4] ; 71 ; 2 ; 8 ; 4 ; 3 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ;
|
||||
; Vout[5] ; 68 ; 2 ; 8 ; 3 ; 1 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ;
|
||||
; Vout[6] ; 58 ; 2 ; 8 ; 2 ; 2 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ;
|
||||
; Vout[7] ; 57 ; 2 ; 8 ; 2 ; 3 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ;
|
||||
; nCASout ; 3 ; 1 ; 1 ; 4 ; 1 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; no ; User ; 10 pF ; - ; - ;
|
||||
; nCASout ; 3 ; 1 ; 1 ; 4 ; 1 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ;
|
||||
; nCSout ; 8 ; 1 ; 1 ; 3 ; 2 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; no ; User ; 10 pF ; - ; - ;
|
||||
; nDHGROE ; 87 ; 2 ; 5 ; 5 ; 2 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ;
|
||||
; nDOE ; 55 ; 2 ; 8 ; 1 ; 1 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; no ; User ; 10 pF ; - ; - ;
|
||||
@ -279,7 +279,7 @@ The pin-out file can be found in /Repos/RAM2E/CPLD/MAXII-NODHGR/output_files/RAM
|
||||
; Name ; Pin # ; I/O Bank ; X coordinate ; Y coordinate ; Cell number ; Combinational Fan-Out ; Registered Fan-Out ; Global ; Output Register ; Slow Slew Rate ; PCI I/O Enabled ; Open Drain ; Bus Hold ; Weak Pull Up ; I/O Standard ; Current Strength ; Fast Output Connection ; Location assigned by ; Load ; Output Enable Source ; Output Enable Group ;
|
||||
+-------+-------+----------+--------------+--------------+-------------+-----------------------+--------------------+--------+-----------------+----------------+-----------------+------------+----------+--------------+--------------+------------------+------------------------+----------------------+-------+----------------------+---------------------+
|
||||
; RD[0] ; 97 ; 2 ; 3 ; 5 ; 3 ; 2 ; 0 ; no ; no ; yes ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; 4mA ; no ; User ; 10 pF ; RDOE ; - ;
|
||||
; RD[1] ; 90 ; 2 ; 4 ; 5 ; 1 ; 2 ; 0 ; no ; no ; yes ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; 4mA ; no ; User ; 10 pF ; RDOE ; - ;
|
||||
; RD[1] ; 90 ; 2 ; 4 ; 5 ; 1 ; 2 ; 0 ; no ; no ; yes ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; RDOE ; - ;
|
||||
; RD[2] ; 99 ; 2 ; 2 ; 5 ; 1 ; 2 ; 0 ; no ; no ; yes ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; 4mA ; no ; User ; 10 pF ; RDOE ; - ;
|
||||
; RD[3] ; 89 ; 2 ; 4 ; 5 ; 0 ; 2 ; 0 ; no ; no ; yes ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; 4mA ; no ; User ; 10 pF ; RDOE ; - ;
|
||||
; RD[4] ; 91 ; 2 ; 4 ; 5 ; 2 ; 2 ; 0 ; no ; no ; yes ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; 4mA ; no ; User ; 10 pF ; RDOE ; - ;
|
||||
@ -429,7 +429,7 @@ Note: User assignments will override these defaults. The user specified values a
|
||||
+--------------------------------------------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+-------------------------------------------------------------------------------------------+---------------------+--------------+
|
||||
; Compilation Hierarchy Node ; Logic Cells ; LC Registers ; UFM Blocks ; Pins ; Virtual Pins ; LUT-Only LCs ; Register-Only LCs ; LUT/Register LCs ; Carry Chain LCs ; Packed LCs ; Full Hierarchy Name ; Entity Name ; Library Name ;
|
||||
+--------------------------------------------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+-------------------------------------------------------------------------------------------+---------------------+--------------+
|
||||
; |RAM2E ; 238 (182) ; 126 ; 1 ; 71 ; 0 ; 112 (88) ; 19 (16) ; 107 (78) ; 15 (15) ; 14 (9) ; |RAM2E ; RAM2E ; work ;
|
||||
; |RAM2E ; 233 (177) ; 125 ; 1 ; 71 ; 0 ; 108 (84) ; 19 (16) ; 106 (77) ; 15 (15) ; 14 (9) ; |RAM2E ; RAM2E ; work ;
|
||||
; |RAM2E_UFM:ram2e_ufm| ; 56 (56) ; 32 ; 1 ; 0 ; 0 ; 24 (24) ; 3 (3) ; 29 (29) ; 0 (0) ; 5 (5) ; |RAM2E|RAM2E_UFM:ram2e_ufm ; RAM2E_UFM ; work ;
|
||||
; |UFM:UFM_inst| ; 0 (0) ; 0 ; 1 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |RAM2E|RAM2E_UFM:ram2e_ufm|UFM:UFM_inst ; UFM ; work ;
|
||||
; |UFM_altufm_none_lbr:UFM_altufm_none_lbr_component| ; 0 (0) ; 0 ; 1 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |RAM2E|RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component ; UFM_altufm_none_lbr ; work ;
|
||||
@ -510,8 +510,8 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi
|
||||
; Din[5] ; Input ; (0) ;
|
||||
; Din[7] ; Input ; (0) ;
|
||||
; Din[4] ; Input ; (0) ;
|
||||
; Din[2] ; Input ; (0) ;
|
||||
; Din[3] ; Input ; (0) ;
|
||||
; Din[2] ; Input ; (0) ;
|
||||
; nC07X ; Input ; (0) ;
|
||||
+-----------+----------+---------------+
|
||||
|
||||
@ -521,22 +521,22 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi
|
||||
+--------------------------------+-------------+---------+---------------+--------+----------------------+------------------+
|
||||
; Name ; Location ; Fan-Out ; Usage ; Global ; Global Resource Used ; Global Line Name ;
|
||||
+--------------------------------+-------------+---------+---------------+--------+----------------------+------------------+
|
||||
; BA[0]~0 ; LC_X2_Y3_N0 ; 2 ; Clock enable ; no ; -- ; -- ;
|
||||
; BA[0]~1 ; LC_X3_Y3_N0 ; 3 ; Clock enable ; no ; -- ; -- ;
|
||||
; C14M ; PIN_12 ; 122 ; Clock ; yes ; Global Clock ; GCLK0 ;
|
||||
; CS[0]~2 ; LC_X4_Y1_N1 ; 3 ; Clock enable ; no ; -- ; -- ;
|
||||
; DQML~0 ; LC_X2_Y4_N8 ; 2 ; Clock enable ; no ; -- ; -- ;
|
||||
; Equal1~1 ; LC_X2_Y2_N5 ; 8 ; Clock enable ; no ; -- ; -- ;
|
||||
; Equal1~2 ; LC_X5_Y3_N5 ; 8 ; Clock enable ; no ; -- ; -- ;
|
||||
; Mux14~0 ; LC_X5_Y2_N8 ; 2 ; Clock enable ; no ; -- ; -- ;
|
||||
; PHI1 ; PIN_37 ; 5 ; Clock ; yes ; Global Clock ; GCLK3 ;
|
||||
; RAM2E_UFM:ram2e_ufm|RWMask~1 ; LC_X2_Y1_N9 ; 8 ; Clock enable ; no ; -- ; -- ;
|
||||
; RAM2E_UFM:ram2e_ufm|UFMD[15]~1 ; LC_X7_Y4_N8 ; 8 ; Clock enable ; no ; -- ; -- ;
|
||||
; RAM2E_UFM:ram2e_ufm|always2~8 ; LC_X4_Y1_N4 ; 16 ; Clock enable ; no ; -- ; -- ;
|
||||
; RA[1]~2 ; LC_X5_Y3_N0 ; 6 ; Clock enable ; no ; -- ; -- ;
|
||||
; RDOE ; LC_X7_Y3_N9 ; 8 ; Output enable ; no ; -- ; -- ;
|
||||
; S[0] ; LC_X4_Y4_N4 ; 32 ; Sync. clear ; no ; -- ; -- ;
|
||||
; S[3] ; LC_X4_Y4_N7 ; 34 ; Sync. clear ; no ; -- ; -- ;
|
||||
; BA[0]~0 ; LC_X2_Y2_N7 ; 2 ; Clock enable ; no ; -- ; -- ;
|
||||
; BA[0]~1 ; LC_X4_Y2_N1 ; 3 ; Clock enable ; no ; -- ; -- ;
|
||||
; C14M ; PIN_12 ; 121 ; Clock ; yes ; Global Clock ; GCLK0 ;
|
||||
; CS[0]~2 ; LC_X6_Y1_N5 ; 3 ; Clock enable ; no ; -- ; -- ;
|
||||
; DQMH~0 ; LC_X2_Y4_N0 ; 2 ; Clock enable ; no ; -- ; -- ;
|
||||
; Equal1~1 ; LC_X2_Y2_N0 ; 8 ; Clock enable ; no ; -- ; -- ;
|
||||
; Equal1~2 ; LC_X7_Y2_N5 ; 8 ; Clock enable ; no ; -- ; -- ;
|
||||
; Mux14~0 ; LC_X2_Y3_N9 ; 2 ; Clock enable ; no ; -- ; -- ;
|
||||
; PHI1 ; PIN_37 ; 6 ; Clock ; yes ; Global Clock ; GCLK3 ;
|
||||
; RAM2E_UFM:ram2e_ufm|RWMask~1 ; LC_X4_Y1_N9 ; 8 ; Clock enable ; no ; -- ; -- ;
|
||||
; RAM2E_UFM:ram2e_ufm|UFMD[15]~1 ; LC_X2_Y1_N0 ; 8 ; Clock enable ; no ; -- ; -- ;
|
||||
; RAM2E_UFM:ram2e_ufm|always2~8 ; LC_X5_Y1_N3 ; 15 ; Clock enable ; no ; -- ; -- ;
|
||||
; RA[2]~2 ; LC_X2_Y3_N8 ; 6 ; Clock enable ; no ; -- ; -- ;
|
||||
; RDOE ; LC_X3_Y2_N4 ; 8 ; Output enable ; no ; -- ; -- ;
|
||||
; S[0] ; LC_X3_Y4_N8 ; 32 ; Sync. clear ; no ; -- ; -- ;
|
||||
; S[3] ; LC_X3_Y4_N7 ; 34 ; Sync. clear ; no ; -- ; -- ;
|
||||
+--------------------------------+-------------+---------+---------------+--------+----------------------+------------------+
|
||||
|
||||
|
||||
@ -545,8 +545,8 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi
|
||||
+------+----------+---------+----------------------+------------------+
|
||||
; Name ; Location ; Fan-Out ; Global Resource Used ; Global Line Name ;
|
||||
+------+----------+---------+----------------------+------------------+
|
||||
; C14M ; PIN_12 ; 122 ; Global Clock ; GCLK0 ;
|
||||
; PHI1 ; PIN_37 ; 5 ; Global Clock ; GCLK3 ;
|
||||
; C14M ; PIN_12 ; 121 ; Global Clock ; GCLK0 ;
|
||||
; PHI1 ; PIN_37 ; 6 ; Global Clock ; GCLK3 ;
|
||||
+------+----------+---------+----------------------+------------------+
|
||||
|
||||
|
||||
@ -555,86 +555,85 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi
|
||||
+-----------------------+--------------------+
|
||||
; Routing Resource Type ; Usage ;
|
||||
+-----------------------+--------------------+
|
||||
; C4s ; 169 / 784 ( 22 % ) ;
|
||||
; Direct links ; 50 / 888 ( 6 % ) ;
|
||||
; C4s ; 158 / 784 ( 20 % ) ;
|
||||
; Direct links ; 61 / 888 ( 7 % ) ;
|
||||
; Global clocks ; 2 / 4 ( 50 % ) ;
|
||||
; LAB clocks ; 7 / 32 ( 22 % ) ;
|
||||
; LUT chains ; 11 / 216 ( 5 % ) ;
|
||||
; Local interconnects ; 353 / 888 ( 40 % ) ;
|
||||
; R4s ; 190 / 704 ( 27 % ) ;
|
||||
; LUT chains ; 8 / 216 ( 4 % ) ;
|
||||
; Local interconnects ; 335 / 888 ( 38 % ) ;
|
||||
; R4s ; 157 / 704 ( 22 % ) ;
|
||||
+-----------------------+--------------------+
|
||||
|
||||
|
||||
+---------------------------------------------------------------------------+
|
||||
; LAB Logic Elements ;
|
||||
+--------------------------------------------+------------------------------+
|
||||
; Number of Logic Elements (Average = 9.92) ; Number of LABs (Total = 24) ;
|
||||
; Number of Logic Elements (Average = 9.71) ; Number of LABs (Total = 24) ;
|
||||
+--------------------------------------------+------------------------------+
|
||||
; 1 ; 0 ;
|
||||
; 2 ; 0 ;
|
||||
; 3 ; 0 ;
|
||||
; 4 ; 0 ;
|
||||
; 4 ; 1 ;
|
||||
; 5 ; 0 ;
|
||||
; 6 ; 0 ;
|
||||
; 7 ; 0 ;
|
||||
; 8 ; 1 ;
|
||||
; 9 ; 0 ;
|
||||
; 10 ; 23 ;
|
||||
; 8 ; 0 ;
|
||||
; 9 ; 1 ;
|
||||
; 10 ; 22 ;
|
||||
+--------------------------------------------+------------------------------+
|
||||
|
||||
|
||||
+-------------------------------------------------------------------+
|
||||
; LAB-wide Signals ;
|
||||
+------------------------------------+------------------------------+
|
||||
; LAB-wide Signals (Average = 1.38) ; Number of LABs (Total = 24) ;
|
||||
; LAB-wide Signals (Average = 1.58) ; Number of LABs (Total = 24) ;
|
||||
+------------------------------------+------------------------------+
|
||||
; 1 Clock ; 22 ;
|
||||
; 1 Clock enable ; 8 ;
|
||||
; 1 Clock ; 24 ;
|
||||
; 1 Clock enable ; 13 ;
|
||||
; 1 Sync. clear ; 1 ;
|
||||
; 2 Clock enables ; 2 ;
|
||||
+------------------------------------+------------------------------+
|
||||
|
||||
|
||||
+-----------------------------------------------------------------------------+
|
||||
; LAB Signals Sourced ;
|
||||
+----------------------------------------------+------------------------------+
|
||||
; Number of Signals Sourced (Average = 10.13) ; Number of LABs (Total = 24) ;
|
||||
+----------------------------------------------+------------------------------+
|
||||
; 0 ; 0 ;
|
||||
; 1 ; 0 ;
|
||||
; 2 ; 0 ;
|
||||
; 3 ; 0 ;
|
||||
; 4 ; 0 ;
|
||||
; 5 ; 0 ;
|
||||
; 6 ; 0 ;
|
||||
; 7 ; 0 ;
|
||||
; 8 ; 1 ;
|
||||
; 9 ; 0 ;
|
||||
; 10 ; 22 ;
|
||||
; 11 ; 0 ;
|
||||
; 12 ; 0 ;
|
||||
; 13 ; 0 ;
|
||||
; 14 ; 0 ;
|
||||
; 15 ; 1 ;
|
||||
+----------------------------------------------+------------------------------+
|
||||
+----------------------------------------------------------------------------+
|
||||
; LAB Signals Sourced ;
|
||||
+---------------------------------------------+------------------------------+
|
||||
; Number of Signals Sourced (Average = 9.96) ; Number of LABs (Total = 24) ;
|
||||
+---------------------------------------------+------------------------------+
|
||||
; 0 ; 0 ;
|
||||
; 1 ; 0 ;
|
||||
; 2 ; 0 ;
|
||||
; 3 ; 0 ;
|
||||
; 4 ; 1 ;
|
||||
; 5 ; 0 ;
|
||||
; 6 ; 0 ;
|
||||
; 7 ; 0 ;
|
||||
; 8 ; 0 ;
|
||||
; 9 ; 1 ;
|
||||
; 10 ; 20 ;
|
||||
; 11 ; 1 ;
|
||||
; 12 ; 0 ;
|
||||
; 13 ; 0 ;
|
||||
; 14 ; 0 ;
|
||||
; 15 ; 1 ;
|
||||
+---------------------------------------------+------------------------------+
|
||||
|
||||
|
||||
+--------------------------------------------------------------------------------+
|
||||
; LAB Signals Sourced Out ;
|
||||
+-------------------------------------------------+------------------------------+
|
||||
; Number of Signals Sourced Out (Average = 7.50) ; Number of LABs (Total = 24) ;
|
||||
; Number of Signals Sourced Out (Average = 7.08) ; Number of LABs (Total = 24) ;
|
||||
+-------------------------------------------------+------------------------------+
|
||||
; 0 ; 0 ;
|
||||
; 1 ; 0 ;
|
||||
; 2 ; 1 ;
|
||||
; 2 ; 2 ;
|
||||
; 3 ; 1 ;
|
||||
; 4 ; 1 ;
|
||||
; 5 ; 2 ;
|
||||
; 6 ; 3 ;
|
||||
; 7 ; 2 ;
|
||||
; 8 ; 5 ;
|
||||
; 9 ; 3 ;
|
||||
; 10 ; 5 ;
|
||||
; 6 ; 1 ;
|
||||
; 7 ; 6 ;
|
||||
; 8 ; 3 ;
|
||||
; 9 ; 5 ;
|
||||
; 10 ; 2 ;
|
||||
; 11 ; 0 ;
|
||||
; 12 ; 1 ;
|
||||
+-------------------------------------------------+------------------------------+
|
||||
@ -643,7 +642,7 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi
|
||||
+-----------------------------------------------------------------------------+
|
||||
; LAB Distinct Inputs ;
|
||||
+----------------------------------------------+------------------------------+
|
||||
; Number of Distinct Inputs (Average = 12.75) ; Number of LABs (Total = 24) ;
|
||||
; Number of Distinct Inputs (Average = 12.25) ; Number of LABs (Total = 24) ;
|
||||
+----------------------------------------------+------------------------------+
|
||||
; 0 ; 0 ;
|
||||
; 1 ; 0 ;
|
||||
@ -651,24 +650,25 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi
|
||||
; 3 ; 0 ;
|
||||
; 4 ; 2 ;
|
||||
; 5 ; 0 ;
|
||||
; 6 ; 0 ;
|
||||
; 6 ; 1 ;
|
||||
; 7 ; 1 ;
|
||||
; 8 ; 0 ;
|
||||
; 9 ; 2 ;
|
||||
; 8 ; 1 ;
|
||||
; 9 ; 3 ;
|
||||
; 10 ; 1 ;
|
||||
; 11 ; 8 ;
|
||||
; 11 ; 1 ;
|
||||
; 12 ; 1 ;
|
||||
; 13 ; 0 ;
|
||||
; 13 ; 5 ;
|
||||
; 14 ; 2 ;
|
||||
; 15 ; 1 ;
|
||||
; 16 ; 0 ;
|
||||
; 17 ; 1 ;
|
||||
; 18 ; 2 ;
|
||||
; 19 ; 0 ;
|
||||
; 15 ; 0 ;
|
||||
; 16 ; 2 ;
|
||||
; 17 ; 0 ;
|
||||
; 18 ; 1 ;
|
||||
; 19 ; 2 ;
|
||||
; 20 ; 0 ;
|
||||
; 21 ; 0 ;
|
||||
; 22 ; 2 ;
|
||||
; 23 ; 1 ;
|
||||
; 22 ; 0 ;
|
||||
; 23 ; 0 ;
|
||||
; 24 ; 1 ;
|
||||
+----------------------------------------------+------------------------------+
|
||||
|
||||
|
||||
@ -716,7 +716,8 @@ Info (186079): Completed User Assigned Global Signals Promotion Operation
|
||||
Info (186215): Automatically promoted signal "C14M" to use Global clock in PIN 12 File: //Mac/iCloud/Repos/RAM2E/CPLD/RAM2E.v Line: 8
|
||||
Info (186216): Automatically promoted some destinations of signal "PHI1" to use Global clock File: //Mac/iCloud/Repos/RAM2E/CPLD/RAM2E.v Line: 8
|
||||
Info (186217): Destination "PHI1r" may be non-global or may not use global clock File: //Mac/iCloud/Repos/RAM2E/CPLD/RAM2E.v Line: 20
|
||||
Info (186217): Destination "S~0" may be non-global or may not use global clock File: //Mac/iCloud/Repos/RAM2E/CPLD/RAM2E.v Line: 19
|
||||
Info (186217): Destination "S~2" may be non-global or may not use global clock File: //Mac/iCloud/Repos/RAM2E/CPLD/RAM2E.v Line: 19
|
||||
Info (186217): Destination "S[3]~9" may be non-global or may not use global clock File: //Mac/iCloud/Repos/RAM2E/CPLD/RAM2E.v Line: 21
|
||||
Info (186228): Pin "PHI1" drives global clock, but is not placed in a dedicated clock pin position File: //Mac/iCloud/Repos/RAM2E/CPLD/RAM2E.v Line: 8
|
||||
Info (186079): Completed Auto Global Promotion Operation
|
||||
Info (176234): Starting register packing
|
||||
@ -732,27 +733,27 @@ Warning (186473): Ignored the FAST_OUTPUT_REGISTER assignment made to the follow
|
||||
Warning (186484): Ignored assignment to node "RAout[7]" because node "RAr[7]", which is feeding it, is not a register File: //Mac/iCloud/Repos/RAM2E/CPLD/RAM2E.v Line: 85
|
||||
Info (186469): Finished processing fast register assignments
|
||||
Info (176235): Finished register packing
|
||||
Info (171121): Fitter preparation operations ending: elapsed time is 00:00:00
|
||||
Info (171121): Fitter preparation operations ending: elapsed time is 00:00:01
|
||||
Info (14896): Fitter has disabled Advanced Physical Optimization because it is not supported for the current family.
|
||||
Info (170189): Fitter placement preparation operations beginning
|
||||
Info (170190): Fitter placement preparation operations ending: elapsed time is 00:00:01
|
||||
Info (170190): Fitter placement preparation operations ending: elapsed time is 00:00:00
|
||||
Info (170191): Fitter placement operations beginning
|
||||
Info (170137): Fitter placement was successful
|
||||
Info (170192): Fitter placement operations ending: elapsed time is 00:00:02
|
||||
Info (170192): Fitter placement operations ending: elapsed time is 00:00:01
|
||||
Info (170193): Fitter routing operations beginning
|
||||
Info (170089): 5e+01 ns of routing delay (approximately 3.2% of available device routing delay) has been added to meet hold timing. For more information, refer to the Estimated Delay Added for Hold Timing section in the Fitter report.
|
||||
Info (170195): Router estimated average interconnect usage is 27% of the available device resources
|
||||
Info (170196): Router estimated peak interconnect usage is 27% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5
|
||||
Info (170089): 6e+01 ns of routing delay (approximately 3.8% of available device routing delay) has been added to meet hold timing. For more information, refer to the Estimated Delay Added for Hold Timing section in the Fitter report.
|
||||
Info (170195): Router estimated average interconnect usage is 24% of the available device resources
|
||||
Info (170196): Router estimated peak interconnect usage is 24% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5
|
||||
Info (170202): The Fitter performed an Auto Fit compilation. No optimizations were skipped because the design's timing and routability requirements required full optimization.
|
||||
Info (170194): Fitter routing operations ending: elapsed time is 00:00:01
|
||||
Info (11888): Total time spent on timing analysis during the Fitter is 1.33 seconds.
|
||||
Info (170194): Fitter routing operations ending: elapsed time is 00:00:00
|
||||
Info (11888): Total time spent on timing analysis during the Fitter is 0.64 seconds.
|
||||
Info (11218): Fitter post-fit operations ending: elapsed time is 00:00:00
|
||||
Info (144001): Generated suppressed messages file /Repos/RAM2E/CPLD/MAXII-NODHGR/output_files/RAM2E.fit.smsg
|
||||
Info: Quartus Prime Fitter was successful. 0 errors, 11 warnings
|
||||
Info: Peak virtual memory: 13775 megabytes
|
||||
Info: Processing ended: Sat Jun 08 01:44:18 2024
|
||||
Info: Elapsed time: 00:00:07
|
||||
Info: Total CPU time (on all processors): 00:00:05
|
||||
Info: Peak virtual memory: 13773 megabytes
|
||||
Info: Processing ended: Fri Jul 12 16:09:14 2024
|
||||
Info: Elapsed time: 00:00:04
|
||||
Info: Total CPU time (on all processors): 00:00:04
|
||||
|
||||
|
||||
+----------------------------+
|
||||
|
@ -1,11 +1,11 @@
|
||||
Fitter Status : Successful - Sat Jun 08 01:44:18 2024
|
||||
Fitter Status : Successful - Fri Jul 12 16:09:14 2024
|
||||
Quartus Prime Version : 19.1.0 Build 670 09/22/2019 SJ Lite Edition
|
||||
Revision Name : RAM2E
|
||||
Top-level Entity Name : RAM2E
|
||||
Family : MAX II
|
||||
Device : EPM240T100C5
|
||||
Timing Models : Final
|
||||
Total logic elements : 238 / 240 ( 99 % )
|
||||
Total logic elements : 233 / 240 ( 97 % )
|
||||
Total pins : 71 / 80 ( 89 % )
|
||||
Total virtual pins : 0
|
||||
UFM blocks : 1 / 1 ( 100 % )
|
||||
|
@ -1,5 +1,5 @@
|
||||
Flow report for RAM2E
|
||||
Sat Jun 08 01:44:25 2024
|
||||
Fri Jul 12 16:09:21 2024
|
||||
Quartus Prime Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition
|
||||
|
||||
|
||||
@ -41,14 +41,14 @@ https://fpgasoftware.intel.com/eula.
|
||||
+---------------------------------------------------------------------+
|
||||
; Flow Summary ;
|
||||
+-----------------------+---------------------------------------------+
|
||||
; Flow Status ; Successful - Sat Jun 08 01:44:21 2024 ;
|
||||
; Flow Status ; Successful - Fri Jul 12 16:09:17 2024 ;
|
||||
; Quartus Prime Version ; 19.1.0 Build 670 09/22/2019 SJ Lite Edition ;
|
||||
; Revision Name ; RAM2E ;
|
||||
; Top-level Entity Name ; RAM2E ;
|
||||
; Family ; MAX II ;
|
||||
; Device ; EPM240T100C5 ;
|
||||
; Timing Models ; Final ;
|
||||
; Total logic elements ; 238 / 240 ( 99 % ) ;
|
||||
; Total logic elements ; 233 / 240 ( 97 % ) ;
|
||||
; Total pins ; 71 / 80 ( 89 % ) ;
|
||||
; Total virtual pins ; 0 ;
|
||||
; UFM blocks ; 1 / 1 ( 100 % ) ;
|
||||
@ -60,7 +60,7 @@ https://fpgasoftware.intel.com/eula.
|
||||
+-------------------+---------------------+
|
||||
; Option ; Setting ;
|
||||
+-------------------+---------------------+
|
||||
; Start date & time ; 06/08/2024 01:43:24 ;
|
||||
; Start date & time ; 07/12/2024 16:07:46 ;
|
||||
; Main task ; Compilation ;
|
||||
; Revision Name ; RAM2E ;
|
||||
+-------------------+---------------------+
|
||||
@ -71,7 +71,7 @@ https://fpgasoftware.intel.com/eula.
|
||||
+---------------------------------------+------------------------------+---------------+-------------+------------+
|
||||
; Assignment Name ; Value ; Default Value ; Entity Name ; Section Id ;
|
||||
+---------------------------------------+------------------------------+---------------+-------------+------------+
|
||||
; COMPILER_SIGNATURE_ID ; 121380219419.171782540305852 ; -- ; -- ; -- ;
|
||||
; COMPILER_SIGNATURE_ID ; 121380219419.172081486509296 ; -- ; -- ; -- ;
|
||||
; MAX_CORE_JUNCTION_TEMP ; 85 ; -- ; -- ; -- ;
|
||||
; MIN_CORE_JUNCTION_TEMP ; 0 ; -- ; -- ; -- ;
|
||||
; NUM_PARALLEL_PROCESSORS ; 4 ; -- ; -- ; -- ;
|
||||
@ -86,11 +86,11 @@ https://fpgasoftware.intel.com/eula.
|
||||
+----------------------+--------------+-------------------------+---------------------+------------------------------------+
|
||||
; Module Name ; Elapsed Time ; Average Processors Used ; Peak Virtual Memory ; Total CPU Time (on all processors) ;
|
||||
+----------------------+--------------+-------------------------+---------------------+------------------------------------+
|
||||
; Analysis & Synthesis ; 00:00:47 ; 1.0 ; 13152 MB ; 00:00:39 ;
|
||||
; Fitter ; 00:00:07 ; 1.0 ; 13775 MB ; 00:00:05 ;
|
||||
; Assembler ; 00:00:01 ; 1.0 ; 13098 MB ; 00:00:01 ;
|
||||
; Timing Analyzer ; 00:00:02 ; 1.0 ; 13094 MB ; 00:00:02 ;
|
||||
; Total ; 00:00:57 ; -- ; -- ; 00:00:47 ;
|
||||
; Analysis & Synthesis ; 00:01:27 ; 1.0 ; 13151 MB ; 00:00:40 ;
|
||||
; Fitter ; 00:00:04 ; 1.0 ; 13773 MB ; 00:00:04 ;
|
||||
; Assembler ; 00:00:01 ; 1.0 ; 13105 MB ; 00:00:01 ;
|
||||
; Timing Analyzer ; 00:00:02 ; 1.0 ; 13093 MB ; 00:00:01 ;
|
||||
; Total ; 00:01:34 ; -- ; -- ; 00:00:46 ;
|
||||
+----------------------+--------------+-------------------------+---------------------+------------------------------------+
|
||||
|
||||
|
||||
|
@ -1,5 +1,5 @@
|
||||
Analysis & Synthesis report for RAM2E
|
||||
Sat Jun 08 01:44:10 2024
|
||||
Fri Jul 12 16:09:09 2024
|
||||
Quartus Prime Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition
|
||||
|
||||
|
||||
@ -46,12 +46,12 @@ https://fpgasoftware.intel.com/eula.
|
||||
+---------------------------------------------------------------------------+
|
||||
; Analysis & Synthesis Summary ;
|
||||
+-----------------------------+---------------------------------------------+
|
||||
; Analysis & Synthesis Status ; Successful - Sat Jun 08 01:44:10 2024 ;
|
||||
; Analysis & Synthesis Status ; Successful - Fri Jul 12 16:09:09 2024 ;
|
||||
; Quartus Prime Version ; 19.1.0 Build 670 09/22/2019 SJ Lite Edition ;
|
||||
; Revision Name ; RAM2E ;
|
||||
; Top-level Entity Name ; RAM2E ;
|
||||
; Family ; MAX II ;
|
||||
; Total logic elements ; 252 ;
|
||||
; Total logic elements ; 247 ;
|
||||
; Total pins ; 71 ;
|
||||
; Total virtual pins ; 0 ;
|
||||
; UFM blocks ; 1 / 1 ( 100 % ) ;
|
||||
@ -164,34 +164,34 @@ https://fpgasoftware.intel.com/eula.
|
||||
+---------------------------------------------+-------+
|
||||
; Resource ; Usage ;
|
||||
+---------------------------------------------+-------+
|
||||
; Total logic elements ; 252 ;
|
||||
; -- Combinational with no register ; 126 ;
|
||||
; Total logic elements ; 247 ;
|
||||
; -- Combinational with no register ; 122 ;
|
||||
; -- Register only ; 33 ;
|
||||
; -- Combinational with a register ; 93 ;
|
||||
; -- Combinational with a register ; 92 ;
|
||||
; ; ;
|
||||
; Logic element usage by number of LUT inputs ; ;
|
||||
; -- 4 input functions ; 116 ;
|
||||
; -- 3 input functions ; 53 ;
|
||||
; -- 2 input functions ; 46 ;
|
||||
; -- 4 input functions ; 111 ;
|
||||
; -- 3 input functions ; 56 ;
|
||||
; -- 2 input functions ; 43 ;
|
||||
; -- 1 input functions ; 3 ;
|
||||
; -- 0 input functions ; 1 ;
|
||||
; ; ;
|
||||
; Logic elements by mode ; ;
|
||||
; -- normal mode ; 238 ;
|
||||
; -- normal mode ; 233 ;
|
||||
; -- arithmetic mode ; 14 ;
|
||||
; -- qfbk mode ; 0 ;
|
||||
; -- register cascade mode ; 0 ;
|
||||
; -- synchronous clear/load mode ; 3 ;
|
||||
; -- asynchronous clear/load mode ; 0 ;
|
||||
; ; ;
|
||||
; Total registers ; 126 ;
|
||||
; Total registers ; 125 ;
|
||||
; Total logic cells in carry chains ; 15 ;
|
||||
; I/O pins ; 71 ;
|
||||
; UFM blocks ; 1 ;
|
||||
; Maximum fan-out node ; C14M ;
|
||||
; Maximum fan-out ; 122 ;
|
||||
; Total fan-out ; 1001 ;
|
||||
; Average fan-out ; 3.09 ;
|
||||
; Maximum fan-out ; 121 ;
|
||||
; Total fan-out ; 982 ;
|
||||
; Average fan-out ; 3.08 ;
|
||||
+---------------------------------------------+-------+
|
||||
|
||||
|
||||
@ -200,7 +200,7 @@ https://fpgasoftware.intel.com/eula.
|
||||
+--------------------------------------------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+-------------------------------------------------------------------------------------------+---------------------+--------------+
|
||||
; Compilation Hierarchy Node ; Logic Cells ; LC Registers ; UFM Blocks ; Pins ; Virtual Pins ; LUT-Only LCs ; Register-Only LCs ; LUT/Register LCs ; Carry Chain LCs ; Packed LCs ; Full Hierarchy Name ; Entity Name ; Library Name ;
|
||||
+--------------------------------------------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+-------------------------------------------------------------------------------------------+---------------------+--------------+
|
||||
; |RAM2E ; 252 (191) ; 126 ; 1 ; 71 ; 0 ; 126 (97) ; 33 (25) ; 93 (69) ; 15 (15) ; 0 (0) ; |RAM2E ; RAM2E ; work ;
|
||||
; |RAM2E ; 247 (186) ; 125 ; 1 ; 71 ; 0 ; 122 (93) ; 33 (25) ; 92 (68) ; 15 (15) ; 0 (0) ; |RAM2E ; RAM2E ; work ;
|
||||
; |RAM2E_UFM:ram2e_ufm| ; 61 (61) ; 32 ; 1 ; 0 ; 0 ; 29 (29) ; 8 (8) ; 24 (24) ; 0 (0) ; 0 (0) ; |RAM2E|RAM2E_UFM:ram2e_ufm ; RAM2E_UFM ; work ;
|
||||
; |UFM:UFM_inst| ; 0 (0) ; 0 ; 1 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |RAM2E|RAM2E_UFM:ram2e_ufm|UFM:UFM_inst ; UFM ; work ;
|
||||
; |UFM_altufm_none_lbr:UFM_altufm_none_lbr_component| ; 0 (0) ; 0 ; 1 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |RAM2E|RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component ; UFM_altufm_none_lbr ; work ;
|
||||
@ -222,12 +222,12 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi
|
||||
+----------------------------------------------+-------+
|
||||
; Statistic ; Value ;
|
||||
+----------------------------------------------+-------+
|
||||
; Total registers ; 126 ;
|
||||
; Total registers ; 125 ;
|
||||
; Number of registers using Synchronous Clear ; 3 ;
|
||||
; Number of registers using Synchronous Load ; 0 ;
|
||||
; Number of registers using Asynchronous Clear ; 0 ;
|
||||
; Number of registers using Asynchronous Load ; 0 ;
|
||||
; Number of registers using Clock Enable ; 59 ;
|
||||
; Number of registers using Clock Enable ; 58 ;
|
||||
; Number of registers using Preset ; 0 ;
|
||||
+----------------------------------------------+-------+
|
||||
|
||||
@ -256,13 +256,13 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi
|
||||
+--------------------+-----------+---------------+----------------------+------------------------+------------+--------------------------------------+
|
||||
; Multiplexer Inputs ; Bus Width ; Baseline Area ; Area if Restructured ; Saving if Restructured ; Registered ; Example Multiplexer Output ;
|
||||
+--------------------+-----------+---------------+----------------------+------------------------+------------+--------------------------------------+
|
||||
; 4:1 ; 4 bits ; 8 LEs ; 8 LEs ; 0 LEs ; Yes ; |RAM2E|S[2] ;
|
||||
; 4:1 ; 4 bits ; 8 LEs ; 8 LEs ; 0 LEs ; Yes ; |RAM2E|S[3] ;
|
||||
; 4:1 ; 3 bits ; 6 LEs ; 3 LEs ; 3 LEs ; Yes ; |RAM2E|CS[1] ;
|
||||
; 4:1 ; 8 bits ; 16 LEs ; 16 LEs ; 0 LEs ; Yes ; |RAM2E|RAM2E_UFM:ram2e_ufm|RWMask[5] ;
|
||||
; 4:1 ; 8 bits ; 16 LEs ; 16 LEs ; 0 LEs ; Yes ; |RAM2E|RAM2E_UFM:ram2e_ufm|RWMask[4] ;
|
||||
; 16:1 ; 2 bits ; 20 LEs ; 2 LEs ; 18 LEs ; Yes ; |RAM2E|BA[0]~reg0 ;
|
||||
; 17:1 ; 4 bits ; 44 LEs ; 8 LEs ; 36 LEs ; Yes ; |RAM2E|RA[6] ;
|
||||
; 19:1 ; 2 bits ; 24 LEs ; 4 LEs ; 20 LEs ; Yes ; |RAM2E|RA[1] ;
|
||||
; 10:1 ; 2 bits ; 12 LEs ; 4 LEs ; 8 LEs ; Yes ; |RAM2E|DQML~reg0 ;
|
||||
; 17:1 ; 4 bits ; 44 LEs ; 8 LEs ; 36 LEs ; Yes ; |RAM2E|RA[7] ;
|
||||
; 19:1 ; 2 bits ; 24 LEs ; 4 LEs ; 20 LEs ; Yes ; |RAM2E|RA[2] ;
|
||||
; 10:1 ; 2 bits ; 12 LEs ; 4 LEs ; 8 LEs ; Yes ; |RAM2E|DQMH~reg0 ;
|
||||
+--------------------+-----------+---------------+----------------------+------------------------+------------+--------------------------------------+
|
||||
|
||||
|
||||
@ -283,7 +283,7 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi
|
||||
Info: *******************************************************************
|
||||
Info: Running Quartus Prime Analysis & Synthesis
|
||||
Info: Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition
|
||||
Info: Processing started: Sat Jun 08 01:43:23 2024
|
||||
Info: Processing started: Fri Jul 12 16:07:42 2024
|
||||
Info: Command: quartus_map --read_settings_files=on --write_settings_files=off RAM2E-MAXII -c RAM2E
|
||||
Info (20032): Parallel compilation is enabled and will use up to 4 processors
|
||||
Info (12021): Found 1 design units, including 1 entities, in source file //mac/icloud/repos/ram2e/cpld/ram2e.v
|
||||
@ -296,12 +296,12 @@ Info (12021): Found 2 design units, including 2 entities, in source file ufm.v
|
||||
Info (12023): Found entity 1: UFM_altufm_none_lbr File: //Mac/iCloud/Repos/RAM2E/CPLD/MAXII-NODHGR/UFM.v Line: 47
|
||||
Info (12023): Found entity 2: UFM File: //Mac/iCloud/Repos/RAM2E/CPLD/MAXII-NODHGR/UFM.v Line: 166
|
||||
Info (12127): Elaborating entity "RAM2E" for the top level hierarchy
|
||||
Info (12128): Elaborating entity "RAM2E_UFM" for hierarchy "RAM2E_UFM:ram2e_ufm" File: //Mac/iCloud/Repos/RAM2E/CPLD/RAM2E.v Line: 136
|
||||
Info (12128): Elaborating entity "RAM2E_UFM" for hierarchy "RAM2E_UFM:ram2e_ufm" File: //Mac/iCloud/Repos/RAM2E/CPLD/RAM2E.v Line: 132
|
||||
Info (12128): Elaborating entity "UFM" for hierarchy "RAM2E_UFM:ram2e_ufm|UFM:UFM_inst" File: //Mac/iCloud/Repos/RAM2E/CPLD/UFM-MAX.v Line: 77
|
||||
Info (12128): Elaborating entity "UFM_altufm_none_lbr" for hierarchy "RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component" File: //Mac/iCloud/Repos/RAM2E/CPLD/MAXII-NODHGR/UFM.v Line: 217
|
||||
Info (12128): Elaborating entity "DHGR" for hierarchy "DHGR:dhgr" File: //Mac/iCloud/Repos/RAM2E/CPLD/RAM2E.v Line: 140
|
||||
Info (12128): Elaborating entity "DHGR" for hierarchy "DHGR:dhgr" File: //Mac/iCloud/Repos/RAM2E/CPLD/RAM2E.v Line: 136
|
||||
Warning (13024): Output pins are stuck at VCC or GND
|
||||
Warning (13410): Pin "nDHGROE" is stuck at VCC File: //Mac/iCloud/Repos/RAM2E/CPLD/RAM2E.v Line: 139
|
||||
Warning (13410): Pin "nDHGROE" is stuck at VCC File: //Mac/iCloud/Repos/RAM2E/CPLD/RAM2E.v Line: 135
|
||||
Warning (13410): Pin "nCSout" is stuck at GND File: //Mac/iCloud/Repos/RAM2E/CPLD/RAM2E.v Line: 75
|
||||
Warning (14632): Output pin "Dout[0]" driven by bidirectional pin "RD[0]" cannot be tri-stated File: //Mac/iCloud/Repos/RAM2E/CPLD/RAM2E.v Line: 50
|
||||
Warning (14632): Output pin "Dout[1]" driven by bidirectional pin "RD[1]" cannot be tri-stated File: //Mac/iCloud/Repos/RAM2E/CPLD/RAM2E.v Line: 50
|
||||
@ -313,17 +313,17 @@ Warning (14632): Output pin "Dout[6]" driven by bidirectional pin "RD[6]" cannot
|
||||
Warning (14632): Output pin "Dout[7]" driven by bidirectional pin "RD[7]" cannot be tri-stated File: //Mac/iCloud/Repos/RAM2E/CPLD/RAM2E.v Line: 50
|
||||
Warning (21074): Design contains 1 input pin(s) that do not drive logic
|
||||
Warning (15610): No output dependent on input pin "nWE80" File: //Mac/iCloud/Repos/RAM2E/CPLD/RAM2E.v Line: 11
|
||||
Info (21057): Implemented 324 device resources after synthesis - the final resource count might be different
|
||||
Info (21057): Implemented 319 device resources after synthesis - the final resource count might be different
|
||||
Info (21058): Implemented 22 input pins
|
||||
Info (21059): Implemented 41 output pins
|
||||
Info (21060): Implemented 8 bidirectional pins
|
||||
Info (21061): Implemented 252 logic cells
|
||||
Info (21061): Implemented 247 logic cells
|
||||
Info (21070): Implemented 1 User Flash Memory blocks
|
||||
Info (144001): Generated suppressed messages file /Repos/RAM2E/CPLD/MAXII-NODHGR/output_files/RAM2E.map.smsg
|
||||
Info: Quartus Prime Analysis & Synthesis was successful. 0 errors, 13 warnings
|
||||
Info: Peak virtual memory: 13152 megabytes
|
||||
Info: Processing ended: Sat Jun 08 01:44:10 2024
|
||||
Info: Elapsed time: 00:00:47
|
||||
Info: Peak virtual memory: 13151 megabytes
|
||||
Info: Processing ended: Fri Jul 12 16:09:09 2024
|
||||
Info: Elapsed time: 00:01:27
|
||||
Info: Total CPU time (on all processors): 00:00:40
|
||||
|
||||
|
||||
|
@ -1,9 +1,9 @@
|
||||
Analysis & Synthesis Status : Successful - Sat Jun 08 01:44:10 2024
|
||||
Analysis & Synthesis Status : Successful - Fri Jul 12 16:09:09 2024
|
||||
Quartus Prime Version : 19.1.0 Build 670 09/22/2019 SJ Lite Edition
|
||||
Revision Name : RAM2E
|
||||
Top-level Entity Name : RAM2E
|
||||
Family : MAX II
|
||||
Total logic elements : 252
|
||||
Total logic elements : 247
|
||||
Total pins : 71
|
||||
Total virtual pins : 0
|
||||
UFM blocks : 1 / 1 ( 100 % )
|
||||
|
Binary file not shown.
@ -1,5 +1,5 @@
|
||||
Timing Analyzer report for RAM2E
|
||||
Sat Jun 08 01:44:25 2024
|
||||
Fri Jul 12 16:09:21 2024
|
||||
Quartus Prime Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition
|
||||
|
||||
|
||||
@ -17,11 +17,11 @@ Quartus Prime Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition
|
||||
9. Recovery Summary
|
||||
10. Removal Summary
|
||||
11. Minimum Pulse Width Summary
|
||||
12. Setup: 'ram2e_ufm|DRCLK|regout'
|
||||
13. Setup: 'ram2e_ufm|ARCLK|regout'
|
||||
12. Setup: 'ram2e_ufm|ARCLK|regout'
|
||||
13. Setup: 'ram2e_ufm|DRCLK|regout'
|
||||
14. Setup: 'C14M'
|
||||
15. Hold: 'ram2e_ufm|ARCLK|regout'
|
||||
16. Hold: 'ram2e_ufm|DRCLK|regout'
|
||||
15. Hold: 'ram2e_ufm|DRCLK|regout'
|
||||
16. Hold: 'ram2e_ufm|ARCLK|regout'
|
||||
17. Hold: 'C14M'
|
||||
18. Setup Transfers
|
||||
19. Hold Transfers
|
||||
@ -80,10 +80,11 @@ https://fpgasoftware.intel.com/eula.
|
||||
; Maximum allowed ; 4 ;
|
||||
; ; ;
|
||||
; Average used ; 1.00 ;
|
||||
; Maximum used ; 1 ;
|
||||
; Maximum used ; 2 ;
|
||||
; ; ;
|
||||
; Usage by Processor ; % Time Used ;
|
||||
; Processor 1 ; 100.0% ;
|
||||
; Processor 2 ; 0.0% ;
|
||||
+----------------------------+-------------+
|
||||
|
||||
|
||||
@ -92,8 +93,8 @@ https://fpgasoftware.intel.com/eula.
|
||||
+------------------+--------+--------------------------+
|
||||
; SDC File Path ; Status ; Read at ;
|
||||
+------------------+--------+--------------------------+
|
||||
; ../RAM2E.sdc ; OK ; Sat Jun 08 01:44:25 2024 ;
|
||||
; ../RAM2E-MAX.sdc ; OK ; Sat Jun 08 01:44:25 2024 ;
|
||||
; ../RAM2E.sdc ; OK ; Fri Jul 12 16:09:20 2024 ;
|
||||
; ../RAM2E-MAX.sdc ; OK ; Fri Jul 12 16:09:20 2024 ;
|
||||
+------------------+--------+--------------------------+
|
||||
|
||||
|
||||
@ -115,7 +116,7 @@ https://fpgasoftware.intel.com/eula.
|
||||
+-----------+-----------------+------------------------+------+
|
||||
; 10.0 MHz ; 10.0 MHz ; ram2e_ufm|ARCLK|regout ; ;
|
||||
; 10.0 MHz ; 10.0 MHz ; ram2e_ufm|DRCLK|regout ; ;
|
||||
; 52.57 MHz ; 52.57 MHz ; C14M ; ;
|
||||
; 65.96 MHz ; 65.96 MHz ; C14M ; ;
|
||||
+-----------+-----------------+------------------------+------+
|
||||
This panel reports FMAX for every clock in the design, regardless of the user-specified clock periods. FMAX is only computed for paths where the source and destination registers or ports are driven by the same clock. Paths of different clocks, including generated clocks, are ignored. For paths between a clock and its inversion, FMAX is computed as if the rising and falling edges are scaled along with FMAX, such that the duty cycle (in terms of a percentage) is maintained. Altera recommends that you always use clock constraints and other slack reports for sign-off analysis.
|
||||
|
||||
@ -125,9 +126,9 @@ This panel reports FMAX for every clock in the design, regardless of the user-sp
|
||||
+------------------------+---------+---------------+
|
||||
; Clock ; Slack ; End Point TNS ;
|
||||
+------------------------+---------+---------------+
|
||||
; ram2e_ufm|ARCLK|regout ; -23.743 ; -23.743 ;
|
||||
; ram2e_ufm|DRCLK|regout ; -23.723 ; -23.723 ;
|
||||
; ram2e_ufm|ARCLK|regout ; -22.545 ; -22.545 ;
|
||||
; C14M ; -8.511 ; -94.827 ;
|
||||
; C14M ; -8.564 ; -94.357 ;
|
||||
+------------------------+---------+---------------+
|
||||
|
||||
|
||||
@ -136,9 +137,9 @@ This panel reports FMAX for every clock in the design, regardless of the user-sp
|
||||
+------------------------+---------+---------------+
|
||||
; Clock ; Slack ; End Point TNS ;
|
||||
+------------------------+---------+---------------+
|
||||
; ram2e_ufm|ARCLK|regout ; -17.454 ; -17.454 ;
|
||||
; ram2e_ufm|DRCLK|regout ; -16.286 ; -16.286 ;
|
||||
; C14M ; 1.400 ; 0.000 ;
|
||||
; ram2e_ufm|DRCLK|regout ; -16.306 ; -16.306 ;
|
||||
; ram2e_ufm|ARCLK|regout ; -16.256 ; -16.256 ;
|
||||
; C14M ; 1.408 ; 0.000 ;
|
||||
+------------------------+---------+---------------+
|
||||
|
||||
|
||||
@ -165,261 +166,261 @@ No paths to report.
|
||||
+------------------------+--------+---------------+
|
||||
|
||||
|
||||
+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
|
||||
; Setup: 'ram2e_ufm|DRCLK|regout' ;
|
||||
+---------+-----------------------------------------------------------------------------------------------------------------+-----------------------------------------------------------------------------------------------------------------+------------------------+------------------------+--------------+------------+------------+
|
||||
; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ;
|
||||
+---------+-----------------------------------------------------------------------------------------------------------------+-----------------------------------------------------------------------------------------------------------------+------------------------+------------------------+--------------+------------+------------+
|
||||
; -23.723 ; RAM2E_UFM:ram2e_ufm|DRShift ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|wire_maxii_ufm_block1_drdout ; C14M ; ram2e_ufm|DRCLK|regout ; 0.001 ; -1.629 ; 2.095 ;
|
||||
; -23.713 ; RAM2E_UFM:ram2e_ufm|DRDIn ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|wire_maxii_ufm_block1_drdout ; C14M ; ram2e_ufm|DRCLK|regout ; 0.001 ; -1.629 ; 2.085 ;
|
||||
; 100.000 ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|wire_maxii_ufm_block1_drdout ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|wire_maxii_ufm_block1_drdout ; ram2e_ufm|DRCLK|regout ; ram2e_ufm|DRCLK|regout ; 200.000 ; 0.000 ; 80.000 ;
|
||||
+---------+-----------------------------------------------------------------------------------------------------------------+-----------------------------------------------------------------------------------------------------------------+------------------------+------------------------+--------------+------------+------------+
|
||||
|
||||
|
||||
+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
|
||||
; Setup: 'ram2e_ufm|ARCLK|regout' ;
|
||||
+---------+-----------------------------------------------------------------------------------------------------------------------------+-----------------------------------------------------------------------------------------------------------------------------+------------------------+------------------------+--------------+------------+------------+
|
||||
; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ;
|
||||
+---------+-----------------------------------------------------------------------------------------------------------------------------+-----------------------------------------------------------------------------------------------------------------------------+------------------------+------------------------+--------------+------------+------------+
|
||||
; -22.545 ; RAM2E_UFM:ram2e_ufm|ARShift ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|maxii_ufm_block1~OBSERVABLEADDRESSREGOUT ; C14M ; ram2e_ufm|ARCLK|regout ; 0.001 ; -0.997 ; 1.549 ;
|
||||
; -23.743 ; RAM2E_UFM:ram2e_ufm|ARShift ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|maxii_ufm_block1~OBSERVABLEADDRESSREGOUT ; C14M ; ram2e_ufm|ARCLK|regout ; 0.001 ; -2.195 ; 1.549 ;
|
||||
; 100.000 ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|maxii_ufm_block1~OBSERVABLEADDRESSREGOUT ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|maxii_ufm_block1~OBSERVABLEADDRESSREGOUT ; ram2e_ufm|ARCLK|regout ; ram2e_ufm|ARCLK|regout ; 200.000 ; 0.000 ; 80.000 ;
|
||||
+---------+-----------------------------------------------------------------------------------------------------------------------------+-----------------------------------------------------------------------------------------------------------------------------+------------------------+------------------------+--------------+------------+------------+
|
||||
|
||||
|
||||
+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
|
||||
; Setup: 'ram2e_ufm|DRCLK|regout' ;
|
||||
+---------+-----------------------------------------------------------------------------------------------------------------+-----------------------------------------------------------------------------------------------------------------+------------------------+------------------------+--------------+------------+------------+
|
||||
; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ;
|
||||
+---------+-----------------------------------------------------------------------------------------------------------------+-----------------------------------------------------------------------------------------------------------------+------------------------+------------------------+--------------+------------+------------+
|
||||
; -23.723 ; RAM2E_UFM:ram2e_ufm|DRShift ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|wire_maxii_ufm_block1_drdout ; C14M ; ram2e_ufm|DRCLK|regout ; 0.001 ; -2.165 ; 1.559 ;
|
||||
; -23.693 ; RAM2E_UFM:ram2e_ufm|DRDIn ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|wire_maxii_ufm_block1_drdout ; C14M ; ram2e_ufm|DRCLK|regout ; 0.001 ; -2.165 ; 1.529 ;
|
||||
; 100.000 ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|wire_maxii_ufm_block1_drdout ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|wire_maxii_ufm_block1_drdout ; ram2e_ufm|DRCLK|regout ; ram2e_ufm|DRCLK|regout ; 200.000 ; 0.000 ; 80.000 ;
|
||||
+---------+-----------------------------------------------------------------------------------------------------------------+-----------------------------------------------------------------------------------------------------------------+------------------------+------------------------+--------------+------------+------------+
|
||||
|
||||
|
||||
+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
|
||||
; Setup: 'C14M' ;
|
||||
+--------+-----------------------------------------------------------------------------------------------------------------+---------------------------------+------------------------+-------------+--------------+------------+------------+
|
||||
; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ;
|
||||
+--------+-----------------------------------------------------------------------------------------------------------------+---------------------------------+------------------------+-------------+--------------+------------+------------+
|
||||
; -8.511 ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|wire_maxii_ufm_block1_drdout ; RAM2E_UFM:ram2e_ufm|RWMask[6] ; ram2e_ufm|DRCLK|regout ; C14M ; 0.001 ; 1.629 ; 9.808 ;
|
||||
; -8.511 ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|wire_maxii_ufm_block1_drdout ; RAM2E_UFM:ram2e_ufm|RWMask[7] ; ram2e_ufm|DRCLK|regout ; C14M ; 0.001 ; 1.629 ; 9.808 ;
|
||||
; -8.511 ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|wire_maxii_ufm_block1_drdout ; RAM2E_UFM:ram2e_ufm|RWMask[3] ; ram2e_ufm|DRCLK|regout ; C14M ; 0.001 ; 1.629 ; 9.808 ;
|
||||
; -8.297 ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|wire_maxii_ufm_block1_drdout ; RAM2E_UFM:ram2e_ufm|LEDEN ; ram2e_ufm|DRCLK|regout ; C14M ; 0.001 ; 1.629 ; 9.594 ;
|
||||
; -8.073 ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|wire_maxii_ufm_block1_drdout ; RAM2E_UFM:ram2e_ufm|RWMask[5] ; ram2e_ufm|DRCLK|regout ; C14M ; 0.001 ; 1.629 ; 9.370 ;
|
||||
; -8.073 ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|wire_maxii_ufm_block1_drdout ; RAM2E_UFM:ram2e_ufm|RWMask[0] ; ram2e_ufm|DRCLK|regout ; C14M ; 0.001 ; 1.629 ; 9.370 ;
|
||||
; -8.073 ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|wire_maxii_ufm_block1_drdout ; RAM2E_UFM:ram2e_ufm|RWMask[1] ; ram2e_ufm|DRCLK|regout ; C14M ; 0.001 ; 1.629 ; 9.370 ;
|
||||
; -8.073 ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|wire_maxii_ufm_block1_drdout ; RAM2E_UFM:ram2e_ufm|RWMask[2] ; ram2e_ufm|DRCLK|regout ; C14M ; 0.001 ; 1.629 ; 9.370 ;
|
||||
; -8.073 ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|wire_maxii_ufm_block1_drdout ; RAM2E_UFM:ram2e_ufm|RWMask[4] ; ram2e_ufm|DRCLK|regout ; C14M ; 0.001 ; 1.629 ; 9.370 ;
|
||||
; -7.739 ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|wire_maxii_ufm_block1_drdout ; RAM2E_UFM:ram2e_ufm|UFMReqErase ; ram2e_ufm|DRCLK|regout ; C14M ; 0.001 ; 1.629 ; 9.036 ;
|
||||
; -6.971 ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|wire_maxii_ufm_block1_drdout ; RAM2E_UFM:ram2e_ufm|UFMInitDone ; ram2e_ufm|DRCLK|regout ; C14M ; 0.001 ; 1.629 ; 8.268 ;
|
||||
; -5.922 ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|wire_maxii_ufm_block1_drdout ; RAM2E_UFM:ram2e_ufm|UFMD[8] ; ram2e_ufm|DRCLK|regout ; C14M ; 0.001 ; 1.629 ; 7.219 ;
|
||||
; 25.409 ; S[1] ; Vout[0]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 9.178 ;
|
||||
; 25.409 ; S[1] ; Vout[4]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 9.178 ;
|
||||
; 25.426 ; S[1] ; Vout[1]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 9.161 ;
|
||||
; 25.426 ; S[1] ; Vout[2]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 9.161 ;
|
||||
; 25.426 ; S[1] ; Vout[5]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 9.161 ;
|
||||
; 25.574 ; S[0] ; Vout[0]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 9.013 ;
|
||||
; 25.574 ; S[0] ; Vout[4]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 9.013 ;
|
||||
; 25.591 ; S[0] ; Vout[1]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 8.996 ;
|
||||
; 25.591 ; S[0] ; Vout[2]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 8.996 ;
|
||||
; 25.591 ; S[0] ; Vout[5]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 8.996 ;
|
||||
; 26.100 ; S[1] ; Vout[3]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 8.487 ;
|
||||
; 26.100 ; S[1] ; Vout[6]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 8.487 ;
|
||||
; 26.100 ; S[1] ; Vout[7]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 8.487 ;
|
||||
; 26.265 ; S[0] ; Vout[3]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 8.322 ;
|
||||
; 26.265 ; S[0] ; Vout[6]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 8.322 ;
|
||||
; 26.265 ; S[0] ; Vout[7]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 8.322 ;
|
||||
; 27.658 ; S[2] ; Vout[0]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 6.929 ;
|
||||
; 27.658 ; S[2] ; Vout[4]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 6.929 ;
|
||||
; 27.675 ; S[2] ; Vout[1]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 6.912 ;
|
||||
; 27.675 ; S[2] ; Vout[2]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 6.912 ;
|
||||
; 27.675 ; S[2] ; Vout[5]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 6.912 ;
|
||||
; 27.916 ; S[3] ; Vout[0]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 6.671 ;
|
||||
; 27.916 ; S[3] ; Vout[4]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 6.671 ;
|
||||
; 27.933 ; S[3] ; Vout[1]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 6.654 ;
|
||||
; 27.933 ; S[3] ; Vout[2]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 6.654 ;
|
||||
; 27.933 ; S[3] ; Vout[5]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 6.654 ;
|
||||
; 28.161 ; S[0] ; RAT ; C14M ; C14M ; 34.920 ; 0.000 ; 6.426 ;
|
||||
; 28.349 ; S[2] ; Vout[3]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 6.238 ;
|
||||
; 28.349 ; S[2] ; Vout[6]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 6.238 ;
|
||||
; 28.349 ; S[2] ; Vout[7]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 6.238 ;
|
||||
; 28.607 ; S[3] ; Vout[3]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 5.980 ;
|
||||
; 28.607 ; S[3] ; Vout[6]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 5.980 ;
|
||||
; 28.607 ; S[3] ; Vout[7]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 5.980 ;
|
||||
; 28.892 ; S[1] ; VOE ; C14M ; C14M ; 34.920 ; 0.000 ; 5.695 ;
|
||||
; 30.205 ; S[0] ; VOE ; C14M ; C14M ; 34.920 ; 0.000 ; 4.382 ;
|
||||
; 30.358 ; S[1] ; RAT ; C14M ; C14M ; 34.920 ; 0.000 ; 4.229 ;
|
||||
; 30.475 ; S[2] ; VOE ; C14M ; C14M ; 34.920 ; 0.000 ; 4.112 ;
|
||||
; 30.866 ; S[3] ; VOE ; C14M ; C14M ; 34.920 ; 0.000 ; 3.721 ;
|
||||
; 31.113 ; S[2] ; RAT ; C14M ; C14M ; 34.920 ; 0.000 ; 3.474 ;
|
||||
; 31.289 ; RA[7] ; RAr[7] ; C14M ; C14M ; 34.920 ; 0.000 ; 3.298 ;
|
||||
; 31.450 ; S[3] ; RAT ; C14M ; C14M ; 34.920 ; 0.000 ; 3.137 ;
|
||||
; 31.653 ; RA[10] ; RAr[10] ; C14M ; C14M ; 34.920 ; 0.000 ; 2.934 ;
|
||||
; 31.895 ; RA[3] ; RAr[3] ; C14M ; C14M ; 34.920 ; 0.000 ; 2.692 ;
|
||||
; 31.969 ; RA[9] ; RAr[9] ; C14M ; C14M ; 34.920 ; 0.000 ; 2.618 ;
|
||||
; 32.053 ; nRWE ; nRWEout~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 2.534 ;
|
||||
; 32.477 ; RA[11] ; RAr[11] ; C14M ; C14M ; 34.920 ; 0.000 ; 2.110 ;
|
||||
; 32.499 ; nCAS ; nCASout~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 2.088 ;
|
||||
; 32.563 ; RA[8] ; RAr[8] ; C14M ; C14M ; 34.920 ; 0.000 ; 2.024 ;
|
||||
; 32.583 ; CKE ; CKEout~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 2.004 ;
|
||||
; 32.584 ; RA[6] ; RAr[6] ; C14M ; C14M ; 34.920 ; 0.000 ; 2.003 ;
|
||||
; 32.594 ; nRAS ; nRASout~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 1.993 ;
|
||||
; 32.965 ; RA[5] ; RAr[5] ; C14M ; C14M ; 34.920 ; 0.000 ; 1.622 ;
|
||||
; 32.971 ; RA[0] ; RAr[0] ; C14M ; C14M ; 34.920 ; 0.000 ; 1.616 ;
|
||||
; 32.973 ; RA[1] ; RAr[1] ; C14M ; C14M ; 34.920 ; 0.000 ; 1.614 ;
|
||||
; 32.980 ; RA[4] ; RAr[4] ; C14M ; C14M ; 34.920 ; 0.000 ; 1.607 ;
|
||||
; 32.981 ; RA[2] ; RAr[2] ; C14M ; C14M ; 34.920 ; 0.000 ; 1.606 ;
|
||||
; 55.470 ; FS[2] ; RAM2E_UFM:ram2e_ufm|UFMInitDone ; C14M ; C14M ; 69.841 ; 0.000 ; 14.038 ;
|
||||
; 55.780 ; FS[1] ; RAM2E_UFM:ram2e_ufm|UFMInitDone ; C14M ; C14M ; 69.841 ; 0.000 ; 13.728 ;
|
||||
; 55.931 ; S[1] ; RAM2E_UFM:ram2e_ufm|CmdPrgmMAX ; C14M ; C14M ; 69.841 ; 0.000 ; 13.577 ;
|
||||
; 55.942 ; S[1] ; RAM2E_UFM:ram2e_ufm|CmdEraseMAX ; C14M ; C14M ; 69.841 ; 0.000 ; 13.566 ;
|
||||
; 56.030 ; FS[4] ; RAM2E_UFM:ram2e_ufm|UFMInitDone ; C14M ; C14M ; 69.841 ; 0.000 ; 13.478 ;
|
||||
; 56.222 ; S[0] ; RAM2E_UFM:ram2e_ufm|UFMD[14] ; C14M ; C14M ; 69.841 ; 0.000 ; 13.286 ;
|
||||
; 56.331 ; FS[2] ; RAM2E_UFM:ram2e_ufm|UFMReqErase ; C14M ; C14M ; 69.841 ; 0.000 ; 13.177 ;
|
||||
; 56.411 ; S[1] ; RAM2E_UFM:ram2e_ufm|RWMask[6] ; C14M ; C14M ; 69.841 ; 0.000 ; 13.097 ;
|
||||
; 56.411 ; S[1] ; RAM2E_UFM:ram2e_ufm|RWMask[7] ; C14M ; C14M ; 69.841 ; 0.000 ; 13.097 ;
|
||||
; 56.411 ; S[1] ; RAM2E_UFM:ram2e_ufm|RWMask[3] ; C14M ; C14M ; 69.841 ; 0.000 ; 13.097 ;
|
||||
; 56.609 ; S[0] ; RAM2E_UFM:ram2e_ufm|DRCLK ; C14M ; C14M ; 69.841 ; 0.000 ; 12.899 ;
|
||||
; 56.626 ; RAM2E_UFM:ram2e_ufm|UFMReqErase ; RAM2E_UFM:ram2e_ufm|UFMInitDone ; C14M ; C14M ; 69.841 ; 0.000 ; 12.882 ;
|
||||
; 56.641 ; FS[1] ; RAM2E_UFM:ram2e_ufm|UFMReqErase ; C14M ; C14M ; 69.841 ; 0.000 ; 12.867 ;
|
||||
; 56.652 ; S[2] ; RAM2E_UFM:ram2e_ufm|UFMD[14] ; C14M ; C14M ; 69.841 ; 0.000 ; 12.856 ;
|
||||
; 56.660 ; FS[3] ; RAM2E_UFM:ram2e_ufm|UFMInitDone ; C14M ; C14M ; 69.841 ; 0.000 ; 12.848 ;
|
||||
; 56.718 ; FS[2] ; RAM2E_UFM:ram2e_ufm|RWMask[6] ; C14M ; C14M ; 69.841 ; 0.000 ; 12.790 ;
|
||||
; 56.718 ; FS[2] ; RAM2E_UFM:ram2e_ufm|RWMask[7] ; C14M ; C14M ; 69.841 ; 0.000 ; 12.790 ;
|
||||
; 56.718 ; FS[2] ; RAM2E_UFM:ram2e_ufm|RWMask[3] ; C14M ; C14M ; 69.841 ; 0.000 ; 12.790 ;
|
||||
; 56.729 ; FS[15] ; RAM2E_UFM:ram2e_ufm|UFMInitDone ; C14M ; C14M ; 69.841 ; 0.000 ; 12.779 ;
|
||||
; 56.748 ; FS[2] ; RAM2E_UFM:ram2e_ufm|UFMD[14] ; C14M ; C14M ; 69.841 ; 0.000 ; 12.760 ;
|
||||
; 56.777 ; S[1] ; RAM2E_UFM:ram2e_ufm|UFMD[14] ; C14M ; C14M ; 69.841 ; 0.000 ; 12.731 ;
|
||||
; 56.836 ; S[0] ; RAM2E_UFM:ram2e_ufm|UFMD[11] ; C14M ; C14M ; 69.841 ; 0.000 ; 12.672 ;
|
||||
; 56.836 ; S[0] ; RAM2E_UFM:ram2e_ufm|UFMD[9] ; C14M ; C14M ; 69.841 ; 0.000 ; 12.672 ;
|
||||
; 56.836 ; S[0] ; RAM2E_UFM:ram2e_ufm|UFMD[10] ; C14M ; C14M ; 69.841 ; 0.000 ; 12.672 ;
|
||||
; 56.836 ; S[0] ; RAM2E_UFM:ram2e_ufm|UFMD[8] ; C14M ; C14M ; 69.841 ; 0.000 ; 12.672 ;
|
||||
; 56.836 ; S[0] ; RAM2E_UFM:ram2e_ufm|UFMD[13] ; C14M ; C14M ; 69.841 ; 0.000 ; 12.672 ;
|
||||
; 56.836 ; S[0] ; RAM2E_UFM:ram2e_ufm|UFMD[15] ; C14M ; C14M ; 69.841 ; 0.000 ; 12.672 ;
|
||||
; 56.836 ; S[0] ; RAM2E_UFM:ram2e_ufm|UFMD[12] ; C14M ; C14M ; 69.841 ; 0.000 ; 12.672 ;
|
||||
; 56.849 ; S[1] ; RAM2E_UFM:ram2e_ufm|RWMask[5] ; C14M ; C14M ; 69.841 ; 0.000 ; 12.659 ;
|
||||
; 56.849 ; S[1] ; RAM2E_UFM:ram2e_ufm|RWMask[0] ; C14M ; C14M ; 69.841 ; 0.000 ; 12.659 ;
|
||||
; 56.849 ; S[1] ; RAM2E_UFM:ram2e_ufm|RWMask[1] ; C14M ; C14M ; 69.841 ; 0.000 ; 12.659 ;
|
||||
; 56.849 ; S[1] ; RAM2E_UFM:ram2e_ufm|RWMask[2] ; C14M ; C14M ; 69.841 ; 0.000 ; 12.659 ;
|
||||
; -8.564 ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|wire_maxii_ufm_block1_drdout ; RAM2E_UFM:ram2e_ufm|RWMask[5] ; ram2e_ufm|DRCLK|regout ; C14M ; 0.001 ; 2.165 ; 10.397 ;
|
||||
; -8.231 ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|wire_maxii_ufm_block1_drdout ; RAM2E_UFM:ram2e_ufm|UFMReqErase ; ram2e_ufm|DRCLK|regout ; C14M ; 0.001 ; 2.165 ; 10.064 ;
|
||||
; -8.230 ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|wire_maxii_ufm_block1_drdout ; RAM2E_UFM:ram2e_ufm|UFMInitDone ; ram2e_ufm|DRCLK|regout ; C14M ; 0.001 ; 2.165 ; 10.063 ;
|
||||
; -8.085 ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|wire_maxii_ufm_block1_drdout ; RAM2E_UFM:ram2e_ufm|RWMask[6] ; ram2e_ufm|DRCLK|regout ; C14M ; 0.001 ; 2.165 ; 9.918 ;
|
||||
; -8.085 ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|wire_maxii_ufm_block1_drdout ; RAM2E_UFM:ram2e_ufm|RWMask[0] ; ram2e_ufm|DRCLK|regout ; C14M ; 0.001 ; 2.165 ; 9.918 ;
|
||||
; -8.085 ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|wire_maxii_ufm_block1_drdout ; RAM2E_UFM:ram2e_ufm|RWMask[1] ; ram2e_ufm|DRCLK|regout ; C14M ; 0.001 ; 2.165 ; 9.918 ;
|
||||
; -8.085 ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|wire_maxii_ufm_block1_drdout ; RAM2E_UFM:ram2e_ufm|RWMask[7] ; ram2e_ufm|DRCLK|regout ; C14M ; 0.001 ; 2.165 ; 9.918 ;
|
||||
; -8.085 ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|wire_maxii_ufm_block1_drdout ; RAM2E_UFM:ram2e_ufm|RWMask[2] ; ram2e_ufm|DRCLK|regout ; C14M ; 0.001 ; 2.165 ; 9.918 ;
|
||||
; -8.085 ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|wire_maxii_ufm_block1_drdout ; RAM2E_UFM:ram2e_ufm|RWMask[3] ; ram2e_ufm|DRCLK|regout ; C14M ; 0.001 ; 2.165 ; 9.918 ;
|
||||
; -8.085 ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|wire_maxii_ufm_block1_drdout ; RAM2E_UFM:ram2e_ufm|RWMask[4] ; ram2e_ufm|DRCLK|regout ; C14M ; 0.001 ; 2.165 ; 9.918 ;
|
||||
; -7.387 ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|wire_maxii_ufm_block1_drdout ; RAM2E_UFM:ram2e_ufm|LEDEN ; ram2e_ufm|DRCLK|regout ; C14M ; 0.001 ; 2.165 ; 9.220 ;
|
||||
; -5.350 ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|wire_maxii_ufm_block1_drdout ; RAM2E_UFM:ram2e_ufm|UFMD[8] ; ram2e_ufm|DRCLK|regout ; C14M ; 0.001 ; 2.165 ; 7.183 ;
|
||||
; 27.340 ; S[2] ; Vout[1]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 7.247 ;
|
||||
; 27.340 ; S[2] ; Vout[2]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 7.247 ;
|
||||
; 27.340 ; S[2] ; Vout[5]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 7.247 ;
|
||||
; 27.358 ; S[2] ; Vout[0]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 7.229 ;
|
||||
; 27.358 ; S[2] ; Vout[4]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 7.229 ;
|
||||
; 27.440 ; S[3] ; Vout[1]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 7.147 ;
|
||||
; 27.440 ; S[3] ; Vout[2]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 7.147 ;
|
||||
; 27.440 ; S[3] ; Vout[5]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 7.147 ;
|
||||
; 27.458 ; S[3] ; Vout[0]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 7.129 ;
|
||||
; 27.458 ; S[3] ; Vout[4]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 7.129 ;
|
||||
; 27.666 ; S[1] ; Vout[1]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 6.921 ;
|
||||
; 27.666 ; S[1] ; Vout[2]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 6.921 ;
|
||||
; 27.666 ; S[1] ; Vout[5]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 6.921 ;
|
||||
; 27.684 ; S[1] ; Vout[0]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 6.903 ;
|
||||
; 27.684 ; S[1] ; Vout[4]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 6.903 ;
|
||||
; 28.345 ; S[0] ; Vout[1]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 6.242 ;
|
||||
; 28.345 ; S[0] ; Vout[2]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 6.242 ;
|
||||
; 28.345 ; S[0] ; Vout[5]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 6.242 ;
|
||||
; 28.363 ; S[0] ; Vout[0]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 6.224 ;
|
||||
; 28.363 ; S[0] ; Vout[4]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 6.224 ;
|
||||
; 28.412 ; S[2] ; Vout[3]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 6.175 ;
|
||||
; 28.412 ; S[2] ; Vout[6]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 6.175 ;
|
||||
; 28.412 ; S[2] ; Vout[7]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 6.175 ;
|
||||
; 28.512 ; S[3] ; Vout[3]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 6.075 ;
|
||||
; 28.512 ; S[3] ; Vout[6]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 6.075 ;
|
||||
; 28.512 ; S[3] ; Vout[7]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 6.075 ;
|
||||
; 28.738 ; S[1] ; Vout[3]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 5.849 ;
|
||||
; 28.738 ; S[1] ; Vout[6]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 5.849 ;
|
||||
; 28.738 ; S[1] ; Vout[7]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 5.849 ;
|
||||
; 29.047 ; S[1] ; RAT ; C14M ; C14M ; 34.920 ; 0.000 ; 5.540 ;
|
||||
; 29.369 ; S[1] ; VOE ; C14M ; C14M ; 34.920 ; 0.000 ; 5.218 ;
|
||||
; 29.417 ; S[0] ; Vout[3]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 5.170 ;
|
||||
; 29.417 ; S[0] ; Vout[6]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 5.170 ;
|
||||
; 29.417 ; S[0] ; Vout[7]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 5.170 ;
|
||||
; 30.326 ; S[3] ; VOE ; C14M ; C14M ; 34.920 ; 0.000 ; 4.261 ;
|
||||
; 30.506 ; S[3] ; RAT ; C14M ; C14M ; 34.920 ; 0.000 ; 4.081 ;
|
||||
; 30.779 ; S[2] ; VOE ; C14M ; C14M ; 34.920 ; 0.000 ; 3.808 ;
|
||||
; 30.863 ; S[2] ; RAT ; C14M ; C14M ; 34.920 ; 0.000 ; 3.724 ;
|
||||
; 31.286 ; S[0] ; VOE ; C14M ; C14M ; 34.920 ; 0.000 ; 3.301 ;
|
||||
; 31.310 ; S[0] ; RAT ; C14M ; C14M ; 34.920 ; 0.000 ; 3.277 ;
|
||||
; 31.398 ; RA[11] ; RAr[11] ; C14M ; C14M ; 34.920 ; 0.000 ; 3.189 ;
|
||||
; 31.898 ; nRWE ; nRWEout~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 2.689 ;
|
||||
; 31.906 ; CKE ; CKEout~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 2.681 ;
|
||||
; 32.039 ; RA[9] ; RAr[9] ; C14M ; C14M ; 34.920 ; 0.000 ; 2.548 ;
|
||||
; 32.047 ; nRAS ; nRASout~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 2.540 ;
|
||||
; 32.481 ; RA[10] ; RAr[10] ; C14M ; C14M ; 34.920 ; 0.000 ; 2.106 ;
|
||||
; 32.530 ; RA[8] ; RAr[8] ; C14M ; C14M ; 34.920 ; 0.000 ; 2.057 ;
|
||||
; 32.548 ; nCAS ; nCASout~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 2.039 ;
|
||||
; 32.961 ; RA[3] ; RAr[3] ; C14M ; C14M ; 34.920 ; 0.000 ; 1.626 ;
|
||||
; 32.963 ; RA[2] ; RAr[2] ; C14M ; C14M ; 34.920 ; 0.000 ; 1.624 ;
|
||||
; 32.965 ; RA[4] ; RAr[4] ; C14M ; C14M ; 34.920 ; 0.000 ; 1.622 ;
|
||||
; 32.965 ; RA[7] ; RAr[7] ; C14M ; C14M ; 34.920 ; 0.000 ; 1.622 ;
|
||||
; 32.969 ; RA[6] ; RAr[6] ; C14M ; C14M ; 34.920 ; 0.000 ; 1.618 ;
|
||||
; 32.973 ; RA[0] ; RAr[0] ; C14M ; C14M ; 34.920 ; 0.000 ; 1.614 ;
|
||||
; 32.984 ; RA[1] ; RAr[1] ; C14M ; C14M ; 34.920 ; 0.000 ; 1.603 ;
|
||||
; 32.986 ; RA[5] ; RAr[5] ; C14M ; C14M ; 34.920 ; 0.000 ; 1.601 ;
|
||||
; 55.361 ; S[1] ; RAM2E_UFM:ram2e_ufm|CmdEraseMAX ; C14M ; C14M ; 69.841 ; 0.000 ; 14.147 ;
|
||||
; 55.363 ; S[1] ; RAM2E_UFM:ram2e_ufm|CmdPrgmMAX ; C14M ; C14M ; 69.841 ; 0.000 ; 14.145 ;
|
||||
; 56.330 ; S[3] ; RAM2E_UFM:ram2e_ufm|CmdEraseMAX ; C14M ; C14M ; 69.841 ; 0.000 ; 13.178 ;
|
||||
; 56.332 ; S[3] ; RAM2E_UFM:ram2e_ufm|CmdPrgmMAX ; C14M ; C14M ; 69.841 ; 0.000 ; 13.176 ;
|
||||
; 56.744 ; S[2] ; RAM2E_UFM:ram2e_ufm|CmdEraseMAX ; C14M ; C14M ; 69.841 ; 0.000 ; 12.764 ;
|
||||
; 56.746 ; S[2] ; RAM2E_UFM:ram2e_ufm|CmdPrgmMAX ; C14M ; C14M ; 69.841 ; 0.000 ; 12.762 ;
|
||||
; 57.090 ; FS[2] ; RAM2E_UFM:ram2e_ufm|UFMReqErase ; C14M ; C14M ; 69.841 ; 0.000 ; 12.418 ;
|
||||
; 57.090 ; FS[2] ; RAM2E_UFM:ram2e_ufm|RWMask[5] ; C14M ; C14M ; 69.841 ; 0.000 ; 12.418 ;
|
||||
; 57.092 ; FS[2] ; RAM2E_UFM:ram2e_ufm|UFMInitDone ; C14M ; C14M ; 69.841 ; 0.000 ; 12.416 ;
|
||||
; 57.138 ; FS[3] ; RAM2E_UFM:ram2e_ufm|UFMReqErase ; C14M ; C14M ; 69.841 ; 0.000 ; 12.370 ;
|
||||
; 57.138 ; FS[3] ; RAM2E_UFM:ram2e_ufm|RWMask[5] ; C14M ; C14M ; 69.841 ; 0.000 ; 12.370 ;
|
||||
; 57.140 ; FS[3] ; RAM2E_UFM:ram2e_ufm|UFMInitDone ; C14M ; C14M ; 69.841 ; 0.000 ; 12.368 ;
|
||||
; 57.222 ; FS[15] ; RAM2E_UFM:ram2e_ufm|UFMReqErase ; C14M ; C14M ; 69.841 ; 0.000 ; 12.286 ;
|
||||
; 57.222 ; FS[15] ; RAM2E_UFM:ram2e_ufm|RWMask[5] ; C14M ; C14M ; 69.841 ; 0.000 ; 12.286 ;
|
||||
; 57.224 ; S[1] ; RAM2E_UFM:ram2e_ufm|RWMask[5] ; C14M ; C14M ; 69.841 ; 0.000 ; 12.284 ;
|
||||
; 57.224 ; FS[15] ; RAM2E_UFM:ram2e_ufm|UFMInitDone ; C14M ; C14M ; 69.841 ; 0.000 ; 12.284 ;
|
||||
; 57.359 ; S[0] ; RAM2E_UFM:ram2e_ufm|CmdEraseMAX ; C14M ; C14M ; 69.841 ; 0.000 ; 12.149 ;
|
||||
; 57.361 ; S[0] ; RAM2E_UFM:ram2e_ufm|CmdPrgmMAX ; C14M ; C14M ; 69.841 ; 0.000 ; 12.147 ;
|
||||
; 57.569 ; FS[2] ; RAM2E_UFM:ram2e_ufm|RWMask[6] ; C14M ; C14M ; 69.841 ; 0.000 ; 11.939 ;
|
||||
; 57.569 ; FS[2] ; RAM2E_UFM:ram2e_ufm|RWMask[0] ; C14M ; C14M ; 69.841 ; 0.000 ; 11.939 ;
|
||||
; 57.569 ; FS[2] ; RAM2E_UFM:ram2e_ufm|RWMask[1] ; C14M ; C14M ; 69.841 ; 0.000 ; 11.939 ;
|
||||
; 57.569 ; FS[2] ; RAM2E_UFM:ram2e_ufm|RWMask[7] ; C14M ; C14M ; 69.841 ; 0.000 ; 11.939 ;
|
||||
; 57.569 ; FS[2] ; RAM2E_UFM:ram2e_ufm|RWMask[2] ; C14M ; C14M ; 69.841 ; 0.000 ; 11.939 ;
|
||||
; 57.569 ; FS[2] ; RAM2E_UFM:ram2e_ufm|RWMask[3] ; C14M ; C14M ; 69.841 ; 0.000 ; 11.939 ;
|
||||
; 57.569 ; FS[2] ; RAM2E_UFM:ram2e_ufm|RWMask[4] ; C14M ; C14M ; 69.841 ; 0.000 ; 11.939 ;
|
||||
; 57.617 ; FS[3] ; RAM2E_UFM:ram2e_ufm|RWMask[6] ; C14M ; C14M ; 69.841 ; 0.000 ; 11.891 ;
|
||||
; 57.617 ; FS[3] ; RAM2E_UFM:ram2e_ufm|RWMask[0] ; C14M ; C14M ; 69.841 ; 0.000 ; 11.891 ;
|
||||
; 57.617 ; FS[3] ; RAM2E_UFM:ram2e_ufm|RWMask[1] ; C14M ; C14M ; 69.841 ; 0.000 ; 11.891 ;
|
||||
; 57.617 ; FS[3] ; RAM2E_UFM:ram2e_ufm|RWMask[7] ; C14M ; C14M ; 69.841 ; 0.000 ; 11.891 ;
|
||||
; 57.617 ; FS[3] ; RAM2E_UFM:ram2e_ufm|RWMask[2] ; C14M ; C14M ; 69.841 ; 0.000 ; 11.891 ;
|
||||
; 57.617 ; FS[3] ; RAM2E_UFM:ram2e_ufm|RWMask[3] ; C14M ; C14M ; 69.841 ; 0.000 ; 11.891 ;
|
||||
; 57.617 ; FS[3] ; RAM2E_UFM:ram2e_ufm|RWMask[4] ; C14M ; C14M ; 69.841 ; 0.000 ; 11.891 ;
|
||||
+--------+-----------------------------------------------------------------------------------------------------------------+---------------------------------+------------------------+-------------+--------------+------------+------------+
|
||||
|
||||
|
||||
+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
|
||||
; Hold: 'ram2e_ufm|ARCLK|regout' ;
|
||||
+---------+-----------------------------------------------------------------------------------------------------------------------------+-----------------------------------------------------------------------------------------------------------------------------+------------------------+------------------------+--------------+------------+------------+
|
||||
; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ;
|
||||
+---------+-----------------------------------------------------------------------------------------------------------------------------+-----------------------------------------------------------------------------------------------------------------------------+------------------------+------------------------+--------------+------------+------------+
|
||||
; -17.454 ; RAM2E_UFM:ram2e_ufm|ARShift ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|maxii_ufm_block1~OBSERVABLEADDRESSREGOUT ; C14M ; ram2e_ufm|ARCLK|regout ; 0.000 ; -0.997 ; 1.549 ;
|
||||
; 60.000 ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|maxii_ufm_block1~OBSERVABLEADDRESSREGOUT ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|maxii_ufm_block1~OBSERVABLEADDRESSREGOUT ; ram2e_ufm|ARCLK|regout ; ram2e_ufm|ARCLK|regout ; 0.000 ; 0.000 ; 80.000 ;
|
||||
+---------+-----------------------------------------------------------------------------------------------------------------------------+-----------------------------------------------------------------------------------------------------------------------------+------------------------+------------------------+--------------+------------+------------+
|
||||
|
||||
|
||||
+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
|
||||
; Hold: 'ram2e_ufm|DRCLK|regout' ;
|
||||
+---------+-----------------------------------------------------------------------------------------------------------------+-----------------------------------------------------------------------------------------------------------------+------------------------+------------------------+--------------+------------+------------+
|
||||
; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ;
|
||||
+---------+-----------------------------------------------------------------------------------------------------------------+-----------------------------------------------------------------------------------------------------------------+------------------------+------------------------+--------------+------------+------------+
|
||||
; -16.286 ; RAM2E_UFM:ram2e_ufm|DRDIn ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|wire_maxii_ufm_block1_drdout ; C14M ; ram2e_ufm|DRCLK|regout ; 0.000 ; -1.629 ; 2.085 ;
|
||||
; -16.276 ; RAM2E_UFM:ram2e_ufm|DRShift ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|wire_maxii_ufm_block1_drdout ; C14M ; ram2e_ufm|DRCLK|regout ; 0.000 ; -1.629 ; 2.095 ;
|
||||
; -16.306 ; RAM2E_UFM:ram2e_ufm|DRDIn ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|wire_maxii_ufm_block1_drdout ; C14M ; ram2e_ufm|DRCLK|regout ; 0.000 ; -2.165 ; 1.529 ;
|
||||
; -16.276 ; RAM2E_UFM:ram2e_ufm|DRShift ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|wire_maxii_ufm_block1_drdout ; C14M ; ram2e_ufm|DRCLK|regout ; 0.000 ; -2.165 ; 1.559 ;
|
||||
; 60.000 ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|wire_maxii_ufm_block1_drdout ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|wire_maxii_ufm_block1_drdout ; ram2e_ufm|DRCLK|regout ; ram2e_ufm|DRCLK|regout ; 0.000 ; 0.000 ; 80.000 ;
|
||||
+---------+-----------------------------------------------------------------------------------------------------------------+-----------------------------------------------------------------------------------------------------------------+------------------------+------------------------+--------------+------------+------------+
|
||||
|
||||
|
||||
+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
|
||||
; Hold: 'ram2e_ufm|ARCLK|regout' ;
|
||||
+---------+-----------------------------------------------------------------------------------------------------------------------------+-----------------------------------------------------------------------------------------------------------------------------+------------------------+------------------------+--------------+------------+------------+
|
||||
; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ;
|
||||
+---------+-----------------------------------------------------------------------------------------------------------------------------+-----------------------------------------------------------------------------------------------------------------------------+------------------------+------------------------+--------------+------------+------------+
|
||||
; -16.256 ; RAM2E_UFM:ram2e_ufm|ARShift ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|maxii_ufm_block1~OBSERVABLEADDRESSREGOUT ; C14M ; ram2e_ufm|ARCLK|regout ; 0.000 ; -2.195 ; 1.549 ;
|
||||
; 60.000 ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|maxii_ufm_block1~OBSERVABLEADDRESSREGOUT ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|maxii_ufm_block1~OBSERVABLEADDRESSREGOUT ; ram2e_ufm|ARCLK|regout ; ram2e_ufm|ARCLK|regout ; 0.000 ; 0.000 ; 80.000 ;
|
||||
+---------+-----------------------------------------------------------------------------------------------------------------------------+-----------------------------------------------------------------------------------------------------------------------------+------------------------+------------------------+--------------+------------+------------+
|
||||
|
||||
|
||||
+----------------------------------------------------------------------------------------------------------------------------------------------------+
|
||||
; Hold: 'C14M' ;
|
||||
+-------+-----------------------------------+----------------------------------+--------------+-------------+--------------+------------+------------+
|
||||
; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ;
|
||||
+-------+-----------------------------------+----------------------------------+--------------+-------------+--------------+------------+------------+
|
||||
; 1.400 ; RAM2E_UFM:ram2e_ufm|UFMD[9] ; RAM2E_UFM:ram2e_ufm|UFMD[10] ; C14M ; C14M ; 0.000 ; 0.000 ; 1.621 ;
|
||||
; 1.409 ; RAM2E_UFM:ram2e_ufm|UFMD[8] ; RAM2E_UFM:ram2e_ufm|UFMD[9] ; C14M ; C14M ; 0.000 ; 0.000 ; 1.630 ;
|
||||
; 1.676 ; FS[0] ; FS[0] ; C14M ; C14M ; 0.000 ; 0.000 ; 1.897 ;
|
||||
; 1.685 ; RAM2E_UFM:ram2e_ufm|UFMD[12] ; RAM2E_UFM:ram2e_ufm|UFMD[13] ; C14M ; C14M ; 0.000 ; 0.000 ; 1.906 ;
|
||||
; 1.685 ; RAM2E_UFM:ram2e_ufm|UFMD[15] ; RAM2E_UFM:ram2e_ufm|RWMask[7] ; C14M ; C14M ; 0.000 ; 0.000 ; 1.906 ;
|
||||
; 1.689 ; Ready ; RDOE ; C14M ; C14M ; 0.000 ; 0.000 ; 1.910 ;
|
||||
; 1.706 ; CS[1] ; CS[1] ; C14M ; C14M ; 0.000 ; 0.000 ; 1.927 ;
|
||||
; 1.708 ; CS[1] ; CS[2] ; C14M ; C14M ; 0.000 ; 0.000 ; 1.929 ;
|
||||
; 1.708 ; CmdTout[0] ; CmdTout[1] ; C14M ; C14M ; 0.000 ; 0.000 ; 1.929 ;
|
||||
; 1.709 ; CmdTout[0] ; CmdTout[2] ; C14M ; C14M ; 0.000 ; 0.000 ; 1.930 ;
|
||||
; 1.722 ; RAM2E_UFM:ram2e_ufm|UFMProgStart ; RAM2E_UFM:ram2e_ufm|UFMProgStart ; C14M ; C14M ; 0.000 ; 0.000 ; 1.943 ;
|
||||
; 1.759 ; CS[0] ; CS[0] ; C14M ; C14M ; 0.000 ; 0.000 ; 1.980 ;
|
||||
; 1.855 ; RAM2E_UFM:ram2e_ufm|UFMD[11] ; RAM2E_UFM:ram2e_ufm|UFMD[12] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.076 ;
|
||||
; 1.898 ; RAM2E_UFM:ram2e_ufm|UFMProgram ; RAM2E_UFM:ram2e_ufm|UFMProgram ; C14M ; C14M ; 0.000 ; 0.000 ; 2.119 ;
|
||||
; 1.906 ; RWBank[1] ; RA[8] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.127 ;
|
||||
; 1.909 ; RAM2E_UFM:ram2e_ufm|UFMReqErase ; RAM2E_UFM:ram2e_ufm|UFMReqErase ; C14M ; C14M ; 0.000 ; 0.000 ; 2.130 ;
|
||||
; 1.955 ; CmdTout[1] ; CmdTout[2] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.176 ;
|
||||
; 1.971 ; CS[2] ; CS[2] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.192 ;
|
||||
; 1.981 ; RAM2E_UFM:ram2e_ufm|CmdEraseMAX ; RAM2E_UFM:ram2e_ufm|CmdEraseMAX ; C14M ; C14M ; 0.000 ; 0.000 ; 2.202 ;
|
||||
; 1.998 ; RAM2E_UFM:ram2e_ufm|CmdEraseMAX ; RAM2E_UFM:ram2e_ufm|CmdPrgmMAX ; C14M ; C14M ; 0.000 ; 0.000 ; 2.219 ;
|
||||
; 2.014 ; RAM2E_UFM:ram2e_ufm|CmdPrgmMAX ; RAM2E_UFM:ram2e_ufm|UFMProgStart ; C14M ; C14M ; 0.000 ; 0.000 ; 2.235 ;
|
||||
; 2.043 ; RAM2E_UFM:ram2e_ufm|UFMD[9] ; RAM2E_UFM:ram2e_ufm|RWMask[1] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.264 ;
|
||||
; 2.087 ; RAM2E_UFM:ram2e_ufm|UFMD[10] ; RAM2E_UFM:ram2e_ufm|UFMD[11] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.308 ;
|
||||
; 2.095 ; RAM2E_UFM:ram2e_ufm|UFMD[10] ; RAM2E_UFM:ram2e_ufm|RWMask[3] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.316 ;
|
||||
; 2.107 ; PHI1r ; S[1] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.328 ;
|
||||
; 2.108 ; PHI1r ; S[0] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.329 ;
|
||||
; 2.109 ; RWBank[7] ; RA[8] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.330 ;
|
||||
; 2.115 ; PHI1r ; S[3] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.336 ;
|
||||
; 2.115 ; PHI1r ; S[2] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.336 ;
|
||||
; 2.116 ; FS[8] ; FS[8] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.337 ;
|
||||
; 2.117 ; FS[15] ; FS[15] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.338 ;
|
||||
; 2.117 ; RAM2E_UFM:ram2e_ufm|DRDIn ; RAM2E_UFM:ram2e_ufm|DRDIn ; C14M ; C14M ; 0.000 ; 0.000 ; 2.338 ;
|
||||
; 2.118 ; RAM2E_UFM:ram2e_ufm|DRCLKPulse ; RAM2E_UFM:ram2e_ufm|DRCLK ; C14M ; C14M ; 0.000 ; 0.000 ; 2.339 ;
|
||||
; 2.127 ; FS[7] ; FS[7] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.348 ;
|
||||
; 1.408 ; RAM2E_UFM:ram2e_ufm|UFMD[9] ; RAM2E_UFM:ram2e_ufm|UFMD[10] ; C14M ; C14M ; 0.000 ; 0.000 ; 1.629 ;
|
||||
; 1.412 ; RAM2E_UFM:ram2e_ufm|UFMD[8] ; RAM2E_UFM:ram2e_ufm|UFMD[9] ; C14M ; C14M ; 0.000 ; 0.000 ; 1.633 ;
|
||||
; 1.428 ; RAM2E_UFM:ram2e_ufm|UFMD[11] ; RAM2E_UFM:ram2e_ufm|UFMD[12] ; C14M ; C14M ; 0.000 ; 0.000 ; 1.649 ;
|
||||
; 1.429 ; RAM2E_UFM:ram2e_ufm|UFMD[14] ; RAM2E_UFM:ram2e_ufm|UFMD[15] ; C14M ; C14M ; 0.000 ; 0.000 ; 1.650 ;
|
||||
; 1.659 ; Ready ; Ready ; C14M ; C14M ; 0.000 ; 0.000 ; 1.880 ;
|
||||
; 1.677 ; RAM2E_UFM:ram2e_ufm|UFMD[12] ; RAM2E_UFM:ram2e_ufm|UFMD[13] ; C14M ; C14M ; 0.000 ; 0.000 ; 1.898 ;
|
||||
; 1.686 ; RAM2E_UFM:ram2e_ufm|UFMD[13] ; RAM2E_UFM:ram2e_ufm|UFMD[14] ; C14M ; C14M ; 0.000 ; 0.000 ; 1.907 ;
|
||||
; 1.704 ; S[0] ; S[1] ; C14M ; C14M ; 0.000 ; 0.000 ; 1.925 ;
|
||||
; 1.718 ; RAM2E_UFM:ram2e_ufm|CmdPrgmMAX ; RAM2E_UFM:ram2e_ufm|CmdPrgmMAX ; C14M ; C14M ; 0.000 ; 0.000 ; 1.939 ;
|
||||
; 1.720 ; RAM2E_UFM:ram2e_ufm|CmdPrgmMAX ; RAM2E_UFM:ram2e_ufm|CmdEraseMAX ; C14M ; C14M ; 0.000 ; 0.000 ; 1.941 ;
|
||||
; 1.723 ; CS[0] ; CS[0] ; C14M ; C14M ; 0.000 ; 0.000 ; 1.944 ;
|
||||
; 1.725 ; CS[0] ; CS[1] ; C14M ; C14M ; 0.000 ; 0.000 ; 1.946 ;
|
||||
; 1.732 ; CS[0] ; CS[2] ; C14M ; C14M ; 0.000 ; 0.000 ; 1.953 ;
|
||||
; 1.899 ; FS[0] ; FS[0] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.120 ;
|
||||
; 1.909 ; RAM2E_UFM:ram2e_ufm|RWMask[5] ; RWBank[5] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.130 ;
|
||||
; 1.959 ; RAM2E_UFM:ram2e_ufm|CmdEraseMAX ; RAM2E_UFM:ram2e_ufm|CmdPrgmMAX ; C14M ; C14M ; 0.000 ; 0.000 ; 2.180 ;
|
||||
; 1.971 ; CmdTout[0] ; CmdTout[0] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.192 ;
|
||||
; 1.972 ; CmdTout[0] ; CmdTout[2] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.193 ;
|
||||
; 1.980 ; CmdTout[0] ; CmdTout[1] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.201 ;
|
||||
; 1.981 ; RAM2E_UFM:ram2e_ufm|UFMProgStart ; RAM2E_UFM:ram2e_ufm|UFMProgStart ; C14M ; C14M ; 0.000 ; 0.000 ; 2.202 ;
|
||||
; 2.012 ; CS[1] ; CS[1] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.233 ;
|
||||
; 2.036 ; CS[1] ; CS[2] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.257 ;
|
||||
; 2.107 ; FS[7] ; FS[7] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.328 ;
|
||||
; 2.112 ; RWBank[4] ; RA[11] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.333 ;
|
||||
; 2.121 ; RAM2E_UFM:ram2e_ufm|DRCLKPulse ; RAM2E_UFM:ram2e_ufm|DRCLK ; C14M ; C14M ; 0.000 ; 0.000 ; 2.342 ;
|
||||
; 2.127 ; FS[15] ; FS[15] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.348 ;
|
||||
; 2.134 ; FS[8] ; FS[8] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.355 ;
|
||||
; 2.144 ; FS[5] ; FS[5] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.365 ;
|
||||
; 2.151 ; FS[9] ; FS[9] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.372 ;
|
||||
; 2.153 ; FS[10] ; FS[10] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.374 ;
|
||||
; 2.159 ; FS[9] ; FS[9] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.380 ;
|
||||
; 2.174 ; CmdTout[0] ; CmdTout[0] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.395 ;
|
||||
; 2.212 ; RAM2E_UFM:ram2e_ufm|LEDEN ; RAM2E_UFM:ram2e_ufm|LEDEN ; C14M ; C14M ; 0.000 ; 0.000 ; 2.433 ;
|
||||
; 2.221 ; RAM2E_UFM:ram2e_ufm|UFMInitDone ; RAM2E_UFM:ram2e_ufm|UFMInitDone ; C14M ; C14M ; 0.000 ; 0.000 ; 2.442 ;
|
||||
; 2.221 ; RA[10] ; RA[10] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.442 ;
|
||||
; 2.225 ; CS[0] ; CS[1] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.446 ;
|
||||
; 2.227 ; CS[0] ; CS[2] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.448 ;
|
||||
; 2.232 ; FS[6] ; FS[6] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.453 ;
|
||||
; 2.154 ; RAM2E_UFM:ram2e_ufm|UFMProgram ; RAM2E_UFM:ram2e_ufm|UFMProgram ; C14M ; C14M ; 0.000 ; 0.000 ; 2.375 ;
|
||||
; 2.212 ; RAM2E_UFM:ram2e_ufm|DRDIn ; RAM2E_UFM:ram2e_ufm|DRDIn ; C14M ; C14M ; 0.000 ; 0.000 ; 2.433 ;
|
||||
; 2.233 ; FS[11] ; FS[11] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.454 ;
|
||||
; 2.239 ; FS[13] ; FS[13] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.460 ;
|
||||
; 2.239 ; FS[14] ; FS[14] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.460 ;
|
||||
; 2.240 ; FS[2] ; FS[2] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.461 ;
|
||||
; 2.240 ; FS[4] ; FS[4] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.461 ;
|
||||
; 2.242 ; FS[11] ; FS[11] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.463 ;
|
||||
; 2.240 ; FS[1] ; FS[1] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.461 ;
|
||||
; 2.242 ; RAM2E_UFM:ram2e_ufm|UFMInitDone ; RAM2E_UFM:ram2e_ufm|UFMInitDone ; C14M ; C14M ; 0.000 ; 0.000 ; 2.463 ;
|
||||
; 2.248 ; FS[4] ; FS[4] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.469 ;
|
||||
; 2.249 ; FS[2] ; FS[2] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.470 ;
|
||||
; 2.249 ; CmdTout[2] ; CmdTout[2] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.470 ;
|
||||
; 2.250 ; FS[12] ; FS[12] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.471 ;
|
||||
; 2.252 ; FS[3] ; FS[3] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.473 ;
|
||||
; 2.260 ; CmdTout[2] ; CmdTout[2] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.481 ;
|
||||
; 2.261 ; Ready ; Ready ; C14M ; C14M ; 0.000 ; 0.000 ; 2.482 ;
|
||||
; 2.272 ; CmdTout[1] ; CmdTout[1] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.493 ;
|
||||
; 2.319 ; RWBank[6] ; BA[1]~reg0 ; C14M ; C14M ; 0.000 ; 0.000 ; 2.540 ;
|
||||
; 2.333 ; RAM2E_UFM:ram2e_ufm|CmdPrgmMAX ; RAM2E_UFM:ram2e_ufm|CmdPrgmMAX ; C14M ; C14M ; 0.000 ; 0.000 ; 2.554 ;
|
||||
; 2.342 ; RAM2E_UFM:ram2e_ufm|CmdPrgmMAX ; RAM2E_UFM:ram2e_ufm|CmdEraseMAX ; C14M ; C14M ; 0.000 ; 0.000 ; 2.563 ;
|
||||
; 2.352 ; RAM2E_UFM:ram2e_ufm|UFMD[13] ; RAM2E_UFM:ram2e_ufm|RWMask[5] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.573 ;
|
||||
; 2.380 ; RAM2E_UFM:ram2e_ufm|UFMD[11] ; RAM2E_UFM:ram2e_ufm|RWMask[3] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.601 ;
|
||||
; 2.521 ; S[0] ; S[0] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.742 ;
|
||||
; 2.523 ; RAM2E_UFM:ram2e_ufm|UFMD[14] ; RAM2E_UFM:ram2e_ufm|UFMD[15] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.744 ;
|
||||
; 2.603 ; RAM2E_UFM:ram2e_ufm|CmdBitbangMAX ; RAM2E_UFM:ram2e_ufm|DRCLKPulse ; C14M ; C14M ; 0.000 ; 0.000 ; 2.824 ;
|
||||
; 2.604 ; RAM2E_UFM:ram2e_ufm|UFMD[8] ; RAM2E_UFM:ram2e_ufm|RWMask[0] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.825 ;
|
||||
; 2.660 ; RAM2E_UFM:ram2e_ufm|UFMD[10] ; RAM2E_UFM:ram2e_ufm|RWMask[6] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.881 ;
|
||||
; 2.727 ; RWSel ; RWSel ; C14M ; C14M ; 0.000 ; 0.000 ; 2.948 ;
|
||||
; 2.775 ; FS[11] ; RA[4] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.996 ;
|
||||
; 2.788 ; S[2] ; CKE ; C14M ; C14M ; 0.000 ; 0.000 ; 3.009 ;
|
||||
; 2.805 ; S[2] ; DOEEN ; C14M ; C14M ; 0.000 ; 0.000 ; 3.026 ;
|
||||
; 2.809 ; RAM2E_UFM:ram2e_ufm|UFMD[12] ; RAM2E_UFM:ram2e_ufm|RWMask[4] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.030 ;
|
||||
; 2.889 ; S[3] ; DQML~reg0 ; C14M ; C14M ; 0.000 ; 0.000 ; 3.110 ;
|
||||
; 2.890 ; RWBank[5] ; BA[0]~reg0 ; C14M ; C14M ; 0.000 ; 0.000 ; 3.111 ;
|
||||
; 2.891 ; S[3] ; DQMH~reg0 ; C14M ; C14M ; 0.000 ; 0.000 ; 3.112 ;
|
||||
; 2.909 ; FS[13] ; RA[6] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.130 ;
|
||||
; 2.948 ; FS[8] ; FS[9] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.169 ;
|
||||
; 2.969 ; RAM2E_UFM:ram2e_ufm|UFMProgStart ; RAM2E_UFM:ram2e_ufm|UFMErase ; C14M ; C14M ; 0.000 ; 0.000 ; 3.190 ;
|
||||
; 2.262 ; FS[6] ; FS[6] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.483 ;
|
||||
; 2.270 ; RAM2E_UFM:ram2e_ufm|CmdEraseMAX ; RAM2E_UFM:ram2e_ufm|CmdEraseMAX ; C14M ; C14M ; 0.000 ; 0.000 ; 2.491 ;
|
||||
; 2.271 ; CmdTout[1] ; CmdTout[1] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.492 ;
|
||||
; 2.273 ; FS[3] ; FS[3] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.494 ;
|
||||
; 2.275 ; S[0] ; S[0] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.496 ;
|
||||
; 2.282 ; S[0] ; nCAS ; C14M ; C14M ; 0.000 ; 0.000 ; 2.503 ;
|
||||
; 2.308 ; RAM2E_UFM:ram2e_ufm|CmdPrgmMAX ; RAM2E_UFM:ram2e_ufm|UFMProgStart ; C14M ; C14M ; 0.000 ; 0.000 ; 2.529 ;
|
||||
; 2.351 ; S[3] ; S[3] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.572 ;
|
||||
; 2.357 ; CS[2] ; CS[2] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.578 ;
|
||||
; 2.478 ; RAM2E_UFM:ram2e_ufm|RWMask[7] ; RWBank[7] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.699 ;
|
||||
; 2.532 ; RAM2E_UFM:ram2e_ufm|RWMask[2] ; RWBank[2] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.753 ;
|
||||
; 2.536 ; CmdTout[1] ; CmdTout[2] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.757 ;
|
||||
; 2.541 ; RAM2E_UFM:ram2e_ufm|UFMD[11] ; RAM2E_UFM:ram2e_ufm|RWMask[3] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.762 ;
|
||||
; 2.547 ; RWBank[6] ; BA[1]~reg0 ; C14M ; C14M ; 0.000 ; 0.000 ; 2.768 ;
|
||||
; 2.564 ; RAM2E_UFM:ram2e_ufm|UFMReqErase ; RAM2E_UFM:ram2e_ufm|UFMReqErase ; C14M ; C14M ; 0.000 ; 0.000 ; 2.785 ;
|
||||
; 2.566 ; PHI1r ; S[2] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.787 ;
|
||||
; 2.573 ; PHI1r ; S[0] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.794 ;
|
||||
; 2.600 ; RAM2E_UFM:ram2e_ufm|RWMask[1] ; RWBank[1] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.821 ;
|
||||
; 2.607 ; S[1] ; S[1] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.828 ;
|
||||
; 2.609 ; PHI1r ; S[3] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.830 ;
|
||||
; 2.614 ; RAM2E_UFM:ram2e_ufm|UFMD[14] ; RAM2E_UFM:ram2e_ufm|RWMask[6] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.835 ;
|
||||
; 2.616 ; RAM2E_UFM:ram2e_ufm|UFMD[13] ; RAM2E_UFM:ram2e_ufm|RWMask[5] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.837 ;
|
||||
; 2.635 ; RAM2E_UFM:ram2e_ufm|UFMD[9] ; RAM2E_UFM:ram2e_ufm|RWMask[1] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.856 ;
|
||||
; 2.651 ; RA[10] ; RA[10] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.872 ;
|
||||
; 2.663 ; RAM2E_UFM:ram2e_ufm|UFMD[10] ; RAM2E_UFM:ram2e_ufm|RWMask[3] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.884 ;
|
||||
; 2.666 ; RAM2E_UFM:ram2e_ufm|UFMD[10] ; RAM2E_UFM:ram2e_ufm|RWMask[1] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.887 ;
|
||||
; 2.667 ; RAM2E_UFM:ram2e_ufm|UFMD[10] ; RAM2E_UFM:ram2e_ufm|RWMask[0] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.888 ;
|
||||
; 2.673 ; RAM2E_UFM:ram2e_ufm|UFMD[10] ; RAM2E_UFM:ram2e_ufm|RWMask[6] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.894 ;
|
||||
; 2.691 ; RAM2E_UFM:ram2e_ufm|CmdBitbangMAX ; RAM2E_UFM:ram2e_ufm|DRCLKPulse ; C14M ; C14M ; 0.000 ; 0.000 ; 2.912 ;
|
||||
; 2.710 ; RWSel ; RWSel ; C14M ; C14M ; 0.000 ; 0.000 ; 2.931 ;
|
||||
; 2.721 ; RAM2E_UFM:ram2e_ufm|RWMask[4] ; RWBank[4] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.942 ;
|
||||
; 2.764 ; FS[14] ; RA[7] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.985 ;
|
||||
; 2.774 ; RAM2E_UFM:ram2e_ufm|RWMask[0] ; RWBank[0] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.995 ;
|
||||
; 2.798 ; RWSel ; CmdTout[1] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.019 ;
|
||||
; 2.804 ; RWSel ; CmdTout[2] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.025 ;
|
||||
; 2.809 ; RAM2E_UFM:ram2e_ufm|UFMD[10] ; RAM2E_UFM:ram2e_ufm|RWMask[2] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.030 ;
|
||||
; 2.818 ; FS[4] ; RA[9] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.039 ;
|
||||
; 2.833 ; RAM2E_UFM:ram2e_ufm|UFMD[10] ; RAM2E_UFM:ram2e_ufm|UFMD[11] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.054 ;
|
||||
; 2.899 ; FS[13] ; RA[6] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.120 ;
|
||||
; 2.966 ; FS[8] ; FS[9] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.187 ;
|
||||
; 2.976 ; FS[5] ; FS[6] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.197 ;
|
||||
; 2.976 ; FS[11] ; RA[4] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.197 ;
|
||||
; 2.983 ; FS[9] ; FS[10] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.204 ;
|
||||
; 2.985 ; FS[10] ; FS[11] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.206 ;
|
||||
; 2.985 ; FS[4] ; RA[1] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.206 ;
|
||||
; 2.991 ; FS[9] ; FS[10] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.212 ;
|
||||
; 3.008 ; RWBank[4] ; RA[11] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.229 ;
|
||||
; 3.028 ; RAM2E_UFM:ram2e_ufm|RWMask[5] ; RWBank[5] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.249 ;
|
||||
; 3.049 ; RAM2E_UFM:ram2e_ufm|RWMask[0] ; RWBank[0] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.270 ;
|
||||
; 3.052 ; RAM2E_UFM:ram2e_ufm|UFMD[14] ; RAM2E_UFM:ram2e_ufm|RWMask[6] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.273 ;
|
||||
; 3.059 ; FS[8] ; FS[10] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.280 ;
|
||||
; 3.075 ; RWBank[2] ; RA[9] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.296 ;
|
||||
; 2.991 ; S[3] ; CKE ; C14M ; C14M ; 0.000 ; 0.000 ; 3.212 ;
|
||||
; 3.009 ; RAM2E_UFM:ram2e_ufm|CmdEraseMAX ; RAM2E_UFM:ram2e_ufm|UFMErase ; C14M ; C14M ; 0.000 ; 0.000 ; 3.230 ;
|
||||
; 3.013 ; FS[15] ; DQML~reg0 ; C14M ; C14M ; 0.000 ; 0.000 ; 3.234 ;
|
||||
; 3.015 ; FS[15] ; DQMH~reg0 ; C14M ; C14M ; 0.000 ; 0.000 ; 3.236 ;
|
||||
; 3.017 ; S[1] ; nRWE ; C14M ; C14M ; 0.000 ; 0.000 ; 3.238 ;
|
||||
; 3.020 ; FS[10] ; RA[3] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.241 ;
|
||||
; 3.060 ; RAM2E_UFM:ram2e_ufm|LEDEN ; RAM2E_UFM:ram2e_ufm|LEDEN ; C14M ; C14M ; 0.000 ; 0.000 ; 3.281 ;
|
||||
; 3.077 ; FS[8] ; FS[10] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.298 ;
|
||||
; 3.087 ; FS[5] ; FS[7] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.308 ;
|
||||
; 3.094 ; FS[9] ; FS[11] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.315 ;
|
||||
; 3.096 ; FS[10] ; FS[12] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.317 ;
|
||||
; 3.098 ; S[2] ; nRWE ; C14M ; C14M ; 0.000 ; 0.000 ; 3.319 ;
|
||||
; 3.099 ; RWSel ; RAM2E_UFM:ram2e_ufm|DRCLKPulse ; C14M ; C14M ; 0.000 ; 0.000 ; 3.320 ;
|
||||
; 3.102 ; FS[9] ; FS[11] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.323 ;
|
||||
; 3.112 ; RAM2E_UFM:ram2e_ufm|UFMD[15] ; RAM2E_UFM:ram2e_ufm|LEDEN ; C14M ; C14M ; 0.000 ; 0.000 ; 3.333 ;
|
||||
; 3.120 ; RAM2E_UFM:ram2e_ufm|UFMD[10] ; RAM2E_UFM:ram2e_ufm|RWMask[2] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.341 ;
|
||||
; 3.145 ; RAM2E_UFM:ram2e_ufm|RWMask[6] ; RWBank[6] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.366 ;
|
||||
; 3.156 ; RAM2E_UFM:ram2e_ufm|RWMask[1] ; RWBank[1] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.377 ;
|
||||
; 3.170 ; FS[8] ; FS[11] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.391 ;
|
||||
; 3.172 ; FS[6] ; FS[7] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.393 ;
|
||||
; 3.179 ; FS[14] ; FS[15] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.400 ;
|
||||
; 3.179 ; FS[1] ; FS[1] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.400 ;
|
||||
; 3.179 ; FS[13] ; FS[14] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.400 ;
|
||||
; 3.180 ; FS[4] ; FS[5] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.401 ;
|
||||
; 3.124 ; RAM2E_UFM:ram2e_ufm|UFMD[12] ; RAM2E_UFM:ram2e_ufm|RWMask[4] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.345 ;
|
||||
; 3.136 ; S[0] ; DQML~reg0 ; C14M ; C14M ; 0.000 ; 0.000 ; 3.357 ;
|
||||
; 3.142 ; S[0] ; DQMH~reg0 ; C14M ; C14M ; 0.000 ; 0.000 ; 3.363 ;
|
||||
; 3.145 ; RAM2E_UFM:ram2e_ufm|UFMD[10] ; RAM2E_UFM:ram2e_ufm|RWMask[4] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.366 ;
|
||||
+-------+-----------------------------------+----------------------------------+--------------+-------------+--------------+------------+------------+
|
||||
|
||||
|
||||
@ -428,7 +429,7 @@ No paths to report.
|
||||
+------------------------+------------------------+----------+----------+----------+----------+
|
||||
; From Clock ; To Clock ; RR Paths ; FR Paths ; RF Paths ; FF Paths ;
|
||||
+------------------------+------------------------+----------+----------+----------+----------+
|
||||
; C14M ; C14M ; 1539 ; 0 ; 56 ; 0 ;
|
||||
; C14M ; C14M ; 1532 ; 0 ; 56 ; 0 ;
|
||||
; ram2e_ufm|DRCLK|regout ; C14M ; 13 ; 0 ; 0 ; 0 ;
|
||||
; C14M ; ram2e_ufm|ARCLK|regout ; 1 ; 0 ; 0 ; 0 ;
|
||||
; ram2e_ufm|ARCLK|regout ; ram2e_ufm|ARCLK|regout ; 1 ; 0 ; 0 ; 0 ;
|
||||
@ -443,7 +444,7 @@ Entries labeled "false path" only account for clock-to-clock false paths and not
|
||||
+------------------------+------------------------+----------+----------+----------+----------+
|
||||
; From Clock ; To Clock ; RR Paths ; FR Paths ; RF Paths ; FF Paths ;
|
||||
+------------------------+------------------------+----------+----------+----------+----------+
|
||||
; C14M ; C14M ; 1539 ; 0 ; 56 ; 0 ;
|
||||
; C14M ; C14M ; 1532 ; 0 ; 56 ; 0 ;
|
||||
; ram2e_ufm|DRCLK|regout ; C14M ; 13 ; 0 ; 0 ; 0 ;
|
||||
; C14M ; ram2e_ufm|ARCLK|regout ; 1 ; 0 ; 0 ; 0 ;
|
||||
; ram2e_ufm|ARCLK|regout ; ram2e_ufm|ARCLK|regout ; 1 ; 0 ; 0 ; 0 ;
|
||||
@ -473,7 +474,7 @@ No non-DPA dedicated SERDES Receiver circuitry present in device or used in desi
|
||||
; Illegal Clocks ; 0 ; 0 ;
|
||||
; Unconstrained Clocks ; 1 ; 1 ;
|
||||
; Unconstrained Input Ports ; 28 ; 28 ;
|
||||
; Unconstrained Input Port Paths ; 169 ; 169 ;
|
||||
; Unconstrained Input Port Paths ; 161 ; 161 ;
|
||||
; Unconstrained Output Ports ; 47 ; 47 ;
|
||||
; Unconstrained Output Port Paths ; 83 ; 83 ;
|
||||
+---------------------------------+-------+------+
|
||||
@ -679,7 +680,7 @@ No non-DPA dedicated SERDES Receiver circuitry present in device or used in desi
|
||||
Info: *******************************************************************
|
||||
Info: Running Quartus Prime Timing Analyzer
|
||||
Info: Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition
|
||||
Info: Processing started: Sat Jun 08 01:44:23 2024
|
||||
Info: Processing started: Fri Jul 12 16:09:19 2024
|
||||
Info: Command: quartus_sta RAM2E-MAXII -c RAM2E
|
||||
Info: qsta_default_script.tcl version: #1
|
||||
Info (20032): Parallel compilation is enabled and will use up to 4 processors
|
||||
@ -696,18 +697,18 @@ Info: Can't run Report Timing Closure Recommendations. The current device family
|
||||
Warning (332009): The launch and latch times for the relationship between source clock: C14M and destination clock: ram2e_ufm|ARCLK|regout are outside of the legal time range. The relationship difference is correct, however the launch time is set to 0.
|
||||
Warning (332009): The launch and latch times for the relationship between source clock: C14M and destination clock: ram2e_ufm|DRCLK|regout are outside of the legal time range. The relationship difference is correct, however the launch time is set to 0.
|
||||
Critical Warning (332148): Timing requirements not met
|
||||
Info (332146): Worst-case setup slack is -23.723
|
||||
Info (332146): Worst-case setup slack is -23.743
|
||||
Info (332119): Slack End Point TNS Clock
|
||||
Info (332119): ========= =================== =====================
|
||||
Info (332119): -23.743 -23.743 ram2e_ufm|ARCLK|regout
|
||||
Info (332119): -23.723 -23.723 ram2e_ufm|DRCLK|regout
|
||||
Info (332119): -22.545 -22.545 ram2e_ufm|ARCLK|regout
|
||||
Info (332119): -8.511 -94.827 C14M
|
||||
Info (332146): Worst-case hold slack is -17.454
|
||||
Info (332119): -8.564 -94.357 C14M
|
||||
Info (332146): Worst-case hold slack is -16.306
|
||||
Info (332119): Slack End Point TNS Clock
|
||||
Info (332119): ========= =================== =====================
|
||||
Info (332119): -17.454 -17.454 ram2e_ufm|ARCLK|regout
|
||||
Info (332119): -16.286 -16.286 ram2e_ufm|DRCLK|regout
|
||||
Info (332119): 1.400 0.000 C14M
|
||||
Info (332119): -16.306 -16.306 ram2e_ufm|DRCLK|regout
|
||||
Info (332119): -16.256 -16.256 ram2e_ufm|ARCLK|regout
|
||||
Info (332119): 1.408 0.000 C14M
|
||||
Info (332140): No Recovery paths to report
|
||||
Info (332140): No Removal paths to report
|
||||
Info (332146): Worst-case minimum pulse width slack is 34.654
|
||||
@ -720,9 +721,9 @@ Info (332001): The selected device family is not supported by the report_metasta
|
||||
Info (332102): Design is not fully constrained for setup requirements
|
||||
Info (332102): Design is not fully constrained for hold requirements
|
||||
Info: Quartus Prime Timing Analyzer was successful. 0 errors, 4 warnings
|
||||
Info: Peak virtual memory: 13094 megabytes
|
||||
Info: Processing ended: Sat Jun 08 01:44:25 2024
|
||||
Info: Peak virtual memory: 13093 megabytes
|
||||
Info: Processing ended: Fri Jul 12 16:09:21 2024
|
||||
Info: Elapsed time: 00:00:02
|
||||
Info: Total CPU time (on all processors): 00:00:02
|
||||
Info: Total CPU time (on all processors): 00:00:01
|
||||
|
||||
|
||||
|
@ -2,28 +2,28 @@
|
||||
Timing Analyzer Summary
|
||||
------------------------------------------------------------
|
||||
|
||||
Type : Setup 'ram2e_ufm|ARCLK|regout'
|
||||
Slack : -23.743
|
||||
TNS : -23.743
|
||||
|
||||
Type : Setup 'ram2e_ufm|DRCLK|regout'
|
||||
Slack : -23.723
|
||||
TNS : -23.723
|
||||
|
||||
Type : Setup 'ram2e_ufm|ARCLK|regout'
|
||||
Slack : -22.545
|
||||
TNS : -22.545
|
||||
|
||||
Type : Setup 'C14M'
|
||||
Slack : -8.511
|
||||
TNS : -94.827
|
||||
|
||||
Type : Hold 'ram2e_ufm|ARCLK|regout'
|
||||
Slack : -17.454
|
||||
TNS : -17.454
|
||||
Slack : -8.564
|
||||
TNS : -94.357
|
||||
|
||||
Type : Hold 'ram2e_ufm|DRCLK|regout'
|
||||
Slack : -16.286
|
||||
TNS : -16.286
|
||||
Slack : -16.306
|
||||
TNS : -16.306
|
||||
|
||||
Type : Hold 'ram2e_ufm|ARCLK|regout'
|
||||
Slack : -16.256
|
||||
TNS : -16.256
|
||||
|
||||
Type : Hold 'C14M'
|
||||
Slack : 1.400
|
||||
Slack : 1.408
|
||||
TNS : 0.000
|
||||
|
||||
Type : Minimum Pulse Width 'C14M'
|
||||
|
File diff suppressed because it is too large
Load Diff
@ -1,5 +1,5 @@
|
||||
Assembler report for RAM2E
|
||||
Sat Jun 08 01:44:21 2024
|
||||
Fri Jul 12 16:08:53 2024
|
||||
Quartus Prime Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition
|
||||
|
||||
|
||||
@ -39,7 +39,7 @@ https://fpgasoftware.intel.com/eula.
|
||||
+---------------------------------------------------------------+
|
||||
; Assembler Summary ;
|
||||
+-----------------------+---------------------------------------+
|
||||
; Assembler Status ; Successful - Sat Jun 08 01:44:21 2024 ;
|
||||
; Assembler Status ; Successful - Fri Jul 12 16:08:53 2024 ;
|
||||
; Revision Name ; RAM2E ;
|
||||
; Top-level Entity Name ; RAM2E ;
|
||||
; Family ; MAX II ;
|
||||
@ -69,8 +69,8 @@ https://fpgasoftware.intel.com/eula.
|
||||
+----------------+---------------------------------------------------------+
|
||||
; Option ; Setting ;
|
||||
+----------------+---------------------------------------------------------+
|
||||
; JTAG usercode ; 0x00164419 ;
|
||||
; Checksum ; 0x00164899 ;
|
||||
; JTAG usercode ; 0x001661B2 ;
|
||||
; Checksum ; 0x00166532 ;
|
||||
+----------------+---------------------------------------------------------+
|
||||
|
||||
|
||||
@ -89,14 +89,14 @@ https://fpgasoftware.intel.com/eula.
|
||||
Info: *******************************************************************
|
||||
Info: Running Quartus Prime Assembler
|
||||
Info: Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition
|
||||
Info: Processing started: Sat Jun 08 01:44:20 2024
|
||||
Info: Processing started: Fri Jul 12 16:08:48 2024
|
||||
Info: Command: quartus_asm --read_settings_files=off --write_settings_files=off RAM2E-MAXII -c RAM2E
|
||||
Info (115031): Writing out detailed assembly data for power analysis
|
||||
Info (115030): Assembler is generating device programming files
|
||||
Info: Quartus Prime Assembler was successful. 0 errors, 0 warnings
|
||||
Info: Peak virtual memory: 13099 megabytes
|
||||
Info: Processing ended: Sat Jun 08 01:44:21 2024
|
||||
Info: Elapsed time: 00:00:01
|
||||
Info: Peak virtual memory: 13098 megabytes
|
||||
Info: Processing ended: Fri Jul 12 16:08:53 2024
|
||||
Info: Elapsed time: 00:00:05
|
||||
Info: Total CPU time (on all processors): 00:00:01
|
||||
|
||||
|
||||
|
@ -1 +1 @@
|
||||
Sat Jun 08 01:44:26 2024
|
||||
Fri Jul 12 16:09:07 2024
|
||||
|
@ -1,5 +1,5 @@
|
||||
Fitter report for RAM2E
|
||||
Sat Jun 08 01:44:18 2024
|
||||
Fri Jul 12 16:08:41 2024
|
||||
Quartus Prime Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition
|
||||
|
||||
|
||||
@ -57,14 +57,14 @@ https://fpgasoftware.intel.com/eula.
|
||||
+---------------------------------------------------------------------+
|
||||
; Fitter Summary ;
|
||||
+-----------------------+---------------------------------------------+
|
||||
; Fitter Status ; Successful - Sat Jun 08 01:44:18 2024 ;
|
||||
; Fitter Status ; Successful - Fri Jul 12 16:08:41 2024 ;
|
||||
; Quartus Prime Version ; 19.1.0 Build 670 09/22/2019 SJ Lite Edition ;
|
||||
; Revision Name ; RAM2E ;
|
||||
; Top-level Entity Name ; RAM2E ;
|
||||
; Family ; MAX II ;
|
||||
; Device ; EPM240T100C5 ;
|
||||
; Timing Models ; Final ;
|
||||
; Total logic elements ; 238 / 240 ( 99 % ) ;
|
||||
; Total logic elements ; 233 / 240 ( 97 % ) ;
|
||||
; Total pins ; 71 / 80 ( 89 % ) ;
|
||||
; Total virtual pins ; 0 ;
|
||||
; UFM blocks ; 1 / 1 ( 100 % ) ;
|
||||
@ -129,13 +129,12 @@ https://fpgasoftware.intel.com/eula.
|
||||
; Number detected on machine ; 4 ;
|
||||
; Maximum allowed ; 4 ;
|
||||
; ; ;
|
||||
; Average used ; 1.03 ;
|
||||
; Average used ; 1.01 ;
|
||||
; Maximum used ; 4 ;
|
||||
; ; ;
|
||||
; Usage by Processor ; % Time Used ;
|
||||
; Processor 1 ; 100.0% ;
|
||||
; Processor 2 ; 0.9% ;
|
||||
; Processors 3-4 ; 0.9% ;
|
||||
; Processors 2-4 ; 0.3% ;
|
||||
+----------------------------+-------------+
|
||||
|
||||
|
||||
@ -150,27 +149,27 @@ The pin-out file can be found in /Repos/RAM2E/CPLD/MAXII/output_files/RAM2E.pin.
|
||||
+---------------------------------------------+-----------------------+
|
||||
; Resource ; Usage ;
|
||||
+---------------------------------------------+-----------------------+
|
||||
; Total logic elements ; 238 / 240 ( 99 % ) ;
|
||||
; -- Combinational with no register ; 112 ;
|
||||
; Total logic elements ; 233 / 240 ( 97 % ) ;
|
||||
; -- Combinational with no register ; 108 ;
|
||||
; -- Register only ; 19 ;
|
||||
; -- Combinational with a register ; 107 ;
|
||||
; -- Combinational with a register ; 106 ;
|
||||
; ; ;
|
||||
; Logic element usage by number of LUT inputs ; ;
|
||||
; -- 4 input functions ; 116 ;
|
||||
; -- 3 input functions ; 53 ;
|
||||
; -- 2 input functions ; 46 ;
|
||||
; -- 4 input functions ; 111 ;
|
||||
; -- 3 input functions ; 56 ;
|
||||
; -- 2 input functions ; 43 ;
|
||||
; -- 1 input functions ; 3 ;
|
||||
; -- 0 input functions ; 1 ;
|
||||
; ; ;
|
||||
; Logic elements by mode ; ;
|
||||
; -- normal mode ; 224 ;
|
||||
; -- normal mode ; 219 ;
|
||||
; -- arithmetic mode ; 14 ;
|
||||
; -- qfbk mode ; 14 ;
|
||||
; -- register cascade mode ; 0 ;
|
||||
; -- synchronous clear/load mode ; 26 ;
|
||||
; -- synchronous clear/load mode ; 25 ;
|
||||
; -- asynchronous clear/load mode ; 0 ;
|
||||
; ; ;
|
||||
; Total registers ; 126 / 240 ( 53 % ) ;
|
||||
; Total registers ; 125 / 240 ( 52 % ) ;
|
||||
; Total LABs ; 24 / 24 ( 100 % ) ;
|
||||
; Logic elements in carry chains ; 15 ;
|
||||
; Virtual pins ; 0 ;
|
||||
@ -185,12 +184,12 @@ The pin-out file can be found in /Repos/RAM2E/CPLD/MAXII/output_files/RAM2E.pin.
|
||||
; Global signals ; 2 ;
|
||||
; -- Global clocks ; 2 / 4 ( 50 % ) ;
|
||||
; JTAGs ; 0 / 1 ( 0 % ) ;
|
||||
; Average interconnect usage (total/H/V) ; 30.7% / 34.1% / 27.1% ;
|
||||
; Peak interconnect usage (total/H/V) ; 30.7% / 34.1% / 27.1% ;
|
||||
; Maximum fan-out ; 122 ;
|
||||
; Average interconnect usage (total/H/V) ; 27.3% / 28.5% / 26.1% ;
|
||||
; Peak interconnect usage (total/H/V) ; 27.3% / 28.5% / 26.1% ;
|
||||
; Maximum fan-out ; 121 ;
|
||||
; Highest non-global fan-out ; 34 ;
|
||||
; Total fan-out ; 992 ;
|
||||
; Average fan-out ; 3.20 ;
|
||||
; Total fan-out ; 973 ;
|
||||
; Average fan-out ; 3.19 ;
|
||||
+---------------------------------------------+-----------------------+
|
||||
|
||||
|
||||
@ -207,16 +206,16 @@ The pin-out file can be found in /Repos/RAM2E/CPLD/MAXII/output_files/RAM2E.pin.
|
||||
; Ain[5] ; 34 ; 1 ; 3 ; 0 ; 1 ; 2 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ;
|
||||
; Ain[6] ; 39 ; 1 ; 5 ; 0 ; 3 ; 2 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ;
|
||||
; Ain[7] ; 53 ; 2 ; 8 ; 1 ; 3 ; 2 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ;
|
||||
; C14M ; 12 ; 1 ; 1 ; 3 ; 3 ; 122 ; 0 ; yes ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ;
|
||||
; C14M ; 12 ; 1 ; 1 ; 3 ; 3 ; 121 ; 0 ; yes ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ;
|
||||
; Din[0] ; 38 ; 1 ; 4 ; 0 ; 0 ; 15 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ;
|
||||
; Din[1] ; 40 ; 1 ; 5 ; 0 ; 2 ; 11 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ;
|
||||
; Din[2] ; 42 ; 1 ; 5 ; 0 ; 0 ; 13 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ;
|
||||
; Din[3] ; 41 ; 1 ; 5 ; 0 ; 1 ; 13 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ;
|
||||
; Din[4] ; 48 ; 1 ; 6 ; 0 ; 0 ; 10 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ;
|
||||
; Din[1] ; 40 ; 1 ; 5 ; 0 ; 2 ; 8 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ;
|
||||
; Din[2] ; 42 ; 1 ; 5 ; 0 ; 0 ; 11 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ;
|
||||
; Din[3] ; 41 ; 1 ; 5 ; 0 ; 1 ; 11 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ;
|
||||
; Din[4] ; 48 ; 1 ; 6 ; 0 ; 0 ; 8 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ;
|
||||
; Din[5] ; 49 ; 1 ; 7 ; 0 ; 2 ; 9 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ;
|
||||
; Din[6] ; 36 ; 1 ; 4 ; 0 ; 2 ; 8 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ;
|
||||
; Din[7] ; 35 ; 1 ; 3 ; 0 ; 0 ; 10 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ;
|
||||
; PHI1 ; 37 ; 1 ; 4 ; 0 ; 1 ; 5 ; 0 ; yes ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ;
|
||||
; Din[6] ; 36 ; 1 ; 4 ; 0 ; 2 ; 7 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ;
|
||||
; Din[7] ; 35 ; 1 ; 3 ; 0 ; 0 ; 8 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ;
|
||||
; PHI1 ; 37 ; 1 ; 4 ; 0 ; 1 ; 6 ; 0 ; yes ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ;
|
||||
; nC07X ; 52 ; 2 ; 8 ; 1 ; 4 ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ;
|
||||
; nEN80 ; 28 ; 1 ; 2 ; 0 ; 1 ; 10 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ;
|
||||
; nWE ; 51 ; 1 ; 7 ; 0 ; 0 ; 9 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ;
|
||||
@ -233,7 +232,7 @@ The pin-out file can be found in /Repos/RAM2E/CPLD/MAXII/output_files/RAM2E.pin.
|
||||
; BA[1] ; 14 ; 1 ; 1 ; 2 ; 0 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ;
|
||||
; CKEout ; 4 ; 1 ; 1 ; 4 ; 2 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ;
|
||||
; DQMH ; 100 ; 2 ; 2 ; 5 ; 2 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ;
|
||||
; DQML ; 98 ; 2 ; 2 ; 5 ; 0 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ;
|
||||
; DQML ; 98 ; 2 ; 2 ; 5 ; 0 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; no ; User ; 10 pF ; - ; - ;
|
||||
; Dout[0] ; 77 ; 2 ; 7 ; 5 ; 2 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; no ; User ; 10 pF ; - ; - ;
|
||||
; Dout[1] ; 76 ; 2 ; 7 ; 5 ; 1 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; no ; User ; 10 pF ; - ; - ;
|
||||
; Dout[2] ; 74 ; 2 ; 8 ; 4 ; 0 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; no ; User ; 10 pF ; - ; - ;
|
||||
@ -255,15 +254,15 @@ The pin-out file can be found in /Repos/RAM2E/CPLD/MAXII/output_files/RAM2E.pin.
|
||||
; RAout[7] ; 19 ; 1 ; 1 ; 1 ; 1 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; no ; User ; 10 pF ; - ; - ;
|
||||
; RAout[8] ; 17 ; 1 ; 1 ; 2 ; 3 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ;
|
||||
; RAout[9] ; 15 ; 1 ; 1 ; 2 ; 1 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ;
|
||||
; Vout[0] ; 70 ; 2 ; 8 ; 4 ; 4 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; no ; User ; 10 pF ; - ; - ;
|
||||
; Vout[0] ; 70 ; 2 ; 8 ; 4 ; 4 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ;
|
||||
; Vout[1] ; 67 ; 2 ; 8 ; 3 ; 2 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ;
|
||||
; Vout[2] ; 69 ; 2 ; 8 ; 3 ; 0 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; no ; User ; 10 pF ; - ; - ;
|
||||
; Vout[3] ; 62 ; 2 ; 8 ; 2 ; 0 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; no ; User ; 10 pF ; - ; - ;
|
||||
; Vout[2] ; 69 ; 2 ; 8 ; 3 ; 0 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ;
|
||||
; Vout[3] ; 62 ; 2 ; 8 ; 2 ; 0 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ;
|
||||
; Vout[4] ; 71 ; 2 ; 8 ; 4 ; 3 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ;
|
||||
; Vout[5] ; 68 ; 2 ; 8 ; 3 ; 1 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ;
|
||||
; Vout[6] ; 58 ; 2 ; 8 ; 2 ; 2 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ;
|
||||
; Vout[7] ; 57 ; 2 ; 8 ; 2 ; 3 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ;
|
||||
; nCASout ; 3 ; 1 ; 1 ; 4 ; 1 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; no ; User ; 10 pF ; - ; - ;
|
||||
; nCASout ; 3 ; 1 ; 1 ; 4 ; 1 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ;
|
||||
; nCSout ; 8 ; 1 ; 1 ; 3 ; 2 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; no ; User ; 10 pF ; - ; - ;
|
||||
; nDHGROE ; 87 ; 2 ; 5 ; 5 ; 2 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ;
|
||||
; nDOE ; 55 ; 2 ; 8 ; 1 ; 1 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; no ; User ; 10 pF ; - ; - ;
|
||||
@ -279,7 +278,7 @@ The pin-out file can be found in /Repos/RAM2E/CPLD/MAXII/output_files/RAM2E.pin.
|
||||
; Name ; Pin # ; I/O Bank ; X coordinate ; Y coordinate ; Cell number ; Combinational Fan-Out ; Registered Fan-Out ; Global ; Output Register ; Slow Slew Rate ; PCI I/O Enabled ; Open Drain ; Bus Hold ; Weak Pull Up ; I/O Standard ; Current Strength ; Fast Output Connection ; Location assigned by ; Load ; Output Enable Source ; Output Enable Group ;
|
||||
+-------+-------+----------+--------------+--------------+-------------+-----------------------+--------------------+--------+-----------------+----------------+-----------------+------------+----------+--------------+--------------+------------------+------------------------+----------------------+-------+----------------------+---------------------+
|
||||
; RD[0] ; 97 ; 2 ; 3 ; 5 ; 3 ; 2 ; 0 ; no ; no ; yes ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; 4mA ; no ; User ; 10 pF ; RDOE ; - ;
|
||||
; RD[1] ; 90 ; 2 ; 4 ; 5 ; 1 ; 2 ; 0 ; no ; no ; yes ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; 4mA ; no ; User ; 10 pF ; RDOE ; - ;
|
||||
; RD[1] ; 90 ; 2 ; 4 ; 5 ; 1 ; 2 ; 0 ; no ; no ; yes ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; RDOE ; - ;
|
||||
; RD[2] ; 99 ; 2 ; 2 ; 5 ; 1 ; 2 ; 0 ; no ; no ; yes ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; 4mA ; no ; User ; 10 pF ; RDOE ; - ;
|
||||
; RD[3] ; 89 ; 2 ; 4 ; 5 ; 0 ; 2 ; 0 ; no ; no ; yes ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; 4mA ; no ; User ; 10 pF ; RDOE ; - ;
|
||||
; RD[4] ; 91 ; 2 ; 4 ; 5 ; 2 ; 2 ; 0 ; no ; no ; yes ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; 4mA ; no ; User ; 10 pF ; RDOE ; - ;
|
||||
@ -429,7 +428,7 @@ Note: User assignments will override these defaults. The user specified values a
|
||||
+--------------------------------------------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+-------------------------------------------------------------------------------------------+---------------------+--------------+
|
||||
; Compilation Hierarchy Node ; Logic Cells ; LC Registers ; UFM Blocks ; Pins ; Virtual Pins ; LUT-Only LCs ; Register-Only LCs ; LUT/Register LCs ; Carry Chain LCs ; Packed LCs ; Full Hierarchy Name ; Entity Name ; Library Name ;
|
||||
+--------------------------------------------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+-------------------------------------------------------------------------------------------+---------------------+--------------+
|
||||
; |RAM2E ; 238 (182) ; 126 ; 1 ; 71 ; 0 ; 112 (88) ; 19 (16) ; 107 (78) ; 15 (15) ; 14 (9) ; |RAM2E ; RAM2E ; work ;
|
||||
; |RAM2E ; 233 (177) ; 125 ; 1 ; 71 ; 0 ; 108 (84) ; 19 (16) ; 106 (77) ; 15 (15) ; 14 (9) ; |RAM2E ; RAM2E ; work ;
|
||||
; |RAM2E_UFM:ram2e_ufm| ; 56 (56) ; 32 ; 1 ; 0 ; 0 ; 24 (24) ; 3 (3) ; 29 (29) ; 0 (0) ; 5 (5) ; |RAM2E|RAM2E_UFM:ram2e_ufm ; RAM2E_UFM ; work ;
|
||||
; |UFM:UFM_inst| ; 0 (0) ; 0 ; 1 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |RAM2E|RAM2E_UFM:ram2e_ufm|UFM:UFM_inst ; UFM ; work ;
|
||||
; |UFM_altufm_none_lbr:UFM_altufm_none_lbr_component| ; 0 (0) ; 0 ; 1 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |RAM2E|RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component ; UFM_altufm_none_lbr ; work ;
|
||||
@ -510,8 +509,8 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi
|
||||
; Din[5] ; Input ; (0) ;
|
||||
; Din[7] ; Input ; (0) ;
|
||||
; Din[4] ; Input ; (0) ;
|
||||
; Din[2] ; Input ; (0) ;
|
||||
; Din[3] ; Input ; (0) ;
|
||||
; Din[2] ; Input ; (0) ;
|
||||
; nC07X ; Input ; (0) ;
|
||||
+-----------+----------+---------------+
|
||||
|
||||
@ -521,22 +520,22 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi
|
||||
+--------------------------------+-------------+---------+---------------+--------+----------------------+------------------+
|
||||
; Name ; Location ; Fan-Out ; Usage ; Global ; Global Resource Used ; Global Line Name ;
|
||||
+--------------------------------+-------------+---------+---------------+--------+----------------------+------------------+
|
||||
; BA[0]~0 ; LC_X2_Y3_N0 ; 2 ; Clock enable ; no ; -- ; -- ;
|
||||
; BA[0]~1 ; LC_X3_Y3_N0 ; 3 ; Clock enable ; no ; -- ; -- ;
|
||||
; C14M ; PIN_12 ; 122 ; Clock ; yes ; Global Clock ; GCLK0 ;
|
||||
; CS[0]~2 ; LC_X4_Y1_N1 ; 3 ; Clock enable ; no ; -- ; -- ;
|
||||
; DQML~0 ; LC_X2_Y4_N8 ; 2 ; Clock enable ; no ; -- ; -- ;
|
||||
; Equal1~1 ; LC_X2_Y2_N5 ; 8 ; Clock enable ; no ; -- ; -- ;
|
||||
; Equal1~2 ; LC_X5_Y3_N5 ; 8 ; Clock enable ; no ; -- ; -- ;
|
||||
; Mux14~0 ; LC_X5_Y2_N8 ; 2 ; Clock enable ; no ; -- ; -- ;
|
||||
; PHI1 ; PIN_37 ; 5 ; Clock ; yes ; Global Clock ; GCLK3 ;
|
||||
; RAM2E_UFM:ram2e_ufm|RWMask~1 ; LC_X2_Y1_N9 ; 8 ; Clock enable ; no ; -- ; -- ;
|
||||
; RAM2E_UFM:ram2e_ufm|UFMD[15]~1 ; LC_X7_Y4_N8 ; 8 ; Clock enable ; no ; -- ; -- ;
|
||||
; RAM2E_UFM:ram2e_ufm|always2~8 ; LC_X4_Y1_N4 ; 16 ; Clock enable ; no ; -- ; -- ;
|
||||
; RA[1]~2 ; LC_X5_Y3_N0 ; 6 ; Clock enable ; no ; -- ; -- ;
|
||||
; RDOE ; LC_X7_Y3_N9 ; 8 ; Output enable ; no ; -- ; -- ;
|
||||
; S[0] ; LC_X4_Y4_N4 ; 32 ; Sync. clear ; no ; -- ; -- ;
|
||||
; S[3] ; LC_X4_Y4_N7 ; 34 ; Sync. clear ; no ; -- ; -- ;
|
||||
; BA[0]~0 ; LC_X2_Y2_N7 ; 2 ; Clock enable ; no ; -- ; -- ;
|
||||
; BA[0]~1 ; LC_X4_Y2_N1 ; 3 ; Clock enable ; no ; -- ; -- ;
|
||||
; C14M ; PIN_12 ; 121 ; Clock ; yes ; Global Clock ; GCLK0 ;
|
||||
; CS[0]~2 ; LC_X6_Y1_N5 ; 3 ; Clock enable ; no ; -- ; -- ;
|
||||
; DQMH~0 ; LC_X2_Y4_N0 ; 2 ; Clock enable ; no ; -- ; -- ;
|
||||
; Equal1~1 ; LC_X2_Y2_N0 ; 8 ; Clock enable ; no ; -- ; -- ;
|
||||
; Equal1~2 ; LC_X7_Y2_N5 ; 8 ; Clock enable ; no ; -- ; -- ;
|
||||
; Mux14~0 ; LC_X2_Y3_N9 ; 2 ; Clock enable ; no ; -- ; -- ;
|
||||
; PHI1 ; PIN_37 ; 6 ; Clock ; yes ; Global Clock ; GCLK3 ;
|
||||
; RAM2E_UFM:ram2e_ufm|RWMask~1 ; LC_X4_Y1_N9 ; 8 ; Clock enable ; no ; -- ; -- ;
|
||||
; RAM2E_UFM:ram2e_ufm|UFMD[15]~1 ; LC_X2_Y1_N0 ; 8 ; Clock enable ; no ; -- ; -- ;
|
||||
; RAM2E_UFM:ram2e_ufm|always2~8 ; LC_X5_Y1_N3 ; 15 ; Clock enable ; no ; -- ; -- ;
|
||||
; RA[2]~2 ; LC_X2_Y3_N8 ; 6 ; Clock enable ; no ; -- ; -- ;
|
||||
; RDOE ; LC_X3_Y2_N4 ; 8 ; Output enable ; no ; -- ; -- ;
|
||||
; S[0] ; LC_X3_Y4_N8 ; 32 ; Sync. clear ; no ; -- ; -- ;
|
||||
; S[3] ; LC_X3_Y4_N7 ; 34 ; Sync. clear ; no ; -- ; -- ;
|
||||
+--------------------------------+-------------+---------+---------------+--------+----------------------+------------------+
|
||||
|
||||
|
||||
@ -545,8 +544,8 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi
|
||||
+------+----------+---------+----------------------+------------------+
|
||||
; Name ; Location ; Fan-Out ; Global Resource Used ; Global Line Name ;
|
||||
+------+----------+---------+----------------------+------------------+
|
||||
; C14M ; PIN_12 ; 122 ; Global Clock ; GCLK0 ;
|
||||
; PHI1 ; PIN_37 ; 5 ; Global Clock ; GCLK3 ;
|
||||
; C14M ; PIN_12 ; 121 ; Global Clock ; GCLK0 ;
|
||||
; PHI1 ; PIN_37 ; 6 ; Global Clock ; GCLK3 ;
|
||||
+------+----------+---------+----------------------+------------------+
|
||||
|
||||
|
||||
@ -555,86 +554,85 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi
|
||||
+-----------------------+--------------------+
|
||||
; Routing Resource Type ; Usage ;
|
||||
+-----------------------+--------------------+
|
||||
; C4s ; 169 / 784 ( 22 % ) ;
|
||||
; Direct links ; 50 / 888 ( 6 % ) ;
|
||||
; C4s ; 158 / 784 ( 20 % ) ;
|
||||
; Direct links ; 61 / 888 ( 7 % ) ;
|
||||
; Global clocks ; 2 / 4 ( 50 % ) ;
|
||||
; LAB clocks ; 7 / 32 ( 22 % ) ;
|
||||
; LUT chains ; 11 / 216 ( 5 % ) ;
|
||||
; Local interconnects ; 353 / 888 ( 40 % ) ;
|
||||
; R4s ; 190 / 704 ( 27 % ) ;
|
||||
; LUT chains ; 8 / 216 ( 4 % ) ;
|
||||
; Local interconnects ; 335 / 888 ( 38 % ) ;
|
||||
; R4s ; 157 / 704 ( 22 % ) ;
|
||||
+-----------------------+--------------------+
|
||||
|
||||
|
||||
+---------------------------------------------------------------------------+
|
||||
; LAB Logic Elements ;
|
||||
+--------------------------------------------+------------------------------+
|
||||
; Number of Logic Elements (Average = 9.92) ; Number of LABs (Total = 24) ;
|
||||
; Number of Logic Elements (Average = 9.71) ; Number of LABs (Total = 24) ;
|
||||
+--------------------------------------------+------------------------------+
|
||||
; 1 ; 0 ;
|
||||
; 2 ; 0 ;
|
||||
; 3 ; 0 ;
|
||||
; 4 ; 0 ;
|
||||
; 4 ; 1 ;
|
||||
; 5 ; 0 ;
|
||||
; 6 ; 0 ;
|
||||
; 7 ; 0 ;
|
||||
; 8 ; 1 ;
|
||||
; 9 ; 0 ;
|
||||
; 10 ; 23 ;
|
||||
; 8 ; 0 ;
|
||||
; 9 ; 1 ;
|
||||
; 10 ; 22 ;
|
||||
+--------------------------------------------+------------------------------+
|
||||
|
||||
|
||||
+-------------------------------------------------------------------+
|
||||
; LAB-wide Signals ;
|
||||
+------------------------------------+------------------------------+
|
||||
; LAB-wide Signals (Average = 1.38) ; Number of LABs (Total = 24) ;
|
||||
; LAB-wide Signals (Average = 1.58) ; Number of LABs (Total = 24) ;
|
||||
+------------------------------------+------------------------------+
|
||||
; 1 Clock ; 22 ;
|
||||
; 1 Clock enable ; 8 ;
|
||||
; 1 Clock ; 24 ;
|
||||
; 1 Clock enable ; 13 ;
|
||||
; 1 Sync. clear ; 1 ;
|
||||
; 2 Clock enables ; 2 ;
|
||||
+------------------------------------+------------------------------+
|
||||
|
||||
|
||||
+-----------------------------------------------------------------------------+
|
||||
; LAB Signals Sourced ;
|
||||
+----------------------------------------------+------------------------------+
|
||||
; Number of Signals Sourced (Average = 10.13) ; Number of LABs (Total = 24) ;
|
||||
+----------------------------------------------+------------------------------+
|
||||
; 0 ; 0 ;
|
||||
; 1 ; 0 ;
|
||||
; 2 ; 0 ;
|
||||
; 3 ; 0 ;
|
||||
; 4 ; 0 ;
|
||||
; 5 ; 0 ;
|
||||
; 6 ; 0 ;
|
||||
; 7 ; 0 ;
|
||||
; 8 ; 1 ;
|
||||
; 9 ; 0 ;
|
||||
; 10 ; 22 ;
|
||||
; 11 ; 0 ;
|
||||
; 12 ; 0 ;
|
||||
; 13 ; 0 ;
|
||||
; 14 ; 0 ;
|
||||
; 15 ; 1 ;
|
||||
+----------------------------------------------+------------------------------+
|
||||
+----------------------------------------------------------------------------+
|
||||
; LAB Signals Sourced ;
|
||||
+---------------------------------------------+------------------------------+
|
||||
; Number of Signals Sourced (Average = 9.96) ; Number of LABs (Total = 24) ;
|
||||
+---------------------------------------------+------------------------------+
|
||||
; 0 ; 0 ;
|
||||
; 1 ; 0 ;
|
||||
; 2 ; 0 ;
|
||||
; 3 ; 0 ;
|
||||
; 4 ; 1 ;
|
||||
; 5 ; 0 ;
|
||||
; 6 ; 0 ;
|
||||
; 7 ; 0 ;
|
||||
; 8 ; 0 ;
|
||||
; 9 ; 1 ;
|
||||
; 10 ; 20 ;
|
||||
; 11 ; 1 ;
|
||||
; 12 ; 0 ;
|
||||
; 13 ; 0 ;
|
||||
; 14 ; 0 ;
|
||||
; 15 ; 1 ;
|
||||
+---------------------------------------------+------------------------------+
|
||||
|
||||
|
||||
+--------------------------------------------------------------------------------+
|
||||
; LAB Signals Sourced Out ;
|
||||
+-------------------------------------------------+------------------------------+
|
||||
; Number of Signals Sourced Out (Average = 7.50) ; Number of LABs (Total = 24) ;
|
||||
; Number of Signals Sourced Out (Average = 7.08) ; Number of LABs (Total = 24) ;
|
||||
+-------------------------------------------------+------------------------------+
|
||||
; 0 ; 0 ;
|
||||
; 1 ; 0 ;
|
||||
; 2 ; 1 ;
|
||||
; 2 ; 2 ;
|
||||
; 3 ; 1 ;
|
||||
; 4 ; 1 ;
|
||||
; 5 ; 2 ;
|
||||
; 6 ; 3 ;
|
||||
; 7 ; 2 ;
|
||||
; 8 ; 5 ;
|
||||
; 9 ; 3 ;
|
||||
; 10 ; 5 ;
|
||||
; 6 ; 1 ;
|
||||
; 7 ; 6 ;
|
||||
; 8 ; 3 ;
|
||||
; 9 ; 5 ;
|
||||
; 10 ; 2 ;
|
||||
; 11 ; 0 ;
|
||||
; 12 ; 1 ;
|
||||
+-------------------------------------------------+------------------------------+
|
||||
@ -643,7 +641,7 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi
|
||||
+-----------------------------------------------------------------------------+
|
||||
; LAB Distinct Inputs ;
|
||||
+----------------------------------------------+------------------------------+
|
||||
; Number of Distinct Inputs (Average = 12.75) ; Number of LABs (Total = 24) ;
|
||||
; Number of Distinct Inputs (Average = 12.25) ; Number of LABs (Total = 24) ;
|
||||
+----------------------------------------------+------------------------------+
|
||||
; 0 ; 0 ;
|
||||
; 1 ; 0 ;
|
||||
@ -651,24 +649,25 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi
|
||||
; 3 ; 0 ;
|
||||
; 4 ; 2 ;
|
||||
; 5 ; 0 ;
|
||||
; 6 ; 0 ;
|
||||
; 6 ; 1 ;
|
||||
; 7 ; 1 ;
|
||||
; 8 ; 0 ;
|
||||
; 9 ; 2 ;
|
||||
; 8 ; 1 ;
|
||||
; 9 ; 3 ;
|
||||
; 10 ; 1 ;
|
||||
; 11 ; 8 ;
|
||||
; 11 ; 1 ;
|
||||
; 12 ; 1 ;
|
||||
; 13 ; 0 ;
|
||||
; 13 ; 5 ;
|
||||
; 14 ; 2 ;
|
||||
; 15 ; 1 ;
|
||||
; 16 ; 0 ;
|
||||
; 17 ; 1 ;
|
||||
; 18 ; 2 ;
|
||||
; 19 ; 0 ;
|
||||
; 15 ; 0 ;
|
||||
; 16 ; 2 ;
|
||||
; 17 ; 0 ;
|
||||
; 18 ; 1 ;
|
||||
; 19 ; 2 ;
|
||||
; 20 ; 0 ;
|
||||
; 21 ; 0 ;
|
||||
; 22 ; 2 ;
|
||||
; 23 ; 1 ;
|
||||
; 22 ; 0 ;
|
||||
; 23 ; 0 ;
|
||||
; 24 ; 1 ;
|
||||
+----------------------------------------------+------------------------------+
|
||||
|
||||
|
||||
@ -716,7 +715,8 @@ Info (186079): Completed User Assigned Global Signals Promotion Operation
|
||||
Info (186215): Automatically promoted signal "C14M" to use Global clock in PIN 12 File: //Mac/iCloud/Repos/RAM2E/CPLD/RAM2E.v Line: 8
|
||||
Info (186216): Automatically promoted some destinations of signal "PHI1" to use Global clock File: //Mac/iCloud/Repos/RAM2E/CPLD/RAM2E.v Line: 8
|
||||
Info (186217): Destination "PHI1r" may be non-global or may not use global clock File: //Mac/iCloud/Repos/RAM2E/CPLD/RAM2E.v Line: 20
|
||||
Info (186217): Destination "S~0" may be non-global or may not use global clock File: //Mac/iCloud/Repos/RAM2E/CPLD/RAM2E.v Line: 19
|
||||
Info (186217): Destination "S~2" may be non-global or may not use global clock File: //Mac/iCloud/Repos/RAM2E/CPLD/RAM2E.v Line: 19
|
||||
Info (186217): Destination "S[3]~9" may be non-global or may not use global clock File: //Mac/iCloud/Repos/RAM2E/CPLD/RAM2E.v Line: 21
|
||||
Info (186228): Pin "PHI1" drives global clock, but is not placed in a dedicated clock pin position File: //Mac/iCloud/Repos/RAM2E/CPLD/RAM2E.v Line: 8
|
||||
Info (186079): Completed Auto Global Promotion Operation
|
||||
Info (176234): Starting register packing
|
||||
@ -732,26 +732,26 @@ Warning (186473): Ignored the FAST_OUTPUT_REGISTER assignment made to the follow
|
||||
Warning (186484): Ignored assignment to node "RAout[7]" because node "RAr[7]", which is feeding it, is not a register File: //Mac/iCloud/Repos/RAM2E/CPLD/RAM2E.v Line: 85
|
||||
Info (186469): Finished processing fast register assignments
|
||||
Info (176235): Finished register packing
|
||||
Info (171121): Fitter preparation operations ending: elapsed time is 00:00:00
|
||||
Info (171121): Fitter preparation operations ending: elapsed time is 00:00:04
|
||||
Info (14896): Fitter has disabled Advanced Physical Optimization because it is not supported for the current family.
|
||||
Info (170189): Fitter placement preparation operations beginning
|
||||
Info (170190): Fitter placement preparation operations ending: elapsed time is 00:00:00
|
||||
Info (170190): Fitter placement preparation operations ending: elapsed time is 00:00:03
|
||||
Info (170191): Fitter placement operations beginning
|
||||
Info (170137): Fitter placement was successful
|
||||
Info (170192): Fitter placement operations ending: elapsed time is 00:00:02
|
||||
Info (170192): Fitter placement operations ending: elapsed time is 00:00:19
|
||||
Info (170193): Fitter routing operations beginning
|
||||
Info (170089): 5e+01 ns of routing delay (approximately 3.2% of available device routing delay) has been added to meet hold timing. For more information, refer to the Estimated Delay Added for Hold Timing section in the Fitter report.
|
||||
Info (170195): Router estimated average interconnect usage is 27% of the available device resources
|
||||
Info (170196): Router estimated peak interconnect usage is 27% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5
|
||||
Info (170089): 6e+01 ns of routing delay (approximately 3.8% of available device routing delay) has been added to meet hold timing. For more information, refer to the Estimated Delay Added for Hold Timing section in the Fitter report.
|
||||
Info (170195): Router estimated average interconnect usage is 24% of the available device resources
|
||||
Info (170196): Router estimated peak interconnect usage is 24% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5
|
||||
Info (170202): The Fitter performed an Auto Fit compilation. No optimizations were skipped because the design's timing and routability requirements required full optimization.
|
||||
Info (170194): Fitter routing operations ending: elapsed time is 00:00:01
|
||||
Info (11888): Total time spent on timing analysis during the Fitter is 1.13 seconds.
|
||||
Info (170194): Fitter routing operations ending: elapsed time is 00:00:02
|
||||
Info (11888): Total time spent on timing analysis during the Fitter is 16.41 seconds.
|
||||
Info (11218): Fitter post-fit operations ending: elapsed time is 00:00:00
|
||||
Info (144001): Generated suppressed messages file /Repos/RAM2E/CPLD/MAXII/output_files/RAM2E.fit.smsg
|
||||
Info: Quartus Prime Fitter was successful. 0 errors, 11 warnings
|
||||
Info: Peak virtual memory: 13775 megabytes
|
||||
Info: Processing ended: Sat Jun 08 01:44:18 2024
|
||||
Info: Elapsed time: 00:00:06
|
||||
Info: Peak virtual memory: 13835 megabytes
|
||||
Info: Processing ended: Fri Jul 12 16:08:42 2024
|
||||
Info: Elapsed time: 00:00:40
|
||||
Info: Total CPU time (on all processors): 00:00:04
|
||||
|
||||
|
||||
|
@ -1,4 +1,4 @@
|
||||
Extra Info (176273): Performing register packing on registers with non-logic cell location assignments
|
||||
Extra Info (176274): Completed register packing on registers with non-logic cell location assignments
|
||||
Extra Info (176244): Moving registers into LUTs to improve timing and density
|
||||
Extra Info (176245): Finished moving registers into LUTs: elapsed time is 00:00:00
|
||||
Extra Info (176245): Finished moving registers into LUTs: elapsed time is 00:00:01
|
||||
|
@ -1,11 +1,11 @@
|
||||
Fitter Status : Successful - Sat Jun 08 01:44:18 2024
|
||||
Fitter Status : Successful - Fri Jul 12 16:08:41 2024
|
||||
Quartus Prime Version : 19.1.0 Build 670 09/22/2019 SJ Lite Edition
|
||||
Revision Name : RAM2E
|
||||
Top-level Entity Name : RAM2E
|
||||
Family : MAX II
|
||||
Device : EPM240T100C5
|
||||
Timing Models : Final
|
||||
Total logic elements : 238 / 240 ( 99 % )
|
||||
Total logic elements : 233 / 240 ( 97 % )
|
||||
Total pins : 71 / 80 ( 89 % )
|
||||
Total virtual pins : 0
|
||||
UFM blocks : 1 / 1 ( 100 % )
|
||||
|
@ -1,5 +1,5 @@
|
||||
Flow report for RAM2E
|
||||
Sat Jun 08 01:44:25 2024
|
||||
Fri Jul 12 16:09:04 2024
|
||||
Quartus Prime Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition
|
||||
|
||||
|
||||
@ -41,14 +41,14 @@ https://fpgasoftware.intel.com/eula.
|
||||
+---------------------------------------------------------------------+
|
||||
; Flow Summary ;
|
||||
+-----------------------+---------------------------------------------+
|
||||
; Flow Status ; Successful - Sat Jun 08 01:44:21 2024 ;
|
||||
; Flow Status ; Successful - Fri Jul 12 16:08:53 2024 ;
|
||||
; Quartus Prime Version ; 19.1.0 Build 670 09/22/2019 SJ Lite Edition ;
|
||||
; Revision Name ; RAM2E ;
|
||||
; Top-level Entity Name ; RAM2E ;
|
||||
; Family ; MAX II ;
|
||||
; Device ; EPM240T100C5 ;
|
||||
; Timing Models ; Final ;
|
||||
; Total logic elements ; 238 / 240 ( 99 % ) ;
|
||||
; Total logic elements ; 233 / 240 ( 97 % ) ;
|
||||
; Total pins ; 71 / 80 ( 89 % ) ;
|
||||
; Total virtual pins ; 0 ;
|
||||
; UFM blocks ; 1 / 1 ( 100 % ) ;
|
||||
@ -60,7 +60,7 @@ https://fpgasoftware.intel.com/eula.
|
||||
+-------------------+---------------------+
|
||||
; Option ; Setting ;
|
||||
+-------------------+---------------------+
|
||||
; Start date & time ; 06/08/2024 01:43:22 ;
|
||||
; Start date & time ; 07/12/2024 16:06:43 ;
|
||||
; Main task ; Compilation ;
|
||||
; Revision Name ; RAM2E ;
|
||||
+-------------------+---------------------+
|
||||
@ -71,7 +71,7 @@ https://fpgasoftware.intel.com/eula.
|
||||
+---------------------------------------+------------------------------+---------------+-------------+------------+
|
||||
; Assignment Name ; Value ; Default Value ; Entity Name ; Section Id ;
|
||||
+---------------------------------------+------------------------------+---------------+-------------+------------+
|
||||
; COMPILER_SIGNATURE_ID ; 121380219419.171782540212708 ; -- ; -- ; -- ;
|
||||
; COMPILER_SIGNATURE_ID ; 121380219419.172081480308696 ; -- ; -- ; -- ;
|
||||
; MAX_CORE_JUNCTION_TEMP ; 85 ; -- ; -- ; -- ;
|
||||
; MIN_CORE_JUNCTION_TEMP ; 0 ; -- ; -- ; -- ;
|
||||
; NUM_PARALLEL_PROCESSORS ; 4 ; -- ; -- ; -- ;
|
||||
@ -86,11 +86,11 @@ https://fpgasoftware.intel.com/eula.
|
||||
+----------------------+--------------+-------------------------+---------------------+------------------------------------+
|
||||
; Module Name ; Elapsed Time ; Average Processors Used ; Peak Virtual Memory ; Total CPU Time (on all processors) ;
|
||||
+----------------------+--------------+-------------------------+---------------------+------------------------------------+
|
||||
; Analysis & Synthesis ; 00:00:49 ; 1.0 ; 13152 MB ; 00:00:40 ;
|
||||
; Fitter ; 00:00:06 ; 1.0 ; 13775 MB ; 00:00:04 ;
|
||||
; Assembler ; 00:00:01 ; 1.0 ; 13098 MB ; 00:00:01 ;
|
||||
; Timing Analyzer ; 00:00:02 ; 1.0 ; 13094 MB ; 00:00:01 ;
|
||||
; Total ; 00:00:58 ; -- ; -- ; 00:00:46 ;
|
||||
; Analysis & Synthesis ; 00:01:17 ; 1.0 ; 13149 MB ; 00:00:47 ;
|
||||
; Fitter ; 00:00:39 ; 1.0 ; 13835 MB ; 00:00:04 ;
|
||||
; Assembler ; 00:00:05 ; 1.0 ; 13097 MB ; 00:00:01 ;
|
||||
; Timing Analyzer ; 00:00:06 ; 1.0 ; 13093 MB ; 00:00:01 ;
|
||||
; Total ; 00:02:07 ; -- ; -- ; 00:00:53 ;
|
||||
+----------------------+--------------+-------------------------+---------------------+------------------------------------+
|
||||
|
||||
|
||||
|
@ -1,5 +1,5 @@
|
||||
Analysis & Synthesis report for RAM2E
|
||||
Sat Jun 08 01:44:10 2024
|
||||
Fri Jul 12 16:07:59 2024
|
||||
Quartus Prime Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition
|
||||
|
||||
|
||||
@ -46,12 +46,12 @@ https://fpgasoftware.intel.com/eula.
|
||||
+---------------------------------------------------------------------------+
|
||||
; Analysis & Synthesis Summary ;
|
||||
+-----------------------------+---------------------------------------------+
|
||||
; Analysis & Synthesis Status ; Successful - Sat Jun 08 01:44:10 2024 ;
|
||||
; Analysis & Synthesis Status ; Successful - Fri Jul 12 16:07:59 2024 ;
|
||||
; Quartus Prime Version ; 19.1.0 Build 670 09/22/2019 SJ Lite Edition ;
|
||||
; Revision Name ; RAM2E ;
|
||||
; Top-level Entity Name ; RAM2E ;
|
||||
; Family ; MAX II ;
|
||||
; Total logic elements ; 252 ;
|
||||
; Total logic elements ; 247 ;
|
||||
; Total pins ; 71 ;
|
||||
; Total virtual pins ; 0 ;
|
||||
; UFM blocks ; 1 / 1 ( 100 % ) ;
|
||||
@ -164,34 +164,34 @@ https://fpgasoftware.intel.com/eula.
|
||||
+---------------------------------------------+-------+
|
||||
; Resource ; Usage ;
|
||||
+---------------------------------------------+-------+
|
||||
; Total logic elements ; 252 ;
|
||||
; -- Combinational with no register ; 126 ;
|
||||
; Total logic elements ; 247 ;
|
||||
; -- Combinational with no register ; 122 ;
|
||||
; -- Register only ; 33 ;
|
||||
; -- Combinational with a register ; 93 ;
|
||||
; -- Combinational with a register ; 92 ;
|
||||
; ; ;
|
||||
; Logic element usage by number of LUT inputs ; ;
|
||||
; -- 4 input functions ; 116 ;
|
||||
; -- 3 input functions ; 53 ;
|
||||
; -- 2 input functions ; 46 ;
|
||||
; -- 4 input functions ; 111 ;
|
||||
; -- 3 input functions ; 56 ;
|
||||
; -- 2 input functions ; 43 ;
|
||||
; -- 1 input functions ; 3 ;
|
||||
; -- 0 input functions ; 1 ;
|
||||
; ; ;
|
||||
; Logic elements by mode ; ;
|
||||
; -- normal mode ; 238 ;
|
||||
; -- normal mode ; 233 ;
|
||||
; -- arithmetic mode ; 14 ;
|
||||
; -- qfbk mode ; 0 ;
|
||||
; -- register cascade mode ; 0 ;
|
||||
; -- synchronous clear/load mode ; 3 ;
|
||||
; -- asynchronous clear/load mode ; 0 ;
|
||||
; ; ;
|
||||
; Total registers ; 126 ;
|
||||
; Total registers ; 125 ;
|
||||
; Total logic cells in carry chains ; 15 ;
|
||||
; I/O pins ; 71 ;
|
||||
; UFM blocks ; 1 ;
|
||||
; Maximum fan-out node ; C14M ;
|
||||
; Maximum fan-out ; 122 ;
|
||||
; Total fan-out ; 1001 ;
|
||||
; Average fan-out ; 3.09 ;
|
||||
; Maximum fan-out ; 121 ;
|
||||
; Total fan-out ; 982 ;
|
||||
; Average fan-out ; 3.08 ;
|
||||
+---------------------------------------------+-------+
|
||||
|
||||
|
||||
@ -200,7 +200,7 @@ https://fpgasoftware.intel.com/eula.
|
||||
+--------------------------------------------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+-------------------------------------------------------------------------------------------+---------------------+--------------+
|
||||
; Compilation Hierarchy Node ; Logic Cells ; LC Registers ; UFM Blocks ; Pins ; Virtual Pins ; LUT-Only LCs ; Register-Only LCs ; LUT/Register LCs ; Carry Chain LCs ; Packed LCs ; Full Hierarchy Name ; Entity Name ; Library Name ;
|
||||
+--------------------------------------------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+-------------------------------------------------------------------------------------------+---------------------+--------------+
|
||||
; |RAM2E ; 252 (191) ; 126 ; 1 ; 71 ; 0 ; 126 (97) ; 33 (25) ; 93 (69) ; 15 (15) ; 0 (0) ; |RAM2E ; RAM2E ; work ;
|
||||
; |RAM2E ; 247 (186) ; 125 ; 1 ; 71 ; 0 ; 122 (93) ; 33 (25) ; 92 (68) ; 15 (15) ; 0 (0) ; |RAM2E ; RAM2E ; work ;
|
||||
; |RAM2E_UFM:ram2e_ufm| ; 61 (61) ; 32 ; 1 ; 0 ; 0 ; 29 (29) ; 8 (8) ; 24 (24) ; 0 (0) ; 0 (0) ; |RAM2E|RAM2E_UFM:ram2e_ufm ; RAM2E_UFM ; work ;
|
||||
; |UFM:UFM_inst| ; 0 (0) ; 0 ; 1 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |RAM2E|RAM2E_UFM:ram2e_ufm|UFM:UFM_inst ; UFM ; work ;
|
||||
; |UFM_altufm_none_lbr:UFM_altufm_none_lbr_component| ; 0 (0) ; 0 ; 1 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |RAM2E|RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component ; UFM_altufm_none_lbr ; work ;
|
||||
@ -222,12 +222,12 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi
|
||||
+----------------------------------------------+-------+
|
||||
; Statistic ; Value ;
|
||||
+----------------------------------------------+-------+
|
||||
; Total registers ; 126 ;
|
||||
; Total registers ; 125 ;
|
||||
; Number of registers using Synchronous Clear ; 3 ;
|
||||
; Number of registers using Synchronous Load ; 0 ;
|
||||
; Number of registers using Asynchronous Clear ; 0 ;
|
||||
; Number of registers using Asynchronous Load ; 0 ;
|
||||
; Number of registers using Clock Enable ; 59 ;
|
||||
; Number of registers using Clock Enable ; 58 ;
|
||||
; Number of registers using Preset ; 0 ;
|
||||
+----------------------------------------------+-------+
|
||||
|
||||
@ -256,13 +256,13 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi
|
||||
+--------------------+-----------+---------------+----------------------+------------------------+------------+--------------------------------------+
|
||||
; Multiplexer Inputs ; Bus Width ; Baseline Area ; Area if Restructured ; Saving if Restructured ; Registered ; Example Multiplexer Output ;
|
||||
+--------------------+-----------+---------------+----------------------+------------------------+------------+--------------------------------------+
|
||||
; 4:1 ; 4 bits ; 8 LEs ; 8 LEs ; 0 LEs ; Yes ; |RAM2E|S[2] ;
|
||||
; 4:1 ; 4 bits ; 8 LEs ; 8 LEs ; 0 LEs ; Yes ; |RAM2E|S[3] ;
|
||||
; 4:1 ; 3 bits ; 6 LEs ; 3 LEs ; 3 LEs ; Yes ; |RAM2E|CS[1] ;
|
||||
; 4:1 ; 8 bits ; 16 LEs ; 16 LEs ; 0 LEs ; Yes ; |RAM2E|RAM2E_UFM:ram2e_ufm|RWMask[5] ;
|
||||
; 4:1 ; 8 bits ; 16 LEs ; 16 LEs ; 0 LEs ; Yes ; |RAM2E|RAM2E_UFM:ram2e_ufm|RWMask[4] ;
|
||||
; 16:1 ; 2 bits ; 20 LEs ; 2 LEs ; 18 LEs ; Yes ; |RAM2E|BA[0]~reg0 ;
|
||||
; 17:1 ; 4 bits ; 44 LEs ; 8 LEs ; 36 LEs ; Yes ; |RAM2E|RA[6] ;
|
||||
; 19:1 ; 2 bits ; 24 LEs ; 4 LEs ; 20 LEs ; Yes ; |RAM2E|RA[1] ;
|
||||
; 10:1 ; 2 bits ; 12 LEs ; 4 LEs ; 8 LEs ; Yes ; |RAM2E|DQML~reg0 ;
|
||||
; 17:1 ; 4 bits ; 44 LEs ; 8 LEs ; 36 LEs ; Yes ; |RAM2E|RA[7] ;
|
||||
; 19:1 ; 2 bits ; 24 LEs ; 4 LEs ; 20 LEs ; Yes ; |RAM2E|RA[2] ;
|
||||
; 10:1 ; 2 bits ; 12 LEs ; 4 LEs ; 8 LEs ; Yes ; |RAM2E|DQMH~reg0 ;
|
||||
+--------------------+-----------+---------------+----------------------+------------------------+------------+--------------------------------------+
|
||||
|
||||
|
||||
@ -283,7 +283,7 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi
|
||||
Info: *******************************************************************
|
||||
Info: Running Quartus Prime Analysis & Synthesis
|
||||
Info: Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition
|
||||
Info: Processing started: Sat Jun 08 01:43:21 2024
|
||||
Info: Processing started: Fri Jul 12 16:06:42 2024
|
||||
Info: Command: quartus_map --read_settings_files=on --write_settings_files=off RAM2E-MAXII -c RAM2E
|
||||
Info (20032): Parallel compilation is enabled and will use up to 4 processors
|
||||
Info (12021): Found 1 design units, including 1 entities, in source file //mac/icloud/repos/ram2e/cpld/ram2e.v
|
||||
@ -296,12 +296,12 @@ Info (12021): Found 2 design units, including 2 entities, in source file ufm.v
|
||||
Info (12023): Found entity 1: UFM_altufm_none_lbr File: //Mac/iCloud/Repos/RAM2E/CPLD/MAXII/UFM.v Line: 47
|
||||
Info (12023): Found entity 2: UFM File: //Mac/iCloud/Repos/RAM2E/CPLD/MAXII/UFM.v Line: 166
|
||||
Info (12127): Elaborating entity "RAM2E" for the top level hierarchy
|
||||
Info (12128): Elaborating entity "RAM2E_UFM" for hierarchy "RAM2E_UFM:ram2e_ufm" File: //Mac/iCloud/Repos/RAM2E/CPLD/RAM2E.v Line: 136
|
||||
Info (12128): Elaborating entity "RAM2E_UFM" for hierarchy "RAM2E_UFM:ram2e_ufm" File: //Mac/iCloud/Repos/RAM2E/CPLD/RAM2E.v Line: 132
|
||||
Info (12128): Elaborating entity "UFM" for hierarchy "RAM2E_UFM:ram2e_ufm|UFM:UFM_inst" File: //Mac/iCloud/Repos/RAM2E/CPLD/UFM-MAX.v Line: 77
|
||||
Info (12128): Elaborating entity "UFM_altufm_none_lbr" for hierarchy "RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component" File: //Mac/iCloud/Repos/RAM2E/CPLD/MAXII/UFM.v Line: 217
|
||||
Info (12128): Elaborating entity "DHGR" for hierarchy "DHGR:dhgr" File: //Mac/iCloud/Repos/RAM2E/CPLD/RAM2E.v Line: 140
|
||||
Info (12128): Elaborating entity "DHGR" for hierarchy "DHGR:dhgr" File: //Mac/iCloud/Repos/RAM2E/CPLD/RAM2E.v Line: 136
|
||||
Warning (13024): Output pins are stuck at VCC or GND
|
||||
Warning (13410): Pin "nDHGROE" is stuck at GND File: //Mac/iCloud/Repos/RAM2E/CPLD/RAM2E.v Line: 139
|
||||
Warning (13410): Pin "nDHGROE" is stuck at GND File: //Mac/iCloud/Repos/RAM2E/CPLD/RAM2E.v Line: 135
|
||||
Warning (13410): Pin "nCSout" is stuck at GND File: //Mac/iCloud/Repos/RAM2E/CPLD/RAM2E.v Line: 75
|
||||
Warning (14632): Output pin "Dout[0]" driven by bidirectional pin "RD[0]" cannot be tri-stated File: //Mac/iCloud/Repos/RAM2E/CPLD/RAM2E.v Line: 50
|
||||
Warning (14632): Output pin "Dout[1]" driven by bidirectional pin "RD[1]" cannot be tri-stated File: //Mac/iCloud/Repos/RAM2E/CPLD/RAM2E.v Line: 50
|
||||
@ -313,18 +313,18 @@ Warning (14632): Output pin "Dout[6]" driven by bidirectional pin "RD[6]" cannot
|
||||
Warning (14632): Output pin "Dout[7]" driven by bidirectional pin "RD[7]" cannot be tri-stated File: //Mac/iCloud/Repos/RAM2E/CPLD/RAM2E.v Line: 50
|
||||
Warning (21074): Design contains 1 input pin(s) that do not drive logic
|
||||
Warning (15610): No output dependent on input pin "nWE80" File: //Mac/iCloud/Repos/RAM2E/CPLD/RAM2E.v Line: 11
|
||||
Info (21057): Implemented 324 device resources after synthesis - the final resource count might be different
|
||||
Info (21057): Implemented 319 device resources after synthesis - the final resource count might be different
|
||||
Info (21058): Implemented 22 input pins
|
||||
Info (21059): Implemented 41 output pins
|
||||
Info (21060): Implemented 8 bidirectional pins
|
||||
Info (21061): Implemented 252 logic cells
|
||||
Info (21061): Implemented 247 logic cells
|
||||
Info (21070): Implemented 1 User Flash Memory blocks
|
||||
Info (144001): Generated suppressed messages file /Repos/RAM2E/CPLD/MAXII/output_files/RAM2E.map.smsg
|
||||
Info: Quartus Prime Analysis & Synthesis was successful. 0 errors, 13 warnings
|
||||
Info: Peak virtual memory: 13152 megabytes
|
||||
Info: Processing ended: Sat Jun 08 01:44:10 2024
|
||||
Info: Elapsed time: 00:00:49
|
||||
Info: Total CPU time (on all processors): 00:00:41
|
||||
Info: Peak virtual memory: 13149 megabytes
|
||||
Info: Processing ended: Fri Jul 12 16:07:59 2024
|
||||
Info: Elapsed time: 00:01:17
|
||||
Info: Total CPU time (on all processors): 00:00:47
|
||||
|
||||
|
||||
+------------------------------------------+
|
||||
|
@ -1,9 +1,9 @@
|
||||
Analysis & Synthesis Status : Successful - Sat Jun 08 01:44:10 2024
|
||||
Analysis & Synthesis Status : Successful - Fri Jul 12 16:07:59 2024
|
||||
Quartus Prime Version : 19.1.0 Build 670 09/22/2019 SJ Lite Edition
|
||||
Revision Name : RAM2E
|
||||
Top-level Entity Name : RAM2E
|
||||
Family : MAX II
|
||||
Total logic elements : 252
|
||||
Total logic elements : 247
|
||||
Total pins : 71
|
||||
Total virtual pins : 0
|
||||
UFM blocks : 1 / 1 ( 100 % )
|
||||
|
Binary file not shown.
Some files were not shown because too many files have changed in this diff Show More
Loading…
x
Reference in New Issue
Block a user