68030tk/Logic/68030_tk.rpt

1767 lines
72 KiB
Plaintext
Raw Normal View History

2014-08-26 19:23:58 +00:00
|--------------------------------------------|
|- ispLEVER Fitter Report File -|
|- Version 1.7.00.05.28.13 -|
|- (c)Copyright, Lattice Semiconductor 2002 -|
|--------------------------------------------|
Project_Summary
~~~~~~~~~~~~~~~
Project Name : 68030_tk
Project Path : C:\Users\Matze\Documents\GitHub\68030tk\Logic
Project Fitted on : Tue Aug 26 20:07:19 2014
Device : M4A5-128/64
Package : 100TQFP
Speed : -10
Partnumber : M4A5-128/64-10VC
Source Format : Pure_VHDL
// Project '68030_tk' was Fitted Successfully! //
Compilation_Times
~~~~~~~~~~~~~~~~~
Reading/DRC 0 sec
Partition 0 sec
Place 0 sec
Route 0 sec
Jedec/Report generation 0 sec
--------
Fitter 00:00:00
Design_Summary
~~~~~~~~~~~~~~
Total Input Pins : 30
Total Output Pins : 17
Total Bidir I/O Pins : 13
Total Flip-Flops : 69
Total Product Terms : 165
Total Reserved Pins : 0
Total Reserved Blocks : 0
Device_Resource_Summary
~~~~~~~~~~~~~~~~~~~~~~~
Total
Available Used Available Utilization
Dedicated Pins
Input-Only Pins 2 2 0 --> 100%
Clock/Input Pins 4 4 0 --> 100%
I/O Pins 64 54 10 --> 84%
Logic Macrocells 128 84 44 --> 65%
Input Registers 64 0 64 --> 0%
Unusable Macrocells .. 0 ..
CSM Outputs/Total Block Inputs 264 217 47 --> 82%
Logical Product Terms 640 166 474 --> 25%
Product Term Clusters 128 40 88 --> 31%

Blocks_Resource_Summary
~~~~~~~~~~~~~~~~~~~~~~~
# of PT
I/O Inp Macrocells Macrocells logic clusters
Fanin Pins Reg Used Unusable available PTs available Pwr
---------------------------------------------------------------------------------
Maximum 33 8 8 -- -- 16 80 16 -
---------------------------------------------------------------------------------
Block A 21 8 0 7 0 9 17 12 Lo
Block B 29 8 0 13 0 3 17 12 Lo
Block C 25 7 0 12 0 4 26 10 Lo
Block D 27 8 0 12 0 4 21 11 Lo
Block E 32 4 0 7 0 9 10 13 Lo
Block F 27 4 0 10 0 6 32 6 Lo
Block G 24 7 0 13 0 3 23 13 Lo
Block H 32 8 0 10 0 6 20 11 Lo
---------------------------------------------------------------------------------
<Note> Four rightmost columns above reflect last status of the placement process.
<Note> Pwr (Power) : Hi = High
Lo = Low.

Optimizer_and_Fitter_Options
~~~~~~~~~~~~~~~~~~~~~~~~~~~~
Pin Assignment : Yes
Group Assignment : No
Pin Reservation : No (1)
Block Reservation : No
@Ignore_Project_Constraints :
Pin Assignments : No
Keep Block Assignment --
Keep Segment Assignment --
Group Assignments : No
Macrocell Assignment : No
Keep Block Assignment --
Keep Segment Assignment --
@Backannotate_Project_Constraints
Pin Assignments : No
Pin And Block Assignments : No
Pin, Macrocell and Block : No
@Timing_Constraints : No
@Global_Project_Optimization :
Balanced Partitioning : Yes
Spread Placement : Yes
Note :
Pack Design :
Balanced Partitioning = No
Spread Placement = No
Spread Design :
Balanced Partitioning = Yes
Spread Placement = Yes
@Logic_Synthesis :
Logic Reduction : Yes
Node Collapsing : Yes
D/T Synthesis : Yes
Clock Optimization : No
Input Register Optimization : Yes
XOR Synthesis : Yes
Max. P-Term for Collapsing : 16
Max. P-Term for Splitting : 16
Max. Equation Fanin : 32
Keep Xor : Yes
@Utilization_options
Max. % of macrocells used : 100
Max. % of block inputs used : 100
Max. % of segment lines used : ---
Max. % of macrocells used : ---
@Import_Source_Constraint_Option No
@Zero_Hold_Time Yes
@Pull_up Yes
@User_Signature #H0
@Output_Slew_Rate Default = Slow(2)
@Power Default = High(2)
Device Options:
<Note> 1 : Reserved unused I/Os can be independently driven to Low or High, and does not
follow the drive level set for the Global Configure Unused I/O Option.
<Note> 2 : For user-specified constraints on individual signals, refer to the Output,
Bidir and Burried Signal Lists.

Pinout_Listing
~~~~~~~~~~~~~~
| Pin |Blk |Assigned|
Pin No| Type |Pad |Pin | Signal name
---------------------------------------------------------------
1 | GND | | |
2 | JTAG | | |
3 | I_O | B7 | * |RESET
4 | I_O | B6 | * |A_31_
5 | I_O | B5 | * |A_30_
6 | I_O | B4 | * |A_29_
7 | I_O | B3 | * |IPL_030_1_
8 | I_O | B2 | * |IPL_030_0_
9 | I_O | B1 | * |IPL_030_2_
10 | I_O | B0 | * |CLK_EXP
11 | CkIn | | * |CLK_000
12 | Vcc | | |
13 | GND | | |
14 | CkIn | | * |nEXP_SPACE
15 | I_O | C0 | * |A_28_
16 | I_O | C1 | * |A_27_
17 | I_O | C2 | * |A_26_
18 | I_O | C3 | * |A_25_
19 | I_O | C4 | * |A_24_
20 | I_O | C5 | * |AMIGA_BUS_ENABLE_LOW
21 | I_O | C6 | * |BG_030
22 | I_O | C7 | |
23 | JTAG | | |
24 | JTAG | | |
25 | GND | | |
26 | GND | | |
27 | GND | | |
28 | I_O | D7 | * |BGACK_000
29 | I_O | D6 | * |BG_000
30 | I_O | D5 | * |DTACK
31 | I_O | D4 | * |LDS_000
32 | I_O | D3 | * |UDS_000
33 | I_O | D2 | * |AMIGA_ADDR_ENABLE
34 | I_O | D1 | * |AMIGA_BUS_ENABLE_HIGH
35 | I_O | D0 | * |VMA
36 | Inp | | * |VPA
37 | Vcc | | |
38 | GND | | |
39 | GND | | |
40 | Vcc | | |
41 | I_O | E0 | * |BERR
42 | I_O | E1 | * |AS_000
43 | I_O | E2 | |
44 | I_O | E3 | |
45 | I_O | E4 | |
46 | I_O | E5 | |
47 | I_O | E6 | * |CIIN
48 | I_O | E7 | * |AMIGA_BUS_DATA_DIR
49 | GND | | |
50 | GND | | |
51 | GND | | |
52 | JTAG | | |
53 | I_O | F7 | |
54 | I_O | F6 | |
55 | I_O | F5 | |
56 | I_O | F4 | * |IPL_1_
57 | I_O | F3 | * |FC_0_
58 | I_O | F2 | * |FC_1_
59 | I_O | F1 | * |A_17_
60 | I_O | F0 | |
61 | CkIn | | * |CLK_OSZI
62 | Vcc | | |
63 | GND | | |
64 | CkIn | | * |CLK_030
65 | I_O | G0 | * |CLK_DIV_OUT
66 | I_O | G1 | * |E
67 | I_O | G2 | * |IPL_0_
68 | I_O | G3 | * |IPL_2_
69 | I_O | G4 | * |A0
70 | I_O | G5 | * |SIZE_0_
71 | I_O | G6 | * |RW
72 | I_O | G7 | |
73 | JTAG | | |
74 | JTAG | | |
75 | GND | | |
76 | GND | | |
77 | GND | | |
78 | I_O | H7 | * |FPU_CS
79 | I_O | H6 | * |SIZE_1_
80 | I_O | H5 | * |RW_000
81 | I_O | H4 | * |DSACK1
82 | I_O | H3 | * |AS_030
83 | I_O | H2 | * |BGACK_030
84 | I_O | H1 | * |A_22_
85 | I_O | H0 | * |A_23_
86 | Inp | | * |RST
87 | Vcc | | |
88 | GND | | |
89 | GND | | |
90 | Vcc | | |
91 | I_O | A0 | * |FPU_SENSE
92 | I_O | A1 | * |AVEC
93 | I_O | A2 | * |A_20_
94 | I_O | A3 | * |A_21_
95 | I_O | A4 | * |A_18_
96 | I_O | A5 | * |A_16_
97 | I_O | A6 | * |A_19_
98 | I_O | A7 | * |DS_030
99 | GND | | |
100 | GND | | |
---------------------------------------------------------------------------
<Note> Blk Pad : This notation refers to the Block I/O pad number in the device.
<Note> Assigned Pin : user or dedicated input assignment (E.g. Clock pins).
<Note> Pin Type :
CkIn : Dedicated input or clock pin
CLK : Dedicated clock pin
INP : Dedicated input pin
JTAG : JTAG Control and test pin
NC : No connected

Input_Signal_List
~~~~~~~~~~~~~~~~~
P R
Pin r e O Input
Pin Blk PTs Type e s E Fanout Pwr Slew Signal
----------------------------------------------------------------------
96 A . I/O ----EF-H Low Slow A_16_
59 F . I/O ----EF-H Low Slow A_17_
95 A . I/O ----EF-H Low Slow A_18_
97 A . I/O ----EF-H Low Slow A_19_
93 A . I/O -B--E--- Low Slow A_20_
94 A . I/O -B--E--- Low Slow A_21_
84 H . I/O -B--E--- Low Slow A_22_
85 H . I/O -B--E--- Low Slow A_23_
19 C . I/O -B--E--- Low Slow A_24_
18 C . I/O -B--E--- Low Slow A_25_
17 C . I/O -B--E--- Low Slow A_26_
16 C . I/O -B--E--- Low Slow A_27_
15 C . I/O -B--E--- Low Slow A_28_
6 B . I/O -B--E--- Low Slow A_29_
5 B . I/O -B--E--- Low Slow A_30_
4 B . I/O -B--E--- Low Slow A_31_
28 D . I/O ----EF-H Low Slow BGACK_000
21 C . I/O ---D---- Low Slow BG_030
57 F . I/O ----EF-H Low Slow FC_0_
58 F . I/O ----EF-H Low Slow FC_1_
91 A . I/O ----E--H Low Slow FPU_SENSE
67 G . I/O -B------ Low Slow IPL_0_
56 F . I/O -B------ Low Slow IPL_1_
68 G . I/O -B------ Low Slow IPL_2_
11 . . Ck/I -----F-- - Slow CLK_000
14 . . Ck/I A--DEFGH - Slow nEXP_SPACE
36 . . Ded --C----- - Slow VPA
61 . . Ck/I ABCDEFGH - Slow CLK_OSZI
64 . . Ck/I A-----GH - Slow CLK_030
86 . . Ded ABCDEFGH - Slow RST
----------------------------------------------------------------------
<Note> Power : Hi = High
MH = Medium High
ML = Medium Low
Lo = Low

Output_Signal_List
~~~~~~~~~~~~~~~~~~
P R
Pin r e O Output
Pin Blk PTs Type e s E Fanout Pwr Slew Signal
----------------------------------------------------------------------
33 D 3 DFF * -------- Low Slow AMIGA_ADDR_ENABLE
48 E 2 COM -------- Low Slow AMIGA_BUS_DATA_DIR
34 D 1 COM -------- Low Slow AMIGA_BUS_ENABLE_HIGH
20 C 1 COM -------- Low Slow AMIGA_BUS_ENABLE_LOW
92 A 1 COM -------- Low Slow AVEC
83 H 2 DFF * -------- Low Slow BGACK_030
29 D 2 DFF * -------- Low Slow BG_000
47 E 1 COM -------- Low Slow CIIN
65 G 1 COM -------- Low Slow CLK_DIV_OUT
10 B 1 COM -------- Low Slow CLK_EXP
66 G 5 DFF -------- Low Slow E
78 H 1 COM -------- Low Slow FPU_CS
8 B 2 DFF * -------- Low Slow IPL_030_0_
7 B 2 DFF * -------- Low Slow IPL_030_1_
9 B 2 DFF * -------- Low Slow IPL_030_2_
3 B 1 DFF * -------- Low Slow RESET
35 D 2 TFF * -------- Low Slow VMA
----------------------------------------------------------------------
<Note> Power : Hi = High
MH = Medium High
ML = Medium Low
Lo = Low

Bidir_Signal_List
~~~~~~~~~~~~~~~~~
P R
Pin r e O Bidir
Pin Blk PTs Type e s E Fanout Pwr Slew Signal
----------------------------------------------------------------------
69 G 1 DFF * A------- Low Slow A0
42 E 2 DFF * A---E-GH Low Slow AS_000
82 H 4 DFF * ----E--H Low Slow AS_030
41 E 1 COM --C-EF-H Low Slow BERR
81 H 4 DFF * ---D---- Low Slow DSACK1
98 A 7 DFF * --C----- Low Slow DS_030
30 D 1 COM ------G- Low Slow DTACK
31 D 1 COM A-----GH Low Slow LDS_000
71 G 4 DFF * --C----H Low Slow RW
80 H 3 DFF * A---E-G- Low Slow RW_000
70 G 1 DFF * A------- Low Slow SIZE_0_
79 H 2 DFF * A------- Low Slow SIZE_1_
32 D 1 COM A-----GH Low Slow UDS_000
----------------------------------------------------------------------
<Note> Power : Hi = High
MH = Medium High
ML = Medium Low
Lo = Low

Buried_Signal_List
~~~~~~~~~~~~~~~~~~
P R
Pin r e O Node
#Mc Blk PTs Type e s E Fanout Pwr Slew Signal
----------------------------------------------------------------------
B2 B 2 COM ----E--- Low Slow CIIN_0
F2 F 1 DFF --C----- Low Slow CLK_000_N_SYNC_0_
H9 H 1 DFF ---D---- Low Slow CLK_000_N_SYNC_10_
D14 D 1 DFF -B------ Low Slow CLK_000_N_SYNC_11_
C2 C 1 DFF A------- Low Slow CLK_000_N_SYNC_1_
A1 A 1 DFF --C----- Low Slow CLK_000_N_SYNC_2_
C13 C 1 DFF -B------ Low Slow CLK_000_N_SYNC_3_
B6 B 1 DFF -------H Low Slow CLK_000_N_SYNC_4_
H2 H 1 DFF ------G- Low Slow CLK_000_N_SYNC_5_
G10 G 1 DFF ------G- Low Slow CLK_000_N_SYNC_6_
G6 G 1 DFF ------G- Low Slow CLK_000_N_SYNC_7_
G2 G 1 DFF -------H Low Slow CLK_000_N_SYNC_8_
H13 H 1 DFF -------H Low Slow CLK_000_N_SYNC_9_
D10 D 1 DFF --C----- Low Slow CLK_000_P_SYNC_0_
C10 C 1 DFF -------H Low Slow CLK_000_P_SYNC_1_
H6 H 1 DFF --C----- Low Slow CLK_000_P_SYNC_2_
C6 C 1 DFF A------- Low Slow CLK_000_P_SYNC_3_
A9 A 1 DFF -B------ Low Slow CLK_000_P_SYNC_4_
B14 B 1 DFF ------G- Low Slow CLK_000_P_SYNC_5_
G14 G 1 DFF -B------ Low Slow CLK_000_P_SYNC_6_
B10 B 1 DFF A------- Low Slow CLK_000_P_SYNC_7_
A5 A 1 DFF -----F-- Low Slow CLK_000_P_SYNC_8_
F6 F 1 DFF ------G- Low Slow CLK_000_P_SYNC_9_
B9 B 1 DFF -B----GH Low Slow CLK_OUT_PRE_Dreg
D4 D 3 DFF * ---D---- Low - RN_AMIGA_ADDR_ENABLE --> AMIGA_ADDR_ENABLE
E4 E 2 DFF * ----E--- Low - RN_AS_000 --> AS_000
H8 H 4 DFF * A--D--GH Low - RN_AS_030 --> AS_030
H4 H 2 DFF * A--DE-GH Low - RN_BGACK_030 --> BGACK_030
D13 D 2 DFF * ---D---- Low - RN_BG_000 --> BG_000
H12 H 4 DFF * -------H Low - RN_DSACK1 --> DSACK1
A0 A 7 DFF * A------- Low - RN_DS_030 --> DS_030
G4 G 5 DFF --CD--G- Low - RN_E --> E
B8 B 2 DFF * -B------ Low - RN_IPL_030_0_ --> IPL_030_0_
B12 B 2 DFF * -B------ Low - RN_IPL_030_1_ --> IPL_030_1_
B4 B 2 DFF * -B------ Low - RN_IPL_030_2_ --> IPL_030_2_
G0 G 4 DFF * ------G- Low - RN_RW --> RW
H0 H 3 DFF * -------H Low - RN_RW_000 --> RW_000
D1 D 2 TFF * --CD---- Low - RN_VMA --> VMA
F1 F 2 DFF * -----F-H Low Slow SM_AMIGA_0_
F12 F 2 DFF * -----F-H Low Slow SM_AMIGA_1_
C12 C 3 DFF * --C--F-- Low Slow SM_AMIGA_2_
C8 C 6 DFF * --C--F-- Low Slow SM_AMIGA_3_
F5 F 2 DFF * --C--F-- Low Slow SM_AMIGA_4_
F13 F 2 DFF * -----F-- Low Slow SM_AMIGA_5_
F0 F 2 DFF * A-C-EF-H Low Slow SM_AMIGA_6_
F4 F 13 DFF * ---D-F-H Low Slow SM_AMIGA_7_
D2 D 2 DFF --CD--G- Low Slow cpu_est_0_
D9 D 5 DFF --CD--G- Low Slow cpu_est_1_
C4 C 4 DFF --CD--G- Low Slow cpu_est_2_
F8 F 6 DFF * ---D-F-- Low Slow inst_AS_030_000_SYNC
E8 E 1 DFF * -BCDEF-H Low Slow inst_AS_030_D0
E9 E 1 DFF * ---D---- Low Slow inst_BGACK_030_INT_D
F9 F 1 DFF ---D-F-- Low Slow inst_CLK_000_D0
D6 D 1 DFF ---D-F-- Low Slow inst_CLK_000_D1
B5 B 1 DFF -BCD-F-- Low Slow inst_CLK_000_NE
B13 B 1 DFF --CD--G- Low Slow inst_CLK_000_NE_D0
G5 G 1 DFF --CDEF-H Low Slow inst_CLK_000_PE
G9 G 4 DFF * A-----G- Low Slow inst_CLK_030_H
B3 B 1 DFF -B------ Low Slow inst_CLK_OUT_PRE
G13 G 1 DFF -B----G- Low Slow inst_CLK_OUT_PRE_50
C1 C 3 DFF * --CD---- Low Slow inst_DS_000_ENABLE
C14 C 1 DFF * A------- Low Slow inst_DS_030_D0
G3 G 1 DFF * --C----- Low Slow inst_DTACK_D0
A12 A 3 DFF * A--D---- Low Slow inst_LDS_000_INT
A8 A 3 DFF * A--D---- Low Slow inst_UDS_000_INT
C5 C 1 DFF * --CD---- Low Slow inst_VPA_D
C9 C 2 COM -----F-- Low Slow state_machine_un15_clk_000_ne_i_n
E5 E 2 COM -B------ Low Slow un14_ciin_0
----------------------------------------------------------------------
<Note> Power : Hi = High
MH = Medium High
ML = Medium Low
Lo = Low

Signals_Fanout_List
~~~~~~~~~~~~~~~~~~~
Signal Source : Fanout List
-----------------------------------------------------------------------------
A_29_{ C}: CIIN{ E} un14_ciin_0{ E} CIIN_0{ B}
A_28_{ D}: CIIN{ E} un14_ciin_0{ E} CIIN_0{ B}
A_27_{ D}: CIIN{ E} un14_ciin_0{ E} CIIN_0{ B}
A_26_{ D}: CIIN{ E} un14_ciin_0{ E} CIIN_0{ B}
A_31_{ C}: CIIN{ E} un14_ciin_0{ E} CIIN_0{ B}
A_25_{ D}: CIIN{ E} un14_ciin_0{ E} CIIN_0{ B}
A_24_{ D}: CIIN{ E} un14_ciin_0{ E} CIIN_0{ B}
A_23_{ I}: CIIN{ E} CIIN_0{ B}
A_22_{ I}: CIIN{ E} CIIN_0{ B}
IPL_2_{ H}: IPL_030_2_{ B}
A_21_{ B}: CIIN{ E} CIIN_0{ B}
A_20_{ B}: CIIN{ E} CIIN_0{ B}
FC_1_{ G}: BERR{ E} FPU_CS{ H}inst_AS_030_000_SYNC{ F}
A_19_{ B}: BERR{ E} FPU_CS{ H}inst_AS_030_000_SYNC{ F}
A_18_{ B}: BERR{ E} FPU_CS{ H}inst_AS_030_000_SYNC{ F}
A_17_{ G}: BERR{ E} FPU_CS{ H}inst_AS_030_000_SYNC{ F}
A_16_{ B}: BERR{ E} FPU_CS{ H}inst_AS_030_000_SYNC{ F}
UDS_000{ E}: SIZE_1_{ H} AS_030{ H} DS_030{ A}
: A0{ G} RW{ G} SIZE_0_{ G}
: inst_CLK_030_H{ G}
LDS_000{ E}: SIZE_1_{ H} AS_030{ H} DS_030{ A}
: A0{ G} RW{ G} SIZE_0_{ G}
: inst_CLK_030_H{ G}
IPL_1_{ G}: IPL_030_1_{ B}
IPL_0_{ H}: IPL_030_0_{ B}
nEXP_SPACE{. }: DTACK{ D}AMIGA_ADDR_ENABLE{ D}AMIGA_BUS_DATA_DIR{ E}
: SIZE_1_{ H} AS_030{ H} DS_030{ A}
: A0{ G} BG_000{ D} DSACK1{ H}
: SIZE_0_{ G}inst_AS_030_000_SYNC{ F} SM_AMIGA_7_{ F}
: SM_AMIGA_6_{ F} un14_ciin_0{ E}
FC_0_{ G}: BERR{ E} FPU_CS{ H}inst_AS_030_000_SYNC{ F}
BERR{ F}: AS_000{ E} DSACK1{ H}inst_AS_030_000_SYNC{ F}
: SM_AMIGA_7_{ F} SM_AMIGA_6_{ F} SM_AMIGA_4_{ F}
: SM_AMIGA_0_{ F}inst_DS_000_ENABLE{ C} SM_AMIGA_1_{ F}
: SM_AMIGA_5_{ F} SM_AMIGA_3_{ C} SM_AMIGA_2_{ C}
BG_030{ D}: BG_000{ D}
BGACK_000{ E}: BERR{ E} FPU_CS{ H} BGACK_030{ H}
:inst_AS_030_000_SYNC{ F}
CLK_030{. }: AS_030{ H} DS_030{ A} DSACK1{ H}
: RW{ G} inst_CLK_030_H{ G}
CLK_000{. }:inst_CLK_000_D0{ F}
FPU_SENSE{ B}: BERR{ E} FPU_CS{ H}
DTACK{ E}: inst_DTACK_D0{ G}
VPA{. }: inst_VPA_D{ C}
RST{. }:AMIGA_ADDR_ENABLE{ D} SIZE_1_{ H} IPL_030_2_{ B}
: AS_030{ H} AS_000{ E} RW_000{ H}
: DS_030{ A} IPL_030_1_{ B} IPL_030_0_{ B}
: A0{ G} BG_000{ D} BGACK_030{ H}
: DSACK1{ H} VMA{ D} RESET{ B}
: RW{ G} SIZE_0_{ G} inst_AS_030_D0{ E}
: inst_DS_030_D0{ C}inst_AS_030_000_SYNC{ F}inst_BGACK_030_INT_D{ E}
: inst_VPA_D{ C} inst_DTACK_D0{ G} SM_AMIGA_7_{ F}
: SM_AMIGA_6_{ F} SM_AMIGA_4_{ F} SM_AMIGA_0_{ F}
: inst_CLK_030_H{ G}inst_LDS_000_INT{ A}inst_DS_000_ENABLE{ C}
:inst_UDS_000_INT{ A} SM_AMIGA_1_{ F} SM_AMIGA_5_{ F}
: SM_AMIGA_3_{ C} SM_AMIGA_2_{ C}
RN_AMIGA_ADDR_ENABLE{ E}:AMIGA_ADDR_ENABLE{ D}AMIGA_BUS_ENABLE_HIGH{ D}
A_30_{ C}: CIIN{ E} un14_ciin_0{ E} CIIN_0{ B}
SIZE_1_{ I}:inst_LDS_000_INT{ A}
RN_IPL_030_2_{ C}: IPL_030_2_{ B}
AS_030{ I}: BERR{ E} FPU_CS{ H} inst_AS_030_D0{ E}
: un14_ciin_0{ E}
RN_AS_030{ I}: DTACK{ D} SIZE_1_{ H} AS_030{ H}
: DS_030{ A} A0{ G} SIZE_0_{ G}
: inst_CLK_030_H{ G}
AS_000{ F}:AMIGA_BUS_DATA_DIR{ E} SIZE_1_{ H} AS_030{ H}
: DS_030{ A} A0{ G} RW{ G}
: SIZE_0_{ G} inst_CLK_030_H{ G}
RN_AS_000{ F}: AS_000{ E}
RW_000{ I}:AMIGA_BUS_DATA_DIR{ E} DS_030{ A} RW{ G}
RN_RW_000{ I}: RW_000{ H}
DS_030{ B}: inst_DS_030_D0{ C}
RN_DS_030{ B}: DS_030{ A}
RN_IPL_030_1_{ C}: IPL_030_1_{ B}
RN_IPL_030_0_{ C}: IPL_030_0_{ B}
A0{ H}:inst_LDS_000_INT{ A}inst_UDS_000_INT{ A}
RN_BG_000{ E}: BG_000{ D}
RN_BGACK_030{ I}: UDS_000{ D} LDS_000{ D} DTACK{ D}
:AMIGA_ADDR_ENABLE{ D}AMIGA_BUS_DATA_DIR{ E} SIZE_1_{ H}
: AS_030{ H} AS_000{ E} RW_000{ H}
: DS_030{ A} A0{ G} BGACK_030{ H}
: RW{ G} SIZE_0_{ G}inst_BGACK_030_INT_D{ E}
: inst_CLK_030_H{ G}
DSACK1{ I}: DTACK{ D}
RN_DSACK1{ I}: DSACK1{ H}
RN_E{ H}: E{ G} VMA{ D} cpu_est_1_{ D}
: cpu_est_2_{ C} SM_AMIGA_3_{ C} SM_AMIGA_2_{ C}
:state_machine_un15_clk_000_ne_i_n{ C}
RN_VMA{ E}: VMA{ D} SM_AMIGA_3_{ C} SM_AMIGA_2_{ C}
:state_machine_un15_clk_000_ne_i_n{ C}
RW{ H}: RW_000{ H}inst_DS_000_ENABLE{ C}
RN_RW{ H}: RW{ G}
SIZE_0_{ H}:inst_LDS_000_INT{ A}
cpu_est_0_{ E}: E{ G} VMA{ D} cpu_est_0_{ D}
: cpu_est_1_{ D} cpu_est_2_{ C} SM_AMIGA_3_{ C}
: SM_AMIGA_2_{ C}state_machine_un15_clk_000_ne_i_n{ C}
cpu_est_1_{ E}: E{ G} VMA{ D} cpu_est_1_{ D}
: cpu_est_2_{ C} SM_AMIGA_3_{ C} SM_AMIGA_2_{ C}
:state_machine_un15_clk_000_ne_i_n{ C}
inst_AS_030_D0{ F}: CIIN{ E} AS_000{ E} BG_000{ D}
: DSACK1{ H}inst_AS_030_000_SYNC{ F}inst_DS_000_ENABLE{ C}
: CIIN_0{ B}
inst_DS_030_D0{ D}:inst_LDS_000_INT{ A}inst_UDS_000_INT{ A}
inst_AS_030_000_SYNC{ G}:AMIGA_ADDR_ENABLE{ D}inst_AS_030_000_SYNC{ F} SM_AMIGA_7_{ F}
: SM_AMIGA_6_{ F}
inst_BGACK_030_INT_D{ F}:AMIGA_ADDR_ENABLE{ D}
inst_VPA_D{ D}: VMA{ D} SM_AMIGA_3_{ C} SM_AMIGA_2_{ C}
:state_machine_un15_clk_000_ne_i_n{ C}
inst_DTACK_D0{ H}: SM_AMIGA_3_{ C} SM_AMIGA_2_{ C}state_machine_un15_clk_000_ne_i_n{ C}
inst_CLK_OUT_PRE_50{ H}:inst_CLK_OUT_PRE_50{ G}inst_CLK_OUT_PRE{ B}
inst_CLK_000_D1{ E}:AMIGA_ADDR_ENABLE{ D} SM_AMIGA_7_{ F} SM_AMIGA_6_{ F}
:CLK_000_P_SYNC_0_{ D}CLK_000_N_SYNC_0_{ F}
inst_CLK_000_D0{ G}:AMIGA_ADDR_ENABLE{ D} BG_000{ D}inst_CLK_000_D1{ D}
: SM_AMIGA_7_{ F} SM_AMIGA_6_{ F}CLK_000_P_SYNC_0_{ D}
:CLK_000_N_SYNC_0_{ F}
SM_AMIGA_7_{ G}:AMIGA_ADDR_ENABLE{ D} RW_000{ H}inst_AS_030_000_SYNC{ F}
: SM_AMIGA_6_{ F}
inst_CLK_OUT_PRE{ C}:CLK_OUT_PRE_Dreg{ B}
inst_CLK_000_PE{ H}: AS_000{ E} RW_000{ H} BGACK_030{ H}
: VMA{ D} SM_AMIGA_7_{ F} SM_AMIGA_6_{ F}
: SM_AMIGA_4_{ F} SM_AMIGA_0_{ F}inst_DS_000_ENABLE{ C}
: SM_AMIGA_1_{ F} SM_AMIGA_5_{ F} SM_AMIGA_3_{ C}
: SM_AMIGA_2_{ C}
CLK_000_P_SYNC_9_{ G}:inst_CLK_000_PE{ G}
inst_CLK_000_NE{ C}: IPL_030_2_{ B} IPL_030_1_{ B} IPL_030_0_{ B}
: VMA{ D} SM_AMIGA_7_{ F}inst_CLK_000_NE_D0{ B}
: SM_AMIGA_4_{ F} SM_AMIGA_0_{ F} SM_AMIGA_1_{ F}
: SM_AMIGA_5_{ F} SM_AMIGA_3_{ C} SM_AMIGA_2_{ C}
CLK_000_N_SYNC_11_{ E}:inst_CLK_000_NE{ B}
cpu_est_2_{ D}: E{ G} VMA{ D} cpu_est_1_{ D}
: cpu_est_2_{ C} SM_AMIGA_3_{ C} SM_AMIGA_2_{ C}
:state_machine_un15_clk_000_ne_i_n{ C}
inst_CLK_000_NE_D0{ C}: E{ G} cpu_est_0_{ D} cpu_est_1_{ D}
: cpu_est_2_{ C}
SM_AMIGA_6_{ G}: AS_000{ E} RW_000{ H} SM_AMIGA_7_{ F}
: SM_AMIGA_6_{ F}inst_LDS_000_INT{ A}inst_DS_000_ENABLE{ C}
:inst_UDS_000_INT{ A} SM_AMIGA_5_{ F}
SM_AMIGA_4_{ G}: SM_AMIGA_7_{ F} SM_AMIGA_4_{ F}inst_DS_000_ENABLE{ C}
: SM_AMIGA_3_{ C}
SM_AMIGA_0_{ G}: RW_000{ H} SM_AMIGA_7_{ F} SM_AMIGA_0_{ F}
inst_CLK_030_H{ H}: DS_030{ A} inst_CLK_030_H{ G}
inst_LDS_000_INT{ B}: LDS_000{ D}inst_LDS_000_INT{ A}
inst_DS_000_ENABLE{ D}: UDS_000{ D} LDS_000{ D}inst_DS_000_ENABLE{ C}
inst_UDS_000_INT{ B}: UDS_000{ D}inst_UDS_000_INT{ A}
CLK_000_P_SYNC_0_{ E}:CLK_000_P_SYNC_1_{ C}
CLK_000_P_SYNC_1_{ D}:CLK_000_P_SYNC_2_{ H}
CLK_000_P_SYNC_2_{ I}:CLK_000_P_SYNC_3_{ C}
CLK_000_P_SYNC_3_{ D}:CLK_000_P_SYNC_4_{ A}
CLK_000_P_SYNC_4_{ B}:CLK_000_P_SYNC_5_{ B}
CLK_000_P_SYNC_5_{ C}:CLK_000_P_SYNC_6_{ G}
CLK_000_P_SYNC_6_{ H}:CLK_000_P_SYNC_7_{ B}
CLK_000_P_SYNC_7_{ C}:CLK_000_P_SYNC_8_{ A}
CLK_000_P_SYNC_8_{ B}:CLK_000_P_SYNC_9_{ F}
CLK_000_N_SYNC_0_{ G}:CLK_000_N_SYNC_1_{ C}
CLK_000_N_SYNC_1_{ D}:CLK_000_N_SYNC_2_{ A}
CLK_000_N_SYNC_2_{ B}:CLK_000_N_SYNC_3_{ C}
CLK_000_N_SYNC_3_{ D}:CLK_000_N_SYNC_4_{ B}
CLK_000_N_SYNC_4_{ C}:CLK_000_N_SYNC_5_{ H}
CLK_000_N_SYNC_5_{ I}:CLK_000_N_SYNC_6_{ G}
CLK_000_N_SYNC_6_{ H}:CLK_000_N_SYNC_7_{ G}
CLK_000_N_SYNC_7_{ H}:CLK_000_N_SYNC_8_{ G}
CLK_000_N_SYNC_8_{ H}: DSACK1{ H}CLK_000_N_SYNC_9_{ H}
CLK_000_N_SYNC_9_{ I}: DSACK1{ H}CLK_000_N_SYNC_10_{ H}
CLK_000_N_SYNC_10_{ I}:CLK_000_N_SYNC_11_{ D}
CLK_OUT_PRE_Dreg{ C}: CLK_DIV_OUT{ G} CLK_EXP{ B} DSACK1{ H}
SM_AMIGA_1_{ G}: DSACK1{ H} SM_AMIGA_7_{ F} SM_AMIGA_0_{ F}
: SM_AMIGA_1_{ F}
SM_AMIGA_5_{ G}: SM_AMIGA_7_{ F} SM_AMIGA_4_{ F} SM_AMIGA_5_{ F}
SM_AMIGA_3_{ D}: SM_AMIGA_7_{ F} SM_AMIGA_3_{ C} SM_AMIGA_2_{ C}
SM_AMIGA_2_{ D}: SM_AMIGA_7_{ F} SM_AMIGA_1_{ F} SM_AMIGA_2_{ C}
un14_ciin_0{ F}: CIIN_0{ B}
state_machine_un15_clk_000_ne_i_n{ D}: SM_AMIGA_7_{ F}
CIIN_0{ C}: CIIN{ E}
-----------------------------------------------------------------------------
<Note> {.} : Indicates block location of signal

Set_Reset_Summary
~~~~~~~~~~~~~~~~~
Block A
block level set pt : !RST
block level reset pt :
Equations :
| | |Block|Block| Signal
| Reg |Mode |Set |Reset| Name
+-----+-----+-----+-----+------------------------
| * | S | BS | BR | DS_030
| | | | | AVEC
| * | S | BS | BR | inst_UDS_000_INT
| * | S | BS | BR | inst_LDS_000_INT
| * | S | BS | BR | RN_DS_030
| * | S | BR | BR | CLK_000_N_SYNC_2_
| * | S | BR | BR | CLK_000_P_SYNC_8_
| * | S | BR | BR | CLK_000_P_SYNC_4_
| | | | | A_19_
| | | | | A_16_
| | | | | A_18_
| | | | | A_21_
| | | | | A_20_
| | | | | FPU_SENSE
Block B
block level set pt : !RST
block level reset pt :
Equations :
| | |Block|Block| Signal
| Reg |Mode |Set |Reset| Name
+-----+-----+-----+-----+------------------------
| * | S | BS | BR | IPL_030_2_
| * | S | BS | BR | IPL_030_0_
| * | S | BS | BR | IPL_030_1_
| | | | | CLK_EXP
| * | S | BR | BS | RESET
| * | S | BR | BR | inst_CLK_000_NE
| * | S | BR | BR | CLK_OUT_PRE_Dreg
| * | S | BR | BR | inst_CLK_000_NE_D0
| * | S | BS | BR | RN_IPL_030_0_
| * | S | BS | BR | RN_IPL_030_1_
| * | S | BS | BR | RN_IPL_030_2_
| | | | | CIIN_0
| * | S | BR | BR | CLK_000_N_SYNC_4_
| * | S | BR | BR | CLK_000_P_SYNC_7_
| * | S | BR | BR | CLK_000_P_SYNC_5_
| * | S | BR | BR | inst_CLK_OUT_PRE
| | | | | A_29_
| | | | | A_30_
| | | | | A_31_
Block C
block level set pt :
block level reset pt : !RST
Equations :
| | |Block|Block| Signal
| Reg |Mode |Set |Reset| Name
+-----+-----+-----+-----+------------------------
| | | | | AMIGA_BUS_ENABLE_LOW
| * | S | BS | BS | cpu_est_2_
| * | S | BS | BR | SM_AMIGA_3_
| * | S | BS | BR | SM_AMIGA_2_
| * | S | BS | BR | inst_DS_000_ENABLE
| * | S | BR | BS | inst_VPA_D
| | | | | state_machine_un15_clk_000_ne_i_n
| * | S | BS | BS | CLK_000_N_SYNC_3_
| * | S | BS | BS | CLK_000_N_SYNC_1_
| * | S | BS | BS | CLK_000_P_SYNC_3_
| * | S | BS | BS | CLK_000_P_SYNC_1_
| * | S | BR | BS | inst_DS_030_D0
| | | | | A_24_
| | | | | A_25_
| | | | | A_26_
| | | | | A_27_
| | | | | A_28_
| | | | | BG_030
Block D
block level set pt : !RST
block level reset pt :
Equations :
| | |Block|Block| Signal
| Reg |Mode |Set |Reset| Name
+-----+-----+-----+-----+------------------------
| | | | | UDS_000
| | | | | LDS_000
| | | | | DTACK
| * | S | BS | BR | AMIGA_ADDR_ENABLE
| * | S | BS | BR | VMA
| * | S | BS | BR | BG_000
| | | | | AMIGA_BUS_ENABLE_HIGH
| * | S | BR | BR | cpu_est_1_
| * | S | BR | BR | cpu_est_0_
| * | S | BS | BR | RN_VMA
| * | S | BR | BR | inst_CLK_000_D1
| * | S | BS | BR | RN_AMIGA_ADDR_ENABLE
| * | S | BS | BR | RN_BG_000
| * | S | BR | BR | CLK_000_P_SYNC_0_
| * | S | BR | BR | CLK_000_N_SYNC_11_
| | | | | BGACK_000
Block E
block level set pt : !RST
block level reset pt :
Equations :
| | |Block|Block| Signal
| Reg |Mode |Set |Reset| Name
+-----+-----+-----+-----+------------------------
| * | S | BS | BR | AS_000
| | | | | BERR
| | | | | AMIGA_BUS_DATA_DIR
| | | | | CIIN
| * | S | BS | BR | inst_AS_030_D0
| * | S | BS | BR | RN_AS_000
| | | | | un14_ciin_0
| * | S | BS | BR | inst_BGACK_030_INT_D
Block F
block level set pt : !RST
block level reset pt :
Equations :
| | |Block|Block| Signal
| Reg |Mode |Set |Reset| Name
+-----+-----+-----+-----+------------------------
| * | S | BR | BS | SM_AMIGA_6_
| * | S | BS | BR | SM_AMIGA_7_
| * | S | BS | BR | inst_AS_030_000_SYNC
| * | S | BR | BS | SM_AMIGA_1_
| * | S | BR | BS | SM_AMIGA_0_
| * | S | BR | BS | SM_AMIGA_4_
| * | S | BR | BR | inst_CLK_000_D0
| * | S | BR | BS | SM_AMIGA_5_
| * | S | BR | BR | CLK_000_N_SYNC_0_
| * | S | BR | BR | CLK_000_P_SYNC_9_
| | | | | A_17_
| | | | | FC_1_
| | | | | FC_0_
| | | | | IPL_1_
Block G
block level set pt : !RST
block level reset pt :
Equations :
| | |Block|Block| Signal
| Reg |Mode |Set |Reset| Name
+-----+-----+-----+-----+------------------------
| * | S | BS | BR | RW
| * | S | BS | BR | SIZE_0_
| * | S | BS | BR | A0
| * | S | BR | BR | E
| | | | | CLK_DIV_OUT
| * | S | BR | BR | inst_CLK_000_PE
| * | S | BR | BR | RN_E
| * | S | BR | BS | inst_CLK_030_H
| * | S | BR | BR | inst_CLK_OUT_PRE_50
| * | S | BS | BR | RN_RW
| * | S | BR | BR | CLK_000_N_SYNC_8_
| * | S | BR | BR | CLK_000_N_SYNC_7_
| * | S | BR | BR | CLK_000_N_SYNC_6_
| * | S | BR | BR | CLK_000_P_SYNC_6_
| * | S | BS | BR | inst_DTACK_D0
| | | | | IPL_2_
| | | | | IPL_0_
Block H
block level set pt : !RST
block level reset pt :
Equations :
| | |Block|Block| Signal
| Reg |Mode |Set |Reset| Name
+-----+-----+-----+-----+------------------------
| * | S | BS | BR | RW_000
| * | S | BS | BR | AS_030
| * | S | BS | BR | DSACK1
| * | S | BS | BR | SIZE_1_
| * | S | BS | BR | BGACK_030
| | | | | FPU_CS
| * | S | BS | BR | RN_BGACK_030
| * | S | BS | BR | RN_AS_030
| * | S | BS | BR | RN_DSACK1
| * | S | BS | BR | RN_RW_000
| * | S | BR | BR | CLK_000_N_SYNC_10_
| * | S | BR | BR | CLK_000_N_SYNC_9_
| * | S | BR | BR | CLK_000_N_SYNC_5_
| * | S | BR | BR | CLK_000_P_SYNC_2_
| | | | | A_23_
| | | | | A_22_
<Note> (S) means the macrocell is configured in synchronous mode
i.e. it uses the block-level set and reset pt.
(A) means the macrocell is configured in asynchronous mode
i.e. it can have its independant set or reset pt.
(BS) means the block-level set pt is selected.
(BR) means the block-level reset pt is selected.

BLOCK_A_LOGIC_ARRAY_FANIN
~~~~~~~~~~~~~~~~~~~~~~~~~
CSM Signal Source CSM Signal Source
------------------------------------ ------------------------------------
mx A0 RST pin 86 mx A17 ... ...
mx A1 ... ... mx A18 A0 pin 69
mx A2CLK_000_P_SYNC_7_ mcell B10 mx A19 ... ...
mx A3inst_UDS_000_INT mcell A8 mx A20 RN_BGACK_030 mcell H4
mx A4 CLK_030 pin 64 mx A21 RW_000 pin 80
mx A5 nEXP_SPACE pin 14 mx A22CLK_000_N_SYNC_1_ mcell C2
mx A6 SIZE_1_ pin 79 mx A23 ... ...
mx A7 inst_DS_030_D0 mcell C14 mx A24inst_LDS_000_INT mcell A12
mx A8 UDS_000 pin 32 mx A25 SM_AMIGA_6_ mcell F0
mx A9CLK_000_P_SYNC_3_ mcell C6 mx A26 ... ...
mx A10 inst_CLK_030_H mcell G9 mx A27 LDS_000 pin 31
mx A11 ... ... mx A28 ... ...
mx A12 ... ... mx A29 ... ...
mx A13 RN_AS_030 mcell H8 mx A30 ... ...
mx A14 SIZE_0_ pin 70 mx A31 ... ...
mx A15 RN_DS_030 mcell A0 mx A32 ... ...
mx A16 AS_000 pin 42
----------------------------------------------------------------------------
BLOCK_B_LOGIC_ARRAY_FANIN
~~~~~~~~~~~~~~~~~~~~~~~~~
CSM Signal Source CSM Signal Source
------------------------------------ ------------------------------------
mx B0 RST pin 86 mx B17 A_26_ pin 17
mx B1 A_31_ pin 4 mx B18 A_23_ pin 85
mx B2CLK_000_P_SYNC_4_ mcell A9 mx B19 A_30_ pin 5
mx B3 un14_ciin_0 mcell E5 mx B20 A_22_ pin 84
mx B4 IPL_2_ pin 68 mx B21 IPL_1_ pin 56
mx B5inst_CLK_OUT_PRE mcell B3 mx B22 A_25_ pin 18
mx B6CLK_OUT_PRE_Dreg mcell B9 mx B23 ... ...
mx B7 A_28_ pin 15 mx B24 ... ...
mx B8 inst_AS_030_D0 mcell E8 mx B25 RN_IPL_030_0_ mcell B8
mx B9inst_CLK_OUT_PRE_50 mcell G13 mx B26CLK_000_P_SYNC_6_ mcell G14
mx B10CLK_000_N_SYNC_11_ mcell D14 mx B27 RN_IPL_030_2_ mcell B4
mx B11 A_27_ pin 16 mx B28 inst_CLK_000_NE mcell B5
mx B12 RN_IPL_030_1_ mcell B12 mx B29 A_20_ pin 93
mx B13 A_29_ pin 6 mx B30CLK_000_N_SYNC_3_ mcell C13
mx B14 A_24_ pin 19 mx B31 ... ...
mx B15 A_21_ pin 94 mx B32 ... ...
mx B16 IPL_0_ pin 67
----------------------------------------------------------------------------
BLOCK_C_LOGIC_ARRAY_FANIN
~~~~~~~~~~~~~~~~~~~~~~~~~
CSM Signal Source CSM Signal Source
------------------------------------ ------------------------------------
mx C0 RST pin 86 mx C17 RN_VMA mcell D1
mx C1 BERR pin 41 mx C18 DS_030 pin 98
mx C2 RN_E mcell G4 mx C19 ... ...
mx C3 inst_CLK_000_PE mcell G5 mx C20CLK_000_P_SYNC_0_ mcell D10
mx C4 SM_AMIGA_2_ mcell C12 mx C21CLK_000_P_SYNC_2_ mcell H6
mx C5 inst_DTACK_D0 mcell G3 mx C22inst_DS_000_ENABLE mcell C1
mx C6 cpu_est_2_ mcell C4 mx C23 ... ...
mx C7 SM_AMIGA_3_ mcell C8 mx C24 ... ...
mx C8 inst_AS_030_D0 mcell E8 mx C25 cpu_est_1_ mcell D9
mx C9CLK_000_N_SYNC_0_ mcell F2 mx C26 ... ...
mx C10inst_CLK_000_NE_D0 mcell B13 mx C27 ... ...
mx C11 RW pin 71 mx C28 inst_CLK_000_NE mcell B5
mx C12CLK_000_N_SYNC_2_ mcell A1 mx C29 ... ...
mx C13 VPA pin 36 mx C30 ... ...
mx C14 SM_AMIGA_4_ mcell F5 mx C31 SM_AMIGA_6_ mcell F0
mx C15 inst_VPA_D mcell C5 mx C32 ... ...
mx C16 cpu_est_0_ mcell D2
----------------------------------------------------------------------------
BLOCK_D_LOGIC_ARRAY_FANIN
~~~~~~~~~~~~~~~~~~~~~~~~~
CSM Signal Source CSM Signal Source
------------------------------------ ------------------------------------
mx D0 RST pin 86 mx D17 RN_VMA mcell D1
mx D1 inst_CLK_000_D0 mcell F9 mx D18inst_UDS_000_INT mcell A8
mx D2inst_BGACK_030_INT_D mcell E9 mx D19 ... ...
mx D3 cpu_est_0_ mcell D2 mx D20inst_AS_030_000_SYNC mcell F8
mx D4 BG_030 pin 21 mx D21RN_AMIGA_ADDR_ENABLE mcell D4
mx D5CLK_000_N_SYNC_10_ mcell H9 mx D22inst_DS_000_ENABLE mcell C1
mx D6 cpu_est_2_ mcell C4 mx D23 RN_BGACK_030 mcell H4
mx D7 inst_VPA_D mcell C5 mx D24 ... ...
mx D8 inst_AS_030_D0 mcell E8 mx D25 ... ...
mx D9inst_LDS_000_INT mcell A12 mx D26 ... ...
mx D10 DSACK1 pin 81 mx D27 ... ...
mx D11 RN_E mcell G4 mx D28inst_CLK_000_NE_D0 mcell B13
mx D12 cpu_est_1_ mcell D9 mx D29 RN_BG_000 mcell D13
mx D13 RN_AS_030 mcell H8 mx D30 ... ...
mx D14 SM_AMIGA_7_ mcell F4 mx D31 inst_CLK_000_NE mcell B5
mx D15 nEXP_SPACE pin 14 mx D32 inst_CLK_000_PE mcell G5
mx D16 inst_CLK_000_D1 mcell D6
----------------------------------------------------------------------------
BLOCK_E_LOGIC_ARRAY_FANIN
~~~~~~~~~~~~~~~~~~~~~~~~~
CSM Signal Source CSM Signal Source
------------------------------------ ------------------------------------
mx E0 RST pin 86 mx E17 A_20_ pin 93
mx E1 BERR pin 41 mx E18 A_23_ pin 85
mx E2 AS_000 pin 42 mx E19 FPU_SENSE pin 91
mx E3 A_25_ pin 18 mx E20 A_22_ pin 84
mx E4 BGACK_000 pin 28 mx E21 nEXP_SPACE pin 14
mx E5 SM_AMIGA_6_ mcell F0 mx E22 inst_CLK_000_PE mcell G5
mx E6 A_16_ pin 96 mx E23 RN_BGACK_030 mcell H4
mx E7 A_28_ pin 15 mx E24 FC_0_ pin 57
mx E8 A_17_ pin 59 mx E25 A_31_ pin 4
mx E9 A_30_ pin 5 mx E26 A_26_ pin 17
mx E10 CIIN_0 mcell B2 mx E27 A_19_ pin 97
mx E11 A_27_ pin 16 mx E28 RW_000 pin 80
mx E12 FC_1_ pin 58 mx E29 RN_AS_000 mcell E4
mx E13 A_29_ pin 6 mx E30 ... ...
mx E14 A_24_ pin 19 mx E31 A_18_ pin 95
mx E15 A_21_ pin 94 mx E32 AS_030 pin 82
mx E16 inst_AS_030_D0 mcell E8
----------------------------------------------------------------------------
BLOCK_F_LOGIC_ARRAY_FANIN
~~~~~~~~~~~~~~~~~~~~~~~~~
CSM Signal Source CSM Signal Source
------------------------------------ ------------------------------------
mx F0 RST pin 86 mx F17 FC_0_ pin 57
mx F1 BERR pin 41 mx F18 BGACK_000 pin 28
mx F2CLK_000_P_SYNC_8_ mcell A5 mx F19 ... ...
mx F3 CLK_000 pin 11 mx F20inst_AS_030_000_SYNC mcell F8
mx F4 A_18_ pin 95 mx F21 ... ...
mx F5 nEXP_SPACE pin 14 mx F22 inst_CLK_000_PE mcell G5
mx F6 A_19_ pin 97 mx F23 SM_AMIGA_2_ mcell C12
mx F7 SM_AMIGA_3_ mcell C8 mx F24 ... ...
mx F8 A_17_ pin 59 mx F25 SM_AMIGA_6_ mcell F0
mx F9 SM_AMIGA_4_ mcell F5 mx F26 ... ...
mx F10 SM_AMIGA_0_ mcell F1 mx F27 ... ...
mx F11 A_16_ pin 96 mx F28 inst_CLK_000_NE mcell B5
mx F12 FC_1_ pin 58 mx F29 ... ...
mx F13state_machine_un15_clk_000_ne_i_n mcell C9 mx F30 inst_AS_030_D0 mcell E8
mx F14 SM_AMIGA_7_ mcell F4 mx F31 SM_AMIGA_1_ mcell F12
mx F15 SM_AMIGA_5_ mcell F13 mx F32 inst_CLK_000_D0 mcell F9
mx F16 inst_CLK_000_D1 mcell D6
----------------------------------------------------------------------------
BLOCK_G_LOGIC_ARRAY_FANIN
~~~~~~~~~~~~~~~~~~~~~~~~~
CSM Signal Source CSM Signal Source
------------------------------------ ------------------------------------
mx G0 RN_BGACK_030 mcell H4 mx G17 RN_RW mcell G0
mx G1CLK_000_P_SYNC_9_ mcell F6 mx G18 ... ...
mx G2 RN_E mcell G4 mx G19 ... ...
mx G3 cpu_est_0_ mcell D2 mx G20CLK_000_P_SYNC_5_ mcell B14
mx G4 CLK_030 pin 64 mx G21 RST pin 86
mx G5 nEXP_SPACE pin 14 mx G22CLK_000_N_SYNC_6_ mcell G10
mx G6CLK_OUT_PRE_Dreg mcell B9 mx G23CLK_000_N_SYNC_7_ mcell G6
mx G7 cpu_est_1_ mcell D9 mx G24 LDS_000 pin 31
mx G8 UDS_000 pin 32 mx G25inst_CLK_OUT_PRE_50 mcell G13
mx G9 DTACK pin 30 mx G26 ... ...
mx G10inst_CLK_000_NE_D0 mcell B13 mx G27 ... ...
mx G11 ... ... mx G28 RW_000 pin 80
mx G12 inst_CLK_030_H mcell G9 mx G29 cpu_est_2_ mcell C4
mx G13 RN_AS_030 mcell H8 mx G30 ... ...
mx G14CLK_000_N_SYNC_5_ mcell H2 mx G31 ... ...
mx G15 ... ... mx G32 ... ...
mx G16 AS_000 pin 42
----------------------------------------------------------------------------
BLOCK_H_LOGIC_ARRAY_FANIN
~~~~~~~~~~~~~~~~~~~~~~~~~
CSM Signal Source CSM Signal Source
------------------------------------ ------------------------------------
mx H0 RN_BGACK_030 mcell H4 mx H17 SM_AMIGA_1_ mcell F12
mx H1 BERR pin 41 mx H18 BGACK_000 pin 28
mx H2CLK_000_N_SYNC_4_ mcell B6 mx H19 FPU_SENSE pin 91
mx H3 RN_AS_030 mcell H8 mx H20 FC_1_ pin 58
mx H4 A_18_ pin 95 mx H21 RST pin 86
mx H5 SM_AMIGA_6_ mcell F0 mx H22 inst_CLK_000_PE mcell G5
mx H6 FC_0_ pin 57 mx H23 RN_RW_000 mcell H0
mx H7CLK_000_N_SYNC_9_ mcell H13 mx H24 LDS_000 pin 31
mx H8CLK_000_P_SYNC_1_ mcell C10 mx H25 RW pin 71
mx H9 AS_030 pin 82 mx H26 AS_000 pin 42
mx H10 SM_AMIGA_0_ mcell F1 mx H27 A_19_ pin 97
mx H11 A_16_ pin 96 mx H28 CLK_030 pin 64
mx H12 UDS_000 pin 32 mx H29 RN_DSACK1 mcell H12
mx H13 A_17_ pin 59 mx H30 inst_AS_030_D0 mcell E8
mx H14 SM_AMIGA_7_ mcell F4 mx H31CLK_000_N_SYNC_8_ mcell G2
mx H15 nEXP_SPACE pin 14 mx H32 ... ...
mx H16CLK_OUT_PRE_Dreg mcell B9
----------------------------------------------------------------------------
<Note> CSM indicates the mux inputs from the Central Switch Matrix.
<Note> Source indicates where the signal comes from (pin or macrocell).

PostFit_Equations
~~~~~~~~~~~~~~~~~
P-Terms Fan-in Fan-out Type Name (attributes)
--------- ------ ------- ---- -----------------
1 2 1 Pin UDS_000-
1 1 1 Pin UDS_000.OE
1 2 1 Pin LDS_000-
1 1 1 Pin LDS_000.OE
0 0 1 Pin BERR
1 9 1 Pin BERR.OE
1 1 1 Pin CLK_DIV_OUT
1 1 1 Pin CLK_EXP
1 9 1 Pin FPU_CS-
1 1 1 Pin DTACK
1 3 1 Pin DTACK.OE
1 0 1 Pin AVEC
3 8 1 Pin AMIGA_ADDR_ENABLE.D-
1 1 1 Pin AMIGA_ADDR_ENABLE.AP
1 1 1 Pin AMIGA_ADDR_ENABLE.C
2 4 1 Pin AMIGA_BUS_DATA_DIR
1 0 1 Pin AMIGA_BUS_ENABLE_LOW
1 1 1 Pin AMIGA_BUS_ENABLE_HIGH
1 13 1 Pin CIIN
1 1 1 Pin CIIN.OE
1 3 1 Pin SIZE_1_.OE
2 4 1 Pin SIZE_1_.D-
1 1 1 Pin SIZE_1_.AP
1 1 1 Pin SIZE_1_.C
2 3 1 Pin IPL_030_2_.D
1 1 1 Pin IPL_030_2_.AP
1 1 1 Pin IPL_030_2_.C
1 3 1 Pin AS_030.OE
4 6 1 Pin AS_030.D
1 1 1 Pin AS_030.AP
1 1 1 Pin AS_030.C
1 1 1 Pin AS_000.OE
2 5 1 Pin AS_000.D-
1 1 1 Pin AS_000.AP
1 1 1 Pin AS_000.C
1 1 1 Pin RW_000.OE
3 6 1 Pin RW_000.D-
1 1 1 Pin RW_000.AP
1 1 1 Pin RW_000.C
1 3 1 Pin DS_030.OE
7 9 1 Pin DS_030.D
1 1 1 Pin DS_030.AP
1 1 1 Pin DS_030.C
2 3 1 Pin IPL_030_1_.D
1 1 1 Pin IPL_030_1_.AP
1 1 1 Pin IPL_030_1_.C
2 3 1 Pin IPL_030_0_.D
1 1 1 Pin IPL_030_0_.AP
1 1 1 Pin IPL_030_0_.C
1 3 1 Pin A0.OE
1 4 1 Pin A0.D
1 1 1 Pin A0.AP
1 1 1 Pin A0.C
2 5 1 Pin BG_000.D-
1 1 1 Pin BG_000.AP
1 1 1 Pin BG_000.C
2 3 1 Pin BGACK_030.D
1 1 1 Pin BGACK_030.AP
1 1 1 Pin BGACK_030.C
1 1 1 Pin DSACK1.OE
4 8 1 Pin DSACK1.D-
1 1 1 Pin DSACK1.AP
1 1 1 Pin DSACK1.C
5 5 1 Pin E.D
1 1 1 Pin E.C
1 1 1 Pin VMA.AP
2 8 1 Pin VMA.T
1 1 1 Pin VMA.C
1 1 1 Pin RESET.AR
1 0 1 Pin RESET.D
1 1 1 Pin RESET.C
1 1 1 Pin RW.OE
4 7 1 Pin RW.D-
1 1 1 Pin RW.AP
1 1 1 Pin RW.C
1 3 1 Pin SIZE_0_.OE
1 4 1 Pin SIZE_0_.D-
1 1 1 Pin SIZE_0_.AP
1 1 1 Pin SIZE_0_.C
2 2 1 Node cpu_est_0_.D
1 1 1 Node cpu_est_0_.C
5 5 1 Node cpu_est_1_.D-
1 1 1 Node cpu_est_1_.C
1 1 1 Node inst_AS_030_D0.D
1 1 1 Node inst_AS_030_D0.AP
1 1 1 Node inst_AS_030_D0.C
1 1 1 Node inst_DS_030_D0.D
1 1 1 Node inst_DS_030_D0.AP
1 1 1 Node inst_DS_030_D0.C
6 12 1 Node inst_AS_030_000_SYNC.D
1 1 1 Node inst_AS_030_000_SYNC.AP
1 1 1 Node inst_AS_030_000_SYNC.C
1 1 1 Node inst_BGACK_030_INT_D.D
1 1 1 Node inst_BGACK_030_INT_D.AP
1 1 1 Node inst_BGACK_030_INT_D.C
1 1 1 Node inst_VPA_D.D
1 1 1 Node inst_VPA_D.AP
1 1 1 Node inst_VPA_D.C
1 1 1 Node inst_DTACK_D0.D
1 1 1 Node inst_DTACK_D0.AP
1 1 1 Node inst_DTACK_D0.C
1 1 1 Node inst_CLK_OUT_PRE_50.D
1 1 1 Node inst_CLK_OUT_PRE_50.C
1 1 1 Node inst_CLK_000_D1.D
1 1 1 Node inst_CLK_000_D1.C
1 1 1 Node inst_CLK_000_D0.D
1 1 1 Node inst_CLK_000_D0.C
13 15 1 Node SM_AMIGA_7_.D
1 1 1 Node SM_AMIGA_7_.AP
1 1 1 Node SM_AMIGA_7_.C
1 1 1 Node inst_CLK_OUT_PRE.D
1 1 1 Node inst_CLK_OUT_PRE.C
1 1 1 Node inst_CLK_000_PE.D
1 1 1 Node inst_CLK_000_PE.C
1 1 1 Node CLK_000_P_SYNC_9_.D
1 1 1 Node CLK_000_P_SYNC_9_.C
1 1 1 Node inst_CLK_000_NE.D
1 1 1 Node inst_CLK_000_NE.C
1 1 1 Node CLK_000_N_SYNC_11_.D
1 1 1 Node CLK_000_N_SYNC_11_.C
4 5 1 Node cpu_est_2_.D
1 1 1 Node cpu_est_2_.C
1 1 1 Node inst_CLK_000_NE_D0.D
1 1 1 Node inst_CLK_000_NE_D0.C
1 1 1 Node SM_AMIGA_6_.AR
2 8 1 Node SM_AMIGA_6_.D
1 1 1 Node SM_AMIGA_6_.C
1 1 1 Node SM_AMIGA_4_.AR
2 5 1 Node SM_AMIGA_4_.D
1 1 1 Node SM_AMIGA_4_.C
1 1 1 Node SM_AMIGA_0_.AR
2 5 1 Node SM_AMIGA_0_.D
1 1 1 Node SM_AMIGA_0_.C
1 1 1 Node inst_CLK_030_H.AR
4 7 1 Node inst_CLK_030_H.D
1 1 1 Node inst_CLK_030_H.C
3 6 1 Node inst_LDS_000_INT.D
1 1 1 Node inst_LDS_000_INT.AP
1 1 1 Node inst_LDS_000_INT.C
1 1 1 Node inst_DS_000_ENABLE.AR
3 7 1 Node inst_DS_000_ENABLE.D
1 1 1 Node inst_DS_000_ENABLE.C
3 4 1 Node inst_UDS_000_INT.D
1 1 1 Node inst_UDS_000_INT.AP
1 1 1 Node inst_UDS_000_INT.C
1 2 1 Node CLK_000_P_SYNC_0_.D
1 1 1 Node CLK_000_P_SYNC_0_.C
1 1 1 Node CLK_000_P_SYNC_1_.D
1 1 1 Node CLK_000_P_SYNC_1_.C
1 1 1 Node CLK_000_P_SYNC_2_.D
1 1 1 Node CLK_000_P_SYNC_2_.C
1 1 1 Node CLK_000_P_SYNC_3_.D
1 1 1 Node CLK_000_P_SYNC_3_.C
1 1 1 Node CLK_000_P_SYNC_4_.D
1 1 1 Node CLK_000_P_SYNC_4_.C
1 1 1 Node CLK_000_P_SYNC_5_.D
1 1 1 Node CLK_000_P_SYNC_5_.C
1 1 1 Node CLK_000_P_SYNC_6_.D
1 1 1 Node CLK_000_P_SYNC_6_.C
1 1 1 Node CLK_000_P_SYNC_7_.D
1 1 1 Node CLK_000_P_SYNC_7_.C
1 1 1 Node CLK_000_P_SYNC_8_.D
1 1 1 Node CLK_000_P_SYNC_8_.C
1 2 1 Node CLK_000_N_SYNC_0_.D
1 1 1 Node CLK_000_N_SYNC_0_.C
1 1 1 Node CLK_000_N_SYNC_1_.D
1 1 1 Node CLK_000_N_SYNC_1_.C
1 1 1 Node CLK_000_N_SYNC_2_.D
1 1 1 Node CLK_000_N_SYNC_2_.C
1 1 1 Node CLK_000_N_SYNC_3_.D
1 1 1 Node CLK_000_N_SYNC_3_.C
1 1 1 Node CLK_000_N_SYNC_4_.D
1 1 1 Node CLK_000_N_SYNC_4_.C
1 1 1 Node CLK_000_N_SYNC_5_.D
1 1 1 Node CLK_000_N_SYNC_5_.C
1 1 1 Node CLK_000_N_SYNC_6_.D
1 1 1 Node CLK_000_N_SYNC_6_.C
1 1 1 Node CLK_000_N_SYNC_7_.D
1 1 1 Node CLK_000_N_SYNC_7_.C
1 1 1 Node CLK_000_N_SYNC_8_.D
1 1 1 Node CLK_000_N_SYNC_8_.C
1 1 1 Node CLK_000_N_SYNC_9_.D
1 1 1 Node CLK_000_N_SYNC_9_.C
1 1 1 Node CLK_000_N_SYNC_10_.D
1 1 1 Node CLK_000_N_SYNC_10_.C
1 1 1 Node CLK_OUT_PRE_Dreg.D
1 1 1 Node CLK_OUT_PRE_Dreg.C
1 1 1 Node SM_AMIGA_1_.AR
2 5 1 Node SM_AMIGA_1_.D
1 1 1 Node SM_AMIGA_1_.C
1 1 1 Node SM_AMIGA_5_.AR
2 5 1 Node SM_AMIGA_5_.D
1 1 1 Node SM_AMIGA_5_.C
6 12 1 NodeX1 SM_AMIGA_3_.D.X1
1 2 1 NodeX2 SM_AMIGA_3_.D.X2
1 1 1 Node SM_AMIGA_3_.AR
1 1 1 Node SM_AMIGA_3_.C
1 1 1 Node SM_AMIGA_2_.AR
3 12 1 Node SM_AMIGA_2_.D
1 1 1 Node SM_AMIGA_2_.C
2 10 1 Node un14_ciin_0
2 7 1 Node state_machine_un15_clk_000_ne_i_n-
2 14 1 Node CIIN_0
=========
283 P-Term Total: 283
Total Pins: 60
Total Nodes: 54
Average P-Term/Output: 1
Equations:
!UDS_000 = (inst_DS_000_ENABLE.Q & !inst_UDS_000_INT.Q);
UDS_000.OE = (BGACK_030.Q);
!LDS_000 = (!inst_LDS_000_INT.Q & inst_DS_000_ENABLE.Q);
LDS_000.OE = (BGACK_030.Q);
BERR = (0);
BERR.OE = (FC_1_ & BGACK_000 & FPU_SENSE & !A_19_ & !A_18_ & A_17_ & !A_16_ & FC_0_ & !AS_030.PIN);
CLK_DIV_OUT = (CLK_OUT_PRE_Dreg.Q);
CLK_EXP = (CLK_OUT_PRE_Dreg.Q);
!FPU_CS = (FC_1_ & BGACK_000 & !FPU_SENSE & !A_19_ & !A_18_ & A_17_ & !A_16_ & FC_0_ & !AS_030.PIN);
DTACK = (DSACK1.PIN);
DTACK.OE = (!nEXP_SPACE & !BGACK_030.Q & !AS_030.Q);
AVEC = (1);
!AMIGA_ADDR_ENABLE.D = (!BGACK_030.Q
# inst_BGACK_030_INT_D.Q & !SM_AMIGA_7_.Q & !AMIGA_ADDR_ENABLE
# nEXP_SPACE & !inst_AS_030_000_SYNC.Q & inst_BGACK_030_INT_D.Q & inst_CLK_000_D1.Q & !inst_CLK_000_D0.Q & SM_AMIGA_7_.Q);
AMIGA_ADDR_ENABLE.AP = (!RST);
AMIGA_ADDR_ENABLE.C = (CLK_OSZI);
AMIGA_BUS_DATA_DIR = (BGACK_030.Q & !RW_000.PIN
# !nEXP_SPACE & !BGACK_030.Q & !AS_000.PIN & RW_000.PIN);
AMIGA_BUS_ENABLE_LOW = (1);
AMIGA_BUS_ENABLE_HIGH = (AMIGA_ADDR_ENABLE);
CIIN = (!A_31_ & !A_30_ & !A_29_ & !A_28_ & !A_27_ & !A_26_ & !A_25_ & !A_24_ & A_23_ & A_22_ & A_21_ & A_20_ & !inst_AS_030_D0.Q);
CIIN.OE = (CIIN_0);
SIZE_1_.OE = (!nEXP_SPACE & !BGACK_030.Q & !AS_030.Q);
!SIZE_1_.D = (!BGACK_030.Q & !AS_000.PIN & !UDS_000.PIN & LDS_000.PIN
# !BGACK_030.Q & !AS_000.PIN & UDS_000.PIN & !LDS_000.PIN);
SIZE_1_.AP = (!RST);
SIZE_1_.C = (CLK_OSZI);
IPL_030_2_.D = (IPL_2_ & inst_CLK_000_NE.Q
# !inst_CLK_000_NE.Q & IPL_030_2_.Q);
IPL_030_2_.AP = (!RST);
IPL_030_2_.C = (CLK_OSZI);
AS_030.OE = (!nEXP_SPACE & !BGACK_030.Q & !AS_030.Q);
AS_030.D = (BGACK_030.Q
# AS_000.PIN
# !CLK_030 & AS_030.Q
# UDS_000.PIN & LDS_000.PIN);
AS_030.AP = (!RST);
AS_030.C = (CLK_OSZI);
AS_000.OE = (BGACK_030.Q);
!AS_000.D = (inst_CLK_000_PE.Q & SM_AMIGA_6_.Q
# !inst_AS_030_D0.Q & !AS_000.Q & BERR.PIN);
AS_000.AP = (!RST);
AS_000.C = (CLK_OSZI);
RW_000.OE = (BGACK_030.Q);
!RW_000.D = (!SM_AMIGA_7_.Q & !inst_CLK_000_PE.Q & !RW_000.Q
# !SM_AMIGA_7_.Q & !SM_AMIGA_6_.Q & !SM_AMIGA_0_.Q & !RW_000.Q
# !SM_AMIGA_7_.Q & inst_CLK_000_PE.Q & SM_AMIGA_6_.Q & !SM_AMIGA_0_.Q & !RW.PIN);
RW_000.AP = (!RST);
RW_000.C = (CLK_OSZI);
DS_030.OE = (!nEXP_SPACE & !BGACK_030.Q & !AS_030.Q);
DS_030.D = (BGACK_030.Q
# AS_000.PIN
# AS_030.Q & RW_000.PIN
# UDS_000.PIN & LDS_000.PIN
# CLK_030 & AS_030.Q & inst_CLK_030_H.Q
# !CLK_030 & DS_030.Q & !RW_000.PIN
# !inst_CLK_030_H.Q & DS_030.Q & !RW_000.PIN);
DS_030.AP = (!RST);
DS_030.C = (CLK_OSZI);
IPL_030_1_.D = (IPL_1_ & inst_CLK_000_NE.Q
# !inst_CLK_000_NE.Q & IPL_030_1_.Q);
IPL_030_1_.AP = (!RST);
IPL_030_1_.C = (CLK_OSZI);
IPL_030_0_.D = (IPL_0_ & inst_CLK_000_NE.Q
# !inst_CLK_000_NE.Q & IPL_030_0_.Q);
IPL_030_0_.AP = (!RST);
IPL_030_0_.C = (CLK_OSZI);
A0.OE = (!nEXP_SPACE & !BGACK_030.Q & !AS_030.Q);
A0.D = (!BGACK_030.Q & !AS_000.PIN & UDS_000.PIN & !LDS_000.PIN);
A0.AP = (!RST);
A0.C = (CLK_OSZI);
!BG_000.D = (!BG_030 & !BG_000.Q
# nEXP_SPACE & !BG_030 & inst_AS_030_D0.Q & inst_CLK_000_D0.Q);
BG_000.AP = (!RST);
BG_000.C = (CLK_OSZI);
BGACK_030.D = (BGACK_000 & BGACK_030.Q
# BGACK_000 & inst_CLK_000_PE.Q);
BGACK_030.AP = (!RST);
BGACK_030.C = (CLK_OSZI);
DSACK1.OE = (nEXP_SPACE);
!DSACK1.D = (CLK_000_N_SYNC_9_.Q & SM_AMIGA_1_.Q
# !CLK_030 & CLK_000_N_SYNC_8_.Q & SM_AMIGA_1_.Q
# CLK_000_N_SYNC_8_.Q & CLK_OUT_PRE_Dreg.Q & SM_AMIGA_1_.Q
# !inst_AS_030_D0.Q & !DSACK1.Q & BERR.PIN);
DSACK1.AP = (!RST);
DSACK1.C = (CLK_OSZI);
E.D = (E.Q & !cpu_est_0_.Q
# E.Q & !cpu_est_1_.Q
# E.Q & !inst_CLK_000_NE_D0.Q
# cpu_est_0_.Q & cpu_est_1_.Q & !cpu_est_2_.Q & inst_CLK_000_NE_D0.Q
# !cpu_est_0_.Q & !cpu_est_1_.Q & !cpu_est_2_.Q & inst_CLK_000_NE_D0.Q);
E.C = (CLK_OSZI);
VMA.AP = (!RST);
VMA.T = (!E.Q & !VMA.Q & !cpu_est_0_.Q & cpu_est_1_.Q & inst_CLK_000_PE.Q & cpu_est_2_.Q
# !E.Q & VMA.Q & cpu_est_0_.Q & !cpu_est_1_.Q & !inst_VPA_D.Q & inst_CLK_000_NE.Q & cpu_est_2_.Q);
VMA.C = (CLK_OSZI);
RESET.AR = (!RST);
RESET.D = (1);
RESET.C = (CLK_OSZI);
RW.OE = (!BGACK_030.Q);
!RW.D = (!CLK_030 & !BGACK_030.Q & !RW.Q & !AS_000.PIN & !UDS_000.PIN
# CLK_030 & !BGACK_030.Q & !AS_000.PIN & !RW_000.PIN & !UDS_000.PIN
# !CLK_030 & !BGACK_030.Q & !RW.Q & !AS_000.PIN & !LDS_000.PIN
# CLK_030 & !BGACK_030.Q & !AS_000.PIN & !RW_000.PIN & !LDS_000.PIN);
RW.AP = (!RST);
RW.C = (CLK_OSZI);
SIZE_0_.OE = (!nEXP_SPACE & !BGACK_030.Q & !AS_030.Q);
!SIZE_0_.D = (!BGACK_030.Q & !AS_000.PIN & !UDS_000.PIN & !LDS_000.PIN);
SIZE_0_.AP = (!RST);
SIZE_0_.C = (CLK_OSZI);
cpu_est_0_.D = (!cpu_est_0_.Q & inst_CLK_000_NE_D0.Q
# cpu_est_0_.Q & !inst_CLK_000_NE_D0.Q);
cpu_est_0_.C = (CLK_OSZI);
!cpu_est_1_.D = (!cpu_est_1_.Q & !inst_CLK_000_NE_D0.Q
# E.Q & cpu_est_0_.Q & !cpu_est_1_.Q
# !E.Q & !cpu_est_0_.Q & !cpu_est_1_.Q & cpu_est_2_.Q
# E.Q & cpu_est_0_.Q & !cpu_est_2_.Q & inst_CLK_000_NE_D0.Q
# !E.Q & cpu_est_0_.Q & cpu_est_1_.Q & cpu_est_2_.Q & inst_CLK_000_NE_D0.Q);
cpu_est_1_.C = (CLK_OSZI);
inst_AS_030_D0.D = (AS_030.PIN);
inst_AS_030_D0.AP = (!RST);
inst_AS_030_D0.C = (CLK_OSZI);
inst_DS_030_D0.D = (DS_030.PIN);
inst_DS_030_D0.AP = (!RST);
inst_DS_030_D0.C = (CLK_OSZI);
inst_AS_030_000_SYNC.D = (inst_AS_030_D0.Q
# !BERR.PIN
# !nEXP_SPACE & inst_AS_030_000_SYNC.Q
# !BGACK_000 & inst_AS_030_000_SYNC.Q
# inst_AS_030_000_SYNC.Q & !SM_AMIGA_7_.Q
# FC_1_ & !A_19_ & !A_18_ & A_17_ & !A_16_ & FC_0_ & inst_AS_030_000_SYNC.Q);
inst_AS_030_000_SYNC.AP = (!RST);
inst_AS_030_000_SYNC.C = (CLK_OSZI);
inst_BGACK_030_INT_D.D = (BGACK_030.Q);
inst_BGACK_030_INT_D.AP = (!RST);
inst_BGACK_030_INT_D.C = (CLK_OSZI);
inst_VPA_D.D = (VPA);
inst_VPA_D.AP = (!RST);
inst_VPA_D.C = (CLK_OSZI);
inst_DTACK_D0.D = (DTACK.PIN);
inst_DTACK_D0.AP = (!RST);
inst_DTACK_D0.C = (CLK_OSZI);
inst_CLK_OUT_PRE_50.D = (!inst_CLK_OUT_PRE_50.Q);
inst_CLK_OUT_PRE_50.C = (CLK_OSZI);
inst_CLK_000_D1.D = (inst_CLK_000_D0.Q);
inst_CLK_000_D1.C = (CLK_OSZI);
inst_CLK_000_D0.D = (CLK_000);
inst_CLK_000_D0.C = (CLK_OSZI);
SM_AMIGA_7_.D = (inst_CLK_000_PE.Q & SM_AMIGA_0_.Q
# SM_AMIGA_0_.Q & !BERR.PIN
# !inst_CLK_000_PE.Q & SM_AMIGA_6_.Q & !BERR.PIN
# !inst_CLK_000_PE.Q & SM_AMIGA_4_.Q & !BERR.PIN
# !inst_CLK_000_NE.Q & SM_AMIGA_1_.Q & !BERR.PIN
# !inst_CLK_000_NE.Q & SM_AMIGA_5_.Q & !BERR.PIN
# !inst_CLK_000_NE.Q & SM_AMIGA_3_.Q & !BERR.PIN
# !inst_CLK_000_PE.Q & SM_AMIGA_2_.Q & !BERR.PIN
# SM_AMIGA_3_.Q & state_machine_un15_clk_000_ne_i_n & !BERR.PIN
# !nEXP_SPACE & !SM_AMIGA_6_.Q & !SM_AMIGA_4_.Q & !SM_AMIGA_0_.Q & !SM_AMIGA_1_.Q & !SM_AMIGA_5_.Q & !SM_AMIGA_3_.Q & !SM_AMIGA_2_.Q
# inst_AS_030_000_SYNC.Q & !SM_AMIGA_6_.Q & !SM_AMIGA_4_.Q & !SM_AMIGA_0_.Q & !SM_AMIGA_1_.Q & !SM_AMIGA_5_.Q & !SM_AMIGA_3_.Q & !SM_AMIGA_2_.Q
# !inst_CLK_000_D1.Q & !SM_AMIGA_6_.Q & !SM_AMIGA_4_.Q & !SM_AMIGA_0_.Q & !SM_AMIGA_1_.Q & !SM_AMIGA_5_.Q & !SM_AMIGA_3_.Q & !SM_AMIGA_2_.Q
# inst_CLK_000_D0.Q & !SM_AMIGA_6_.Q & !SM_AMIGA_4_.Q & !SM_AMIGA_0_.Q & !SM_AMIGA_1_.Q & !SM_AMIGA_5_.Q & !SM_AMIGA_3_.Q & !SM_AMIGA_2_.Q);
SM_AMIGA_7_.AP = (!RST);
SM_AMIGA_7_.C = (CLK_OSZI);
inst_CLK_OUT_PRE.D = (inst_CLK_OUT_PRE_50.Q);
inst_CLK_OUT_PRE.C = (CLK_OSZI);
inst_CLK_000_PE.D = (CLK_000_P_SYNC_9_.Q);
inst_CLK_000_PE.C = (CLK_OSZI);
CLK_000_P_SYNC_9_.D = (CLK_000_P_SYNC_8_.Q);
CLK_000_P_SYNC_9_.C = (CLK_OSZI);
inst_CLK_000_NE.D = (CLK_000_N_SYNC_11_.Q);
inst_CLK_000_NE.C = (CLK_OSZI);
CLK_000_N_SYNC_11_.D = (CLK_000_N_SYNC_10_.Q);
CLK_000_N_SYNC_11_.C = (CLK_OSZI);
cpu_est_2_.D = (cpu_est_1_.Q & cpu_est_2_.Q
# cpu_est_2_.Q & !inst_CLK_000_NE_D0.Q
# E.Q & cpu_est_0_.Q & inst_CLK_000_NE_D0.Q
# !cpu_est_0_.Q & !cpu_est_1_.Q & inst_CLK_000_NE_D0.Q);
cpu_est_2_.C = (CLK_OSZI);
inst_CLK_000_NE_D0.D = (inst_CLK_000_NE.Q);
inst_CLK_000_NE_D0.C = (CLK_OSZI);
SM_AMIGA_6_.AR = (!RST);
SM_AMIGA_6_.D = (!inst_CLK_000_PE.Q & SM_AMIGA_6_.Q & BERR.PIN
# nEXP_SPACE & !inst_AS_030_000_SYNC.Q & inst_CLK_000_D1.Q & !inst_CLK_000_D0.Q & SM_AMIGA_7_.Q);
SM_AMIGA_6_.C = (CLK_OSZI);
SM_AMIGA_4_.AR = (!RST);
SM_AMIGA_4_.D = (inst_CLK_000_NE.Q & SM_AMIGA_5_.Q
# !inst_CLK_000_PE.Q & SM_AMIGA_4_.Q & BERR.PIN);
SM_AMIGA_4_.C = (CLK_OSZI);
SM_AMIGA_0_.AR = (!RST);
SM_AMIGA_0_.D = (inst_CLK_000_NE.Q & !SM_AMIGA_0_.Q & SM_AMIGA_1_.Q
# !inst_CLK_000_PE.Q & SM_AMIGA_0_.Q & BERR.PIN);
SM_AMIGA_0_.C = (CLK_OSZI);
inst_CLK_030_H.AR = (!RST);
inst_CLK_030_H.D = (!BGACK_030.Q & inst_CLK_030_H.Q & !AS_000.PIN & !UDS_000.PIN
# !BGACK_030.Q & inst_CLK_030_H.Q & !AS_000.PIN & !LDS_000.PIN
# !CLK_030 & !BGACK_030.Q & !AS_030.Q & !AS_000.PIN & !UDS_000.PIN
# !CLK_030 & !BGACK_030.Q & !AS_030.Q & !AS_000.PIN & !LDS_000.PIN);
inst_CLK_030_H.C = (CLK_OSZI);
inst_LDS_000_INT.D = (inst_DS_030_D0.Q & inst_LDS_000_INT.Q
# !SM_AMIGA_6_.Q & inst_LDS_000_INT.Q
# !inst_DS_030_D0.Q & SM_AMIGA_6_.Q & SIZE_0_.PIN & !SIZE_1_.PIN & !A0.PIN);
inst_LDS_000_INT.AP = (!RST);
inst_LDS_000_INT.C = (CLK_OSZI);
inst_DS_000_ENABLE.AR = (!RST);
inst_DS_000_ENABLE.D = (inst_CLK_000_PE.Q & SM_AMIGA_4_.Q
# !inst_AS_030_D0.Q & inst_DS_000_ENABLE.Q & BERR.PIN
# inst_CLK_000_PE.Q & SM_AMIGA_6_.Q & RW.PIN);
inst_DS_000_ENABLE.C = (CLK_OSZI);
inst_UDS_000_INT.D = (inst_DS_030_D0.Q & inst_UDS_000_INT.Q
# !SM_AMIGA_6_.Q & inst_UDS_000_INT.Q
# !inst_DS_030_D0.Q & SM_AMIGA_6_.Q & A0.PIN);
inst_UDS_000_INT.AP = (!RST);
inst_UDS_000_INT.C = (CLK_OSZI);
CLK_000_P_SYNC_0_.D = (!inst_CLK_000_D1.Q & inst_CLK_000_D0.Q);
CLK_000_P_SYNC_0_.C = (CLK_OSZI);
CLK_000_P_SYNC_1_.D = (CLK_000_P_SYNC_0_.Q);
CLK_000_P_SYNC_1_.C = (CLK_OSZI);
CLK_000_P_SYNC_2_.D = (CLK_000_P_SYNC_1_.Q);
CLK_000_P_SYNC_2_.C = (CLK_OSZI);
CLK_000_P_SYNC_3_.D = (CLK_000_P_SYNC_2_.Q);
CLK_000_P_SYNC_3_.C = (CLK_OSZI);
CLK_000_P_SYNC_4_.D = (CLK_000_P_SYNC_3_.Q);
CLK_000_P_SYNC_4_.C = (CLK_OSZI);
CLK_000_P_SYNC_5_.D = (CLK_000_P_SYNC_4_.Q);
CLK_000_P_SYNC_5_.C = (CLK_OSZI);
CLK_000_P_SYNC_6_.D = (CLK_000_P_SYNC_5_.Q);
CLK_000_P_SYNC_6_.C = (CLK_OSZI);
CLK_000_P_SYNC_7_.D = (CLK_000_P_SYNC_6_.Q);
CLK_000_P_SYNC_7_.C = (CLK_OSZI);
CLK_000_P_SYNC_8_.D = (CLK_000_P_SYNC_7_.Q);
CLK_000_P_SYNC_8_.C = (CLK_OSZI);
CLK_000_N_SYNC_0_.D = (inst_CLK_000_D1.Q & !inst_CLK_000_D0.Q);
CLK_000_N_SYNC_0_.C = (CLK_OSZI);
CLK_000_N_SYNC_1_.D = (CLK_000_N_SYNC_0_.Q);
CLK_000_N_SYNC_1_.C = (CLK_OSZI);
CLK_000_N_SYNC_2_.D = (CLK_000_N_SYNC_1_.Q);
CLK_000_N_SYNC_2_.C = (CLK_OSZI);
CLK_000_N_SYNC_3_.D = (CLK_000_N_SYNC_2_.Q);
CLK_000_N_SYNC_3_.C = (CLK_OSZI);
CLK_000_N_SYNC_4_.D = (CLK_000_N_SYNC_3_.Q);
CLK_000_N_SYNC_4_.C = (CLK_OSZI);
CLK_000_N_SYNC_5_.D = (CLK_000_N_SYNC_4_.Q);
CLK_000_N_SYNC_5_.C = (CLK_OSZI);
CLK_000_N_SYNC_6_.D = (CLK_000_N_SYNC_5_.Q);
CLK_000_N_SYNC_6_.C = (CLK_OSZI);
CLK_000_N_SYNC_7_.D = (CLK_000_N_SYNC_6_.Q);
CLK_000_N_SYNC_7_.C = (CLK_OSZI);
CLK_000_N_SYNC_8_.D = (CLK_000_N_SYNC_7_.Q);
CLK_000_N_SYNC_8_.C = (CLK_OSZI);
CLK_000_N_SYNC_9_.D = (CLK_000_N_SYNC_8_.Q);
CLK_000_N_SYNC_9_.C = (CLK_OSZI);
CLK_000_N_SYNC_10_.D = (CLK_000_N_SYNC_9_.Q);
CLK_000_N_SYNC_10_.C = (CLK_OSZI);
CLK_OUT_PRE_Dreg.D = (inst_CLK_OUT_PRE.Q);
CLK_OUT_PRE_Dreg.C = (CLK_OSZI);
SM_AMIGA_1_.AR = (!RST);
SM_AMIGA_1_.D = (inst_CLK_000_PE.Q & SM_AMIGA_2_.Q
# !inst_CLK_000_NE.Q & SM_AMIGA_1_.Q & BERR.PIN);
SM_AMIGA_1_.C = (CLK_OSZI);
SM_AMIGA_5_.AR = (!RST);
SM_AMIGA_5_.D = (inst_CLK_000_PE.Q & SM_AMIGA_6_.Q
# !inst_CLK_000_NE.Q & SM_AMIGA_5_.Q & BERR.PIN);
SM_AMIGA_5_.C = (CLK_OSZI);
SM_AMIGA_3_.D.X1 = (inst_CLK_000_PE.Q & SM_AMIGA_4_.Q & !SM_AMIGA_3_.Q
# inst_CLK_000_PE.Q & SM_AMIGA_4_.Q & !BERR.PIN
# inst_VPA_D.Q & !inst_DTACK_D0.Q & !inst_CLK_000_PE.Q & inst_CLK_000_NE.Q & SM_AMIGA_3_.Q & BERR.PIN
# inst_VPA_D.Q & !inst_DTACK_D0.Q & inst_CLK_000_NE.Q & !SM_AMIGA_4_.Q & SM_AMIGA_3_.Q & BERR.PIN
# E.Q & !VMA.Q & !cpu_est_0_.Q & !cpu_est_1_.Q & !inst_VPA_D.Q & !inst_CLK_000_PE.Q & inst_CLK_000_NE.Q & cpu_est_2_.Q & SM_AMIGA_3_.Q & BERR.PIN
# E.Q & !VMA.Q & !cpu_est_0_.Q & !cpu_est_1_.Q & !inst_VPA_D.Q & inst_CLK_000_NE.Q & cpu_est_2_.Q & !SM_AMIGA_4_.Q & SM_AMIGA_3_.Q & BERR.PIN);
SM_AMIGA_3_.D.X2 = (SM_AMIGA_3_.Q & BERR.PIN);
SM_AMIGA_3_.AR = (!RST);
SM_AMIGA_3_.C = (CLK_OSZI);
SM_AMIGA_2_.AR = (!RST);
SM_AMIGA_2_.D = (!inst_CLK_000_PE.Q & SM_AMIGA_2_.Q & BERR.PIN
# inst_VPA_D.Q & !inst_DTACK_D0.Q & inst_CLK_000_NE.Q & SM_AMIGA_3_.Q
# E.Q & !VMA.Q & !cpu_est_0_.Q & !cpu_est_1_.Q & !inst_VPA_D.Q & inst_CLK_000_NE.Q & cpu_est_2_.Q & SM_AMIGA_3_.Q);
SM_AMIGA_2_.C = (CLK_OSZI);
un14_ciin_0 = (nEXP_SPACE & AS_030.PIN
# !A_31_ & nEXP_SPACE & !A_30_ & !A_29_ & !A_28_ & !A_27_ & !A_26_ & !A_25_ & !A_24_);
!state_machine_un15_clk_000_ne_i_n = (inst_VPA_D.Q & !inst_DTACK_D0.Q
# E.Q & !VMA.Q & !cpu_est_0_.Q & !cpu_est_1_.Q & !inst_VPA_D.Q & cpu_est_2_.Q);
CIIN_0 = (un14_ciin_0
# !A_31_ & !A_30_ & !A_29_ & !A_28_ & !A_27_ & !A_26_ & !A_25_ & !A_24_ & A_23_ & A_22_ & A_21_ & A_20_ & !inst_AS_030_D0.Q);
Reverse-Polarity Equations: