MacPlus_MiSTer/sys/sys_top.sdc

38 lines
1.6 KiB
Plaintext
Raw Normal View History

2018-03-05 17:40:43 +00:00
# Specify root clocks
2017-10-22 01:22:56 +00:00
create_clock -period "50.0 MHz" [get_ports FPGA_CLK1_50]
create_clock -period "50.0 MHz" [get_ports FPGA_CLK2_50]
create_clock -period "50.0 MHz" [get_ports FPGA_CLK3_50]
create_clock -period "100.0 MHz" [get_pins -compatibility_mode *|h2f_user0_clk]
2019-09-27 17:11:21 +00:00
create_clock -period "100.0 MHz" [get_pins -compatibility_mode spi|sclk_out] -name spi_sck
2017-10-22 01:22:56 +00:00
derive_pll_clocks
2019-07-11 22:22:16 +00:00
create_generated_clock -source [get_pins -compatibility_mode {pll_hdmi|pll_hdmi_inst|altera_pll_i|*[0].*|divclk}] \
2018-03-05 17:40:43 +00:00
-name HDMI_CLK [get_ports HDMI_TX_CLK]
2017-10-22 01:22:56 +00:00
derive_clock_uncertainty
2018-03-05 17:40:43 +00:00
# Decouple different clock groups (to simplify routing)
2019-09-27 17:11:21 +00:00
set_clock_groups -exclusive \
2019-07-11 22:22:16 +00:00
-group [get_clocks { *|pll|pll_inst|altera_pll_i|*[*].*|divclk}] \
-group [get_clocks { pll_hdmi|pll_hdmi_inst|altera_pll_i|*[0].*|divclk}] \
2018-03-05 17:40:43 +00:00
-group [get_clocks { *|h2f_user0_clk}] \
2019-09-27 17:11:21 +00:00
-group [get_clocks { FPGA_CLK1_50 }] \
-group [get_clocks { FPGA_CLK2_50 }] \
-group [get_clocks { FPGA_CLK3_50 }]
set_output_delay -max -clock HDMI_CLK 4.0ns [get_ports {HDMI_TX_D[*] HDMI_TX_DE HDMI_TX_HS HDMI_TX_VS}]
set_output_delay -min -clock HDMI_CLK 3.0ns [get_ports {HDMI_TX_D[*] HDMI_TX_DE HDMI_TX_HS HDMI_TX_VS}]
set_false_path -from [get_ports {KEY*}]
set_false_path -from [get_ports {BTN_*}]
set_false_path -to [get_ports {LED_*}]
set_false_path -to [get_ports {VGA_*}]
set_false_path -to [get_ports {AUDIO_SPDIF}]
set_false_path -to [get_ports {AUDIO_L}]
set_false_path -to [get_ports {AUDIO_R}]
set_false_path -to {cfg[*]}
set_false_path -from {cfg[*]}
set_false_path -to {wcalc[*] hcalc[*]}