more work

This commit is contained in:
Zane Kaminski 2019-04-26 22:48:15 -04:00
parent 71a24a4aa0
commit bc02e89667
6 changed files with 1237 additions and 1028 deletions

View File

@ -1,11 +1,11 @@
EESchema-LIBRARY Version 2.4 EESchema-LIBRARY Version 2.4
#encoding utf-8 #encoding utf-8
# #
# Connector_Generic:Conn_01x30 # Connector_Generic_Conn_01x30
# #
DEF Connector_Generic:Conn_01x30 J 0 40 Y N 1 F N DEF Connector_Generic_Conn_01x30 J 0 40 Y N 1 F N
F0 "J" 0 1500 50 H V C CNN F0 "J" 0 1500 50 H V C CNN
F1 "Connector_Generic:Conn_01x30" 0 -1600 50 H V C CNN F1 "Connector_Generic_Conn_01x30" 0 -1600 50 H V C CNN
F2 "" 0 0 50 H I C CNN F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN F3 "" 0 0 50 H I C CNN
$FPLIST $FPLIST
@ -76,11 +76,11 @@ X Pin_9 9 -200 600 150 R 50 50 1 1 P
ENDDRAW ENDDRAW
ENDDEF ENDDEF
# #
# Connector_Generic:Conn_02x13_Counter_Clockwise # Connector_Generic_Conn_02x13_Counter_Clockwise
# #
DEF Connector_Generic:Conn_02x13_Counter_Clockwise J 0 40 Y N 1 F N DEF Connector_Generic_Conn_02x13_Counter_Clockwise J 0 40 Y N 1 F N
F0 "J" 50 700 50 H V C CNN F0 "J" 50 700 50 H V C CNN
F1 "Connector_Generic:Conn_02x13_Counter_Clockwise" 50 -700 50 H V C CNN F1 "Connector_Generic_Conn_02x13_Counter_Clockwise" 50 -700 50 H V C CNN
F2 "" 0 0 50 H I C CNN F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN F3 "" 0 0 50 H I C CNN
$FPLIST $FPLIST
@ -143,11 +143,11 @@ X Pin_9 9 -200 -200 150 R 50 50 1 1 P
ENDDRAW ENDDRAW
ENDDEF ENDDEF
# #
# Device:C_Small # Device_C_Small
# #
DEF Device:C_Small C 0 10 N N 1 F N DEF Device_C_Small C 0 10 N N 1 F N
F0 "C" 10 70 50 H V L CNN F0 "C" 10 70 50 H V L CNN
F1 "Device:C_Small" 10 -80 50 H V L CNN F1 "Device_C_Small" 10 -80 50 H V L CNN
F2 "" 0 0 50 H I C CNN F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN F3 "" 0 0 50 H I C CNN
$FPLIST $FPLIST
@ -161,11 +161,11 @@ X ~ 2 0 -100 80 U 50 50 1 1 P
ENDDRAW ENDDRAW
ENDDEF ENDDEF
# #
# power:+5V # power_+5V
# #
DEF power:+5V #PWR 0 0 Y Y 1 F P DEF power_+5V #PWR 0 0 Y Y 1 F P
F0 "#PWR" 0 -150 50 H I C CNN F0 "#PWR" 0 -150 50 H I C CNN
F1 "power:+5V" 0 140 50 H V C CNN F1 "power_+5V" 0 140 50 H V C CNN
F2 "" 0 0 50 H I C CNN F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN F3 "" 0 0 50 H I C CNN
DRAW DRAW
@ -176,11 +176,11 @@ X +5V 1 0 0 0 U 50 50 1 1 W N
ENDDRAW ENDDRAW
ENDDEF ENDDEF
# #
# power:GND # power_GND
# #
DEF power:GND #PWR 0 0 Y Y 1 F P DEF power_GND #PWR 0 0 Y Y 1 F P
F0 "#PWR" 0 -250 50 H I C CNN F0 "#PWR" 0 -250 50 H I C CNN
F1 "power:GND" 0 -150 50 H V C CNN F1 "power_GND" 0 -150 50 H V C CNN
F2 "" 0 0 50 H I C CNN F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN F3 "" 0 0 50 H I C CNN
DRAW DRAW

View File

@ -294,41 +294,41 @@ NoConn ~ 6000 4700
$Comp $Comp
L Device:C_Small C1 L Device:C_Small C1
U 1 1 5C2E290A U 1 1 5C2E290A
P 3200 4200 P 2800 4200
F 0 "C1" H 3292 4246 50 0000 L CNN F 0 "C1" H 2892 4246 50 0000 L CNN
F 1 "100n" H 3292 4155 50 0000 L CNN F 1 "100n" H 2892 4155 50 0000 L CNN
F 2 "" H 3200 4200 50 0001 C CNN F 2 "stdpads:C_1206" H 2800 4200 50 0001 C CNN
F 3 "~" H 3200 4200 50 0001 C CNN F 3 "~" H 2800 4200 50 0001 C CNN
1 3200 4200 1 2800 4200
1 0 0 -1 1 0 0 -1
$EndComp $EndComp
$Comp $Comp
L Device:C_Small C2 L Device:C_Small C2
U 1 1 5C2E296A U 1 1 5C2E296A
P 3600 4200 P 3200 4200
F 0 "C2" H 3692 4246 50 0000 L CNN F 0 "C2" H 3292 4246 50 0000 L CNN
F 1 "100n" H 3692 4155 50 0000 L CNN F 1 "100n" H 3292 4155 50 0000 L CNN
F 2 "" H 3600 4200 50 0001 C CNN F 2 "stdpads:C_1206" H 3200 4200 50 0001 C CNN
F 3 "~" H 3600 4200 50 0001 C CNN F 3 "~" H 3200 4200 50 0001 C CNN
1 3600 4200 1 3200 4200
1 0 0 -1 1 0 0 -1
$EndComp $EndComp
Wire Wire Line Wire Wire Line
3600 4300 3200 4300 3200 4300 2800 4300
$Comp $Comp
L power:+5V #PWR0113 L power:+5V #PWR0113
U 1 1 5C2E299D U 1 1 5C2E299D
P 3200 4100 P 2800 4100
F 0 "#PWR0113" H 3200 3950 50 0001 C CNN F 0 "#PWR0113" H 2800 3950 50 0001 C CNN
F 1 "+5V" H 3200 4250 50 0000 C CNN F 1 "+5V" H 2800 4250 50 0000 C CNN
F 2 "" H 3200 4100 50 0001 C CNN F 2 "" H 2800 4100 50 0001 C CNN
F 3 "" H 3200 4100 50 0001 C CNN F 3 "" H 2800 4100 50 0001 C CNN
1 3200 4100 1 2800 4100
1 0 0 -1 1 0 0 -1
$EndComp $EndComp
Connection ~ 3200 4100 Connection ~ 2800 4100
Wire Wire Line Wire Wire Line
3200 4100 3600 4100 2800 4100 3200 4100
$Comp $Comp
L power:GND #PWR0114 L power:GND #PWR0114
U 1 1 5C2E29CC U 1 1 5C2E29CC
@ -340,7 +340,6 @@ F 3 "" H 3600 4300 50 0001 C CNN
1 3600 4300 1 3600 4300
1 0 0 -1 1 0 0 -1
$EndComp $EndComp
Connection ~ 3600 4300
Text Label 6000 4600 0 50 ~ 0 Text Label 6000 4600 0 50 ~ 0
2A9 2A9
Text Label 1950 5750 0 50 ~ 0 Text Label 1950 5750 0 50 ~ 0
@ -550,4 +549,22 @@ Wire Wire Line
1850 7150 1950 7150 1850 7150 1950 7150
Wire Wire Line Wire Wire Line
1750 7200 1850 7200 1750 7200 1850 7200
$Comp
L Device:C_Small C3
U 1 1 5C2EDC35
P 3600 4200
F 0 "C3" H 3692 4246 50 0000 L CNN
F 1 "100n" H 3692 4155 50 0000 L CNN
F 2 "stdpads:C_1206" H 3600 4200 50 0001 C CNN
F 3 "~" H 3600 4200 50 0001 C CNN
1 3600 4200
1 0 0 -1
$EndComp
Wire Wire Line
3600 4100 3200 4100
Connection ~ 3200 4100
Wire Wire Line
3200 4300 3600 4300
Connection ~ 3200 4300
Connection ~ 3600 4300
$EndSCHEMATC $EndSCHEMATC

File diff suppressed because it is too large Load Diff

File diff suppressed because it is too large Load Diff

View File

@ -1,8 +1,8 @@
(export (version D) (export (version D)
(design (design
(source "/Users/zane/Library/Mobile Documents/com~apple~CloudDocs/Repos/simm-30-4mb/simm-30-4mb.sch") (source "/Users/zane/Library/Mobile Documents/com~apple~CloudDocs/Repos/simm-30-4mb/simm-30-4mb.sch")
(date "Thursday, January 03, 2019 at 09:04:01 PM") (date "Friday, April 26, 2019 at 09:44:52 PM")
(tool "Eeschema (5.0.0-3-g0214c9d)") (tool "Eeschema (5.0.1-3-g963ef8bb5)")
(sheet (number 1) (name /) (tstamps /) (sheet (number 1) (name /) (tstamps /)
(title_block (title_block
(title) (title)
@ -40,21 +40,21 @@
(value 100n) (value 100n)
(footprint stdpads:C_1206) (footprint stdpads:C_1206)
(datasheet ~) (datasheet ~)
(libsource (lib Device) (part C_Small) (description "Unpolarized capacitor")) (libsource (lib Device) (part C_Small) (description "Unpolarized capacitor, small symbol"))
(sheetpath (names /) (tstamps /)) (sheetpath (names /) (tstamps /))
(tstamp 5C2E290A)) (tstamp 5C2E290A))
(comp (ref C2) (comp (ref C2)
(value 100n) (value 100n)
(footprint stdpads:C_1206) (footprint stdpads:C_1206)
(datasheet ~) (datasheet ~)
(libsource (lib Device) (part C_Small) (description "Unpolarized capacitor")) (libsource (lib Device) (part C_Small) (description "Unpolarized capacitor, small symbol"))
(sheetpath (names /) (tstamps /)) (sheetpath (names /) (tstamps /))
(tstamp 5C2E296A)) (tstamp 5C2E296A))
(comp (ref C3) (comp (ref C3)
(value 100n) (value 100n)
(footprint stdpads:C_1206) (footprint stdpads:C_1206)
(datasheet ~) (datasheet ~)
(libsource (lib Device) (part C_Small) (description "Unpolarized capacitor")) (libsource (lib Device) (part C_Small) (description "Unpolarized capacitor, small symbol"))
(sheetpath (names /) (tstamps /)) (sheetpath (names /) (tstamps /))
(tstamp 5C2EDC35))) (tstamp 5C2EDC35)))
(libparts (libparts
@ -133,7 +133,7 @@
(pin (num 25) (name Pin_25) (type passive)) (pin (num 25) (name Pin_25) (type passive))
(pin (num 26) (name Pin_26) (type passive)))) (pin (num 26) (name Pin_26) (type passive))))
(libpart (lib Device) (part C_Small) (libpart (lib Device) (part C_Small)
(description "Unpolarized capacitor") (description "Unpolarized capacitor, small symbol")
(docs ~) (docs ~)
(footprints (footprints
(fp C_*)) (fp C_*))
@ -154,16 +154,16 @@
(node (ref U2) (pin 24))) (node (ref U2) (pin 24)))
(net (code 2) (name /D3) (net (code 2) (name /D3)
(node (ref J2) (pin 13)) (node (ref J2) (pin 13))
(node (ref U2) (pin 25))) (node (ref U2) (pin 3)))
(net (code 3) (name /D4) (net (code 3) (name /D4)
(node (ref U1) (pin 24)) (node (ref U1) (pin 25))
(node (ref J2) (pin 16))) (node (ref J2) (pin 16)))
(net (code 4) (name /~CAS~) (net (code 4) (name /~CAS~)
(node (ref U1) (pin 23)) (node (ref U1) (pin 23))
(node (ref J2) (pin 2)) (node (ref J2) (pin 2))
(node (ref U2) (pin 23))) (node (ref U2) (pin 23)))
(net (code 5) (name /D5) (net (code 5) (name /D5)
(node (ref U1) (pin 3)) (node (ref U1) (pin 2))
(node (ref J2) (pin 20))) (node (ref J2) (pin 20)))
(net (code 6) (name /~WE~) (net (code 6) (name /~WE~)
(node (ref U1) (pin 4)) (node (ref U1) (pin 4))
@ -181,12 +181,12 @@
(node (ref J2) (pin 22))) (node (ref J2) (pin 22)))
(net (code 8) (name /D6) (net (code 8) (name /D6)
(node (ref J2) (pin 23)) (node (ref J2) (pin 23))
(node (ref U1) (pin 25))) (node (ref U1) (pin 24)))
(net (code 9) (name /A11) (net (code 9) (name /A11)
(node (ref J2) (pin 24))) (node (ref J2) (pin 24)))
(net (code 10) (name /D7) (net (code 10) (name /D7)
(node (ref J2) (pin 25)) (node (ref J2) (pin 25))
(node (ref U1) (pin 2))) (node (ref U1) (pin 3)))
(net (code 11) (name /QP) (net (code 11) (name /QP)
(node (ref J2) (pin 26))) (node (ref J2) (pin 26)))
(net (code 12) (name /~RAS~) (net (code 12) (name /~RAS~)
@ -198,7 +198,7 @@
(net (code 14) (name /DP) (net (code 14) (name /DP)
(node (ref J2) (pin 29))) (node (ref J2) (pin 29)))
(net (code 15) (name /D0) (net (code 15) (name /D0)
(node (ref U2) (pin 2)) (node (ref U2) (pin 25))
(node (ref J2) (pin 3))) (node (ref J2) (pin 3)))
(net (code 16) (name +5V) (net (code 16) (name +5V)
(node (ref J2) (pin 1)) (node (ref J2) (pin 1))
@ -212,7 +212,7 @@
(node (ref U2) (pin 1))) (node (ref U2) (pin 1)))
(net (code 17) (name /D1) (net (code 17) (name /D1)
(node (ref J2) (pin 6)) (node (ref J2) (pin 6))
(node (ref U2) (pin 3))) (node (ref U2) (pin 2)))
(net (code 18) (name /1A1) (net (code 18) (name /1A1)
(node (ref U1) (pin 10)) (node (ref U1) (pin 10))
(node (ref J2) (pin 14)) (node (ref J2) (pin 14))

View File

@ -177,13 +177,13 @@ F 3 "" H 4600 5300 50 0001 C CNN
1 0 0 -1 1 0 0 -1
$EndComp $EndComp
Text Label 5500 4200 2 50 ~ 0 Text Label 5500 4200 2 50 ~ 0
D0
Text Label 5500 4300 2 50 ~ 0
D1 D1
Text Label 5500 4300 2 50 ~ 0
D3
Text Label 6000 4300 0 50 ~ 0 Text Label 6000 4300 0 50 ~ 0
D2 D2
Text Label 6000 4200 0 50 ~ 0 Text Label 6000 4200 0 50 ~ 0
D3 D0
Text Label 4100 4400 2 50 ~ 0 Text Label 4100 4400 2 50 ~ 0
~WE~ ~WE~
Text Label 4100 4500 2 50 ~ 0 Text Label 4100 4500 2 50 ~ 0
@ -273,13 +273,13 @@ F 3 "" H 6000 5300 50 0001 C CNN
1 0 0 -1 1 0 0 -1
$EndComp $EndComp
Text Label 4100 4200 2 50 ~ 0 Text Label 4100 4200 2 50 ~ 0
D7
Text Label 4100 4300 2 50 ~ 0
D5 D5
Text Label 4100 4300 2 50 ~ 0
D7
Text Label 4600 4300 0 50 ~ 0 Text Label 4600 4300 0 50 ~ 0
D4
Text Label 4600 4200 0 50 ~ 0
D6 D6
Text Label 4600 4200 0 50 ~ 0
D4
Text Label 5500 4400 2 50 ~ 0 Text Label 5500 4400 2 50 ~ 0
~WE~ ~WE~
Text Label 5500 4500 2 50 ~ 0 Text Label 5500 4500 2 50 ~ 0