SE-VGA/old/vgagen.sv

35 lines
1.3 KiB
Systemverilog
Raw Normal View History

2021-04-07 04:15:48 +00:00
/******************************************************************************
* SE-VGA
* VGA timing generator
* techav
* 2021-04-06
******************************************************************************
* Generates VGA timing signals & counters
*****************************************************************************/
2021-04-12 04:46:29 +00:00
`ifndef VGAGEN
`define VGAGEN
2021-04-07 04:15:48 +00:00
`include "vgacount.sv"
module vgagen (
input wire nReset, // master reset signal
input wire pixClk, // 25.175MHz pixel clock
output logic [9:0] hCount, // horizontal pixel count
output wire hActive, // horizontal VGA active video signal
output wire hSEActive, // horizontal SE active video signal
output wire nhSync, // horizontal sync pulse signal
output logic [9:0] vCount, // vertical line count
output wire vActive, // vertical VGA active video signal
output wire vSEActive, // vertical SE active video signal
output wire nvSync // vertical sync pulse signal
);
2021-04-18 19:31:05 +00:00
// Generate horizontal signal timing
2021-04-07 04:15:48 +00:00
vgacount #(800,592,688,576,736,512) hoz(nReset,pixClk,hCount,nhSync,hActive,hSEActive);
2021-04-18 19:31:05 +00:00
// Generate vertical signal timing
2021-04-07 04:15:48 +00:00
vgacount #(525,421,423,411,456,342) ver(nReset,nhSync,vCount,nvSync,vActive,vSEActive);
2021-04-12 04:46:29 +00:00
endmodule
`endif