Warp-LC/PDSBuf.kicad_sch

5263 lines
191 KiB
Plaintext

(kicad_sch (version 20230121) (generator eeschema)
(uuid 147b5262-ac62-4d04-bbc0-b04649082d41)
(paper "A4")
(lib_symbols
(symbol "Device:C_Small" (pin_numbers hide) (pin_names (offset 0.254) hide) (in_bom yes) (on_board yes)
(property "Reference" "C" (at 0.254 1.778 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Value" "C_Small" (at 0.254 -2.032 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Footprint" "" (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_keywords" "capacitor cap" (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_description" "Unpolarized capacitor, small symbol" (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_fp_filters" "C_*" (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(symbol "C_Small_0_1"
(polyline
(pts
(xy -1.524 -0.508)
(xy 1.524 -0.508)
)
(stroke (width 0.3302) (type default))
(fill (type none))
)
(polyline
(pts
(xy -1.524 0.508)
(xy 1.524 0.508)
)
(stroke (width 0.3048) (type default))
(fill (type none))
)
)
(symbol "C_Small_1_1"
(pin passive line (at 0 2.54 270) (length 2.032)
(name "~" (effects (font (size 1.27 1.27))))
(number "1" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 0 -2.54 90) (length 2.032)
(name "~" (effects (font (size 1.27 1.27))))
(number "2" (effects (font (size 1.27 1.27))))
)
)
)
(symbol "Device:R_Small" (pin_numbers hide) (pin_names (offset 0.254) hide) (in_bom yes) (on_board yes)
(property "Reference" "R" (at 0.762 0.508 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Value" "R_Small" (at 0.762 -1.016 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Footprint" "" (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_keywords" "R resistor" (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_description" "Resistor, small symbol" (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_fp_filters" "R_*" (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(symbol "R_Small_0_1"
(rectangle (start -0.762 1.778) (end 0.762 -1.778)
(stroke (width 0.2032) (type default))
(fill (type none))
)
)
(symbol "R_Small_1_1"
(pin passive line (at 0 2.54 270) (length 0.762)
(name "~" (effects (font (size 1.27 1.27))))
(number "1" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 0 -2.54 90) (length 0.762)
(name "~" (effects (font (size 1.27 1.27))))
(number "2" (effects (font (size 1.27 1.27))))
)
)
)
(symbol "GW_Logic:741G125GW" (pin_names (offset 1.016)) (in_bom yes) (on_board yes)
(property "Reference" "U" (at 0 6.35 0)
(effects (font (size 1.27 1.27)))
)
(property "Value" "GW_Logic_741G125GW" (at 0 -6.35 0)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "stdpads:SOT-353" (at 0 -7.62 0)
(effects (font (size 1.27 1.27)) (justify top) hide)
)
(property "Datasheet" "" (at 0 -5.08 0)
(effects (font (size 1.524 1.524)) hide)
)
(symbol "741G125GW_0_1"
(rectangle (start 5.08 -5.08) (end -5.08 5.08)
(stroke (width 0.254) (type solid))
(fill (type background))
)
)
(symbol "741G125GW_1_1"
(pin input line (at -10.16 2.54 0) (length 5.08)
(name "~{OE}" (effects (font (size 1.27 1.27))))
(number "1" (effects (font (size 1.27 1.27))))
)
(pin input line (at -10.16 0 0) (length 5.08)
(name "A" (effects (font (size 1.27 1.27))))
(number "2" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at -10.16 -2.54 0) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "3" (effects (font (size 1.27 1.27))))
)
(pin output line (at 10.16 -2.54 180) (length 5.08)
(name "Y" (effects (font (size 1.27 1.27))))
(number "4" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 10.16 2.54 180) (length 5.08)
(name "Vcc" (effects (font (size 1.27 1.27))))
(number "5" (effects (font (size 1.27 1.27))))
)
)
)
(symbol "GW_Logic:74244" (pin_names (offset 1.016)) (in_bom yes) (on_board yes)
(property "Reference" "U" (at 0 15.24 0)
(effects (font (size 1.27 1.27)))
)
(property "Value" "GW_Logic_74244" (at 0 -15.24 0)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "stdpads:TSSOP-20_4.4x6.5mm_P0.65mm" (at 0 -16.51 0)
(effects (font (size 1.27 1.27)) (justify top) hide)
)
(property "Datasheet" "" (at 0 2.54 0)
(effects (font (size 1.524 1.524)) hide)
)
(symbol "74244_0_1"
(rectangle (start -5.08 13.97) (end 5.08 -13.97)
(stroke (width 0.254) (type solid))
(fill (type background))
)
)
(symbol "74244_1_1"
(pin input line (at 10.16 11.43 180) (length 5.08)
(name "1~{OE}" (effects (font (size 1.27 1.27))))
(number "1" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at -10.16 -11.43 0) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "10" (effects (font (size 1.27 1.27))))
)
(pin input line (at 10.16 -8.89 180) (length 5.08)
(name "2A3" (effects (font (size 1.27 1.27))))
(number "11" (effects (font (size 1.27 1.27))))
)
(pin tri_state line (at -10.16 8.89 0) (length 5.08)
(name "1Y3" (effects (font (size 1.27 1.27))))
(number "12" (effects (font (size 1.27 1.27))))
)
(pin input line (at 10.16 -6.35 180) (length 5.08)
(name "2A2" (effects (font (size 1.27 1.27))))
(number "13" (effects (font (size 1.27 1.27))))
)
(pin tri_state line (at -10.16 6.35 0) (length 5.08)
(name "1Y2" (effects (font (size 1.27 1.27))))
(number "14" (effects (font (size 1.27 1.27))))
)
(pin input line (at 10.16 -3.81 180) (length 5.08)
(name "2A1" (effects (font (size 1.27 1.27))))
(number "15" (effects (font (size 1.27 1.27))))
)
(pin tri_state line (at -10.16 3.81 0) (length 5.08)
(name "1Y1" (effects (font (size 1.27 1.27))))
(number "16" (effects (font (size 1.27 1.27))))
)
(pin input line (at 10.16 -1.27 180) (length 5.08)
(name "2A0" (effects (font (size 1.27 1.27))))
(number "17" (effects (font (size 1.27 1.27))))
)
(pin tri_state line (at -10.16 1.27 0) (length 5.08)
(name "1Y0" (effects (font (size 1.27 1.27))))
(number "18" (effects (font (size 1.27 1.27))))
)
(pin input line (at 10.16 -11.43 180) (length 5.08)
(name "2~{OE}" (effects (font (size 1.27 1.27))))
(number "19" (effects (font (size 1.27 1.27))))
)
(pin input line (at 10.16 8.89 180) (length 5.08)
(name "1A0" (effects (font (size 1.27 1.27))))
(number "2" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at -10.16 11.43 0) (length 5.08)
(name "Vcc" (effects (font (size 1.27 1.27))))
(number "20" (effects (font (size 1.27 1.27))))
)
(pin tri_state line (at -10.16 -1.27 0) (length 5.08)
(name "2Y0" (effects (font (size 1.27 1.27))))
(number "3" (effects (font (size 1.27 1.27))))
)
(pin input line (at 10.16 6.35 180) (length 5.08)
(name "1A1" (effects (font (size 1.27 1.27))))
(number "4" (effects (font (size 1.27 1.27))))
)
(pin tri_state line (at -10.16 -3.81 0) (length 5.08)
(name "2Y1" (effects (font (size 1.27 1.27))))
(number "5" (effects (font (size 1.27 1.27))))
)
(pin input line (at 10.16 3.81 180) (length 5.08)
(name "1A2" (effects (font (size 1.27 1.27))))
(number "6" (effects (font (size 1.27 1.27))))
)
(pin tri_state line (at -10.16 -6.35 0) (length 5.08)
(name "2Y2" (effects (font (size 1.27 1.27))))
(number "7" (effects (font (size 1.27 1.27))))
)
(pin input line (at 10.16 1.27 180) (length 5.08)
(name "1A3" (effects (font (size 1.27 1.27))))
(number "8" (effects (font (size 1.27 1.27))))
)
(pin tri_state line (at -10.16 -8.89 0) (length 5.08)
(name "2Y3" (effects (font (size 1.27 1.27))))
(number "9" (effects (font (size 1.27 1.27))))
)
)
)
(symbol "GW_Logic:74245" (pin_names (offset 1.016)) (in_bom yes) (on_board yes)
(property "Reference" "U" (at 0 15.24 0)
(effects (font (size 1.27 1.27)))
)
(property "Value" "GW_Logic_74245" (at 0 -15.24 0)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "" (at 0 -16.51 0)
(effects (font (size 1.27 1.27)) (justify top) hide)
)
(property "Datasheet" "" (at 0 2.54 0)
(effects (font (size 1.524 1.524)) hide)
)
(symbol "74245_0_1"
(rectangle (start -5.08 13.97) (end 5.08 -13.97)
(stroke (width 0.254) (type solid))
(fill (type background))
)
)
(symbol "74245_1_1"
(pin input line (at -10.16 11.43 0) (length 5.08)
(name "AtoB" (effects (font (size 1.27 1.27))))
(number "1" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at -10.16 -11.43 0) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "10" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 10.16 -11.43 180) (length 5.08)
(name "B7" (effects (font (size 1.27 1.27))))
(number "11" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 10.16 -8.89 180) (length 5.08)
(name "B6" (effects (font (size 1.27 1.27))))
(number "12" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 10.16 -6.35 180) (length 5.08)
(name "B5" (effects (font (size 1.27 1.27))))
(number "13" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 10.16 -3.81 180) (length 5.08)
(name "B4" (effects (font (size 1.27 1.27))))
(number "14" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 10.16 -1.27 180) (length 5.08)
(name "B3" (effects (font (size 1.27 1.27))))
(number "15" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 10.16 1.27 180) (length 5.08)
(name "B2" (effects (font (size 1.27 1.27))))
(number "16" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 10.16 3.81 180) (length 5.08)
(name "B1" (effects (font (size 1.27 1.27))))
(number "17" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 10.16 6.35 180) (length 5.08)
(name "B0" (effects (font (size 1.27 1.27))))
(number "18" (effects (font (size 1.27 1.27))))
)
(pin input line (at 10.16 8.89 180) (length 5.08)
(name "~{OE}" (effects (font (size 1.27 1.27))))
(number "19" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at -10.16 8.89 0) (length 5.08)
(name "A0" (effects (font (size 1.27 1.27))))
(number "2" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 10.16 11.43 180) (length 5.08)
(name "Vcc" (effects (font (size 1.27 1.27))))
(number "20" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at -10.16 6.35 0) (length 5.08)
(name "A1" (effects (font (size 1.27 1.27))))
(number "3" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at -10.16 3.81 0) (length 5.08)
(name "A2" (effects (font (size 1.27 1.27))))
(number "4" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at -10.16 1.27 0) (length 5.08)
(name "A3" (effects (font (size 1.27 1.27))))
(number "5" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at -10.16 -1.27 0) (length 5.08)
(name "A4" (effects (font (size 1.27 1.27))))
(number "6" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at -10.16 -3.81 0) (length 5.08)
(name "A5" (effects (font (size 1.27 1.27))))
(number "7" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at -10.16 -6.35 0) (length 5.08)
(name "A6" (effects (font (size 1.27 1.27))))
(number "8" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at -10.16 -8.89 0) (length 5.08)
(name "A7" (effects (font (size 1.27 1.27))))
(number "9" (effects (font (size 1.27 1.27))))
)
)
)
(symbol "GW_Logic:74573" (pin_names (offset 1.016)) (in_bom yes) (on_board yes)
(property "Reference" "U" (at 0 15.24 0)
(effects (font (size 1.27 1.27)))
)
(property "Value" "GW_Logic_74573" (at 0 -15.24 0)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "" (at 0 -16.51 0)
(effects (font (size 1.27 1.27)) (justify top) hide)
)
(property "Datasheet" "" (at 0 2.54 0)
(effects (font (size 1.524 1.524)) hide)
)
(symbol "74573_0_1"
(rectangle (start -5.08 13.97) (end 5.08 -13.97)
(stroke (width 0.254) (type solid))
(fill (type background))
)
)
(symbol "74573_1_1"
(pin input line (at -10.16 11.43 0) (length 5.08)
(name "~{OE}" (effects (font (size 1.27 1.27))))
(number "1" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at -10.16 -11.43 0) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "10" (effects (font (size 1.27 1.27))))
)
(pin input line (at 10.16 -11.43 180) (length 5.08)
(name "~{LE}" (effects (font (size 1.27 1.27))))
(number "11" (effects (font (size 1.27 1.27))))
)
(pin tri_state line (at 10.16 -8.89 180) (length 5.08)
(name "Q7" (effects (font (size 1.27 1.27))))
(number "12" (effects (font (size 1.27 1.27))))
)
(pin tri_state line (at 10.16 -6.35 180) (length 5.08)
(name "Q6" (effects (font (size 1.27 1.27))))
(number "13" (effects (font (size 1.27 1.27))))
)
(pin tri_state line (at 10.16 -3.81 180) (length 5.08)
(name "Q5" (effects (font (size 1.27 1.27))))
(number "14" (effects (font (size 1.27 1.27))))
)
(pin tri_state line (at 10.16 -1.27 180) (length 5.08)
(name "Q4" (effects (font (size 1.27 1.27))))
(number "15" (effects (font (size 1.27 1.27))))
)
(pin tri_state line (at 10.16 1.27 180) (length 5.08)
(name "Q3" (effects (font (size 1.27 1.27))))
(number "16" (effects (font (size 1.27 1.27))))
)
(pin tri_state line (at 10.16 3.81 180) (length 5.08)
(name "Q2" (effects (font (size 1.27 1.27))))
(number "17" (effects (font (size 1.27 1.27))))
)
(pin tri_state line (at 10.16 6.35 180) (length 5.08)
(name "Q1" (effects (font (size 1.27 1.27))))
(number "18" (effects (font (size 1.27 1.27))))
)
(pin tri_state line (at 10.16 8.89 180) (length 5.08)
(name "Q0" (effects (font (size 1.27 1.27))))
(number "19" (effects (font (size 1.27 1.27))))
)
(pin input line (at -10.16 8.89 0) (length 5.08)
(name "D0" (effects (font (size 1.27 1.27))))
(number "2" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 10.16 11.43 180) (length 5.08)
(name "Vcc" (effects (font (size 1.27 1.27))))
(number "20" (effects (font (size 1.27 1.27))))
)
(pin input line (at -10.16 6.35 0) (length 5.08)
(name "D1" (effects (font (size 1.27 1.27))))
(number "3" (effects (font (size 1.27 1.27))))
)
(pin input line (at -10.16 3.81 0) (length 5.08)
(name "D2" (effects (font (size 1.27 1.27))))
(number "4" (effects (font (size 1.27 1.27))))
)
(pin input line (at -10.16 1.27 0) (length 5.08)
(name "D3" (effects (font (size 1.27 1.27))))
(number "5" (effects (font (size 1.27 1.27))))
)
(pin input line (at -10.16 -1.27 0) (length 5.08)
(name "D4" (effects (font (size 1.27 1.27))))
(number "6" (effects (font (size 1.27 1.27))))
)
(pin input line (at -10.16 -3.81 0) (length 5.08)
(name "D5" (effects (font (size 1.27 1.27))))
(number "7" (effects (font (size 1.27 1.27))))
)
(pin input line (at -10.16 -6.35 0) (length 5.08)
(name "D6" (effects (font (size 1.27 1.27))))
(number "8" (effects (font (size 1.27 1.27))))
)
(pin input line (at -10.16 -8.89 0) (length 5.08)
(name "D7" (effects (font (size 1.27 1.27))))
(number "9" (effects (font (size 1.27 1.27))))
)
)
)
(symbol "power:+3V3" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes)
(property "Reference" "#PWR" (at 0 -3.81 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "+3V3" (at 0 3.556 0)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "" (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_keywords" "global power" (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_description" "Power symbol creates a global label with name \"+3V3\"" (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(symbol "+3V3_0_1"
(polyline
(pts
(xy -0.762 1.27)
(xy 0 2.54)
)
(stroke (width 0) (type default))
(fill (type none))
)
(polyline
(pts
(xy 0 0)
(xy 0 2.54)
)
(stroke (width 0) (type default))
(fill (type none))
)
(polyline
(pts
(xy 0 2.54)
(xy 0.762 1.27)
)
(stroke (width 0) (type default))
(fill (type none))
)
)
(symbol "+3V3_1_1"
(pin power_in line (at 0 0 90) (length 0) hide
(name "+3V3" (effects (font (size 1.27 1.27))))
(number "1" (effects (font (size 1.27 1.27))))
)
)
)
(symbol "power:+5V" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes)
(property "Reference" "#PWR" (at 0 -3.81 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "+5V" (at 0 3.556 0)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "" (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_keywords" "global power" (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_description" "Power symbol creates a global label with name \"+5V\"" (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(symbol "+5V_0_1"
(polyline
(pts
(xy -0.762 1.27)
(xy 0 2.54)
)
(stroke (width 0) (type default))
(fill (type none))
)
(polyline
(pts
(xy 0 0)
(xy 0 2.54)
)
(stroke (width 0) (type default))
(fill (type none))
)
(polyline
(pts
(xy 0 2.54)
(xy 0.762 1.27)
)
(stroke (width 0) (type default))
(fill (type none))
)
)
(symbol "+5V_1_1"
(pin power_in line (at 0 0 90) (length 0) hide
(name "+5V" (effects (font (size 1.27 1.27))))
(number "1" (effects (font (size 1.27 1.27))))
)
)
)
(symbol "power:GND" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes)
(property "Reference" "#PWR" (at 0 -6.35 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "GND" (at 0 -3.81 0)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "" (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_keywords" "global power" (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_description" "Power symbol creates a global label with name \"GND\" , ground" (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(symbol "GND_0_1"
(polyline
(pts
(xy 0 0)
(xy 0 -1.27)
(xy 1.27 -1.27)
(xy 0 -2.54)
(xy -1.27 -1.27)
(xy 0 -1.27)
)
(stroke (width 0) (type default))
(fill (type none))
)
)
(symbol "GND_1_1"
(pin power_in line (at 0 0 270) (length 0) hide
(name "GND" (effects (font (size 1.27 1.27))))
(number "1" (effects (font (size 1.27 1.27))))
)
)
)
)
(junction (at -57.15 154.94) (diameter 0) (color 0 0 0 0)
(uuid 071c38d8-95bd-4c3b-b0ac-0f59b42e9a0a)
)
(junction (at -36.83 160.02) (diameter 0) (color 0 0 0 0)
(uuid 0ec42072-dd9f-41a8-9a12-7ae9158937b2)
)
(junction (at -46.99 165.1) (diameter 0) (color 0 0 0 0)
(uuid 112e0df9-4a60-4987-bc01-ea35844bc38e)
)
(junction (at 144.78 66.04) (diameter 0) (color 0 0 0 0)
(uuid 151e9c93-09e5-425a-8b12-42c8c3006f59)
)
(junction (at 175.26 27.94) (diameter 0) (color 0 0 0 0)
(uuid 41262f0b-6743-4012-aa79-683d0ffcbefd)
)
(junction (at -57.15 165.1) (diameter 0) (color 0 0 0 0)
(uuid 4130068c-ae8c-41f1-a91f-a2c10c3f5cc7)
)
(junction (at 144.78 68.58) (diameter 0) (color 0 0 0 0)
(uuid 47d8829d-fbae-470b-a527-f959feef9f60)
)
(junction (at -26.67 165.1) (diameter 0) (color 0 0 0 0)
(uuid 4c419f5f-cc1c-4ba5-8b3c-a06d813a22c7)
)
(junction (at -67.31 149.86) (diameter 0) (color 0 0 0 0)
(uuid 5e85abc1-e136-45fb-8f21-1da641d9c8ad)
)
(junction (at -26.67 154.94) (diameter 0) (color 0 0 0 0)
(uuid 61f5b7c1-db1a-4a23-a967-8862aeb1ebc4)
)
(junction (at -46.99 160.02) (diameter 0) (color 0 0 0 0)
(uuid 6768527a-60f8-4816-8198-9467327e4107)
)
(junction (at 172.72 33.02) (diameter 0) (color 0 0 0 0)
(uuid 85afebde-8017-4384-b67e-00a84f058faf)
)
(junction (at -46.99 154.94) (diameter 0) (color 0 0 0 0)
(uuid 8ba16002-7ed3-489d-9e42-6bebc3098471)
)
(junction (at -26.67 149.86) (diameter 0) (color 0 0 0 0)
(uuid 8ce4718a-8bd5-4a3e-8454-f0ee31ced373)
)
(junction (at -36.83 154.94) (diameter 0) (color 0 0 0 0)
(uuid 99e5425d-b9ef-48ff-a9fd-4e1c85167fe9)
)
(junction (at -57.15 160.02) (diameter 0) (color 0 0 0 0)
(uuid a4e0e781-da26-4c01-9498-ad55ddaaae9a)
)
(junction (at -57.15 149.86) (diameter 0) (color 0 0 0 0)
(uuid ace571dd-39de-4007-a6b2-6ef9f6392847)
)
(junction (at -46.99 149.86) (diameter 0) (color 0 0 0 0)
(uuid ca65e96c-bc75-4437-a4e9-353fd0bf346e)
)
(junction (at -16.51 165.1) (diameter 0) (color 0 0 0 0)
(uuid cedae199-0f44-4b4a-abb8-4d4a74d27e1b)
)
(junction (at -67.31 160.02) (diameter 0) (color 0 0 0 0)
(uuid d140c3eb-77b8-42ff-9d10-5ab36292d94b)
)
(junction (at -36.83 149.86) (diameter 0) (color 0 0 0 0)
(uuid d63aff46-71f7-48f8-84f8-0c10d4572de3)
)
(junction (at -16.51 154.94) (diameter 0) (color 0 0 0 0)
(uuid e48ed688-25b3-4799-878d-2e4afae14de0)
)
(junction (at -26.67 160.02) (diameter 0) (color 0 0 0 0)
(uuid f00378cd-1975-4d65-84a1-2ffb5344a145)
)
(junction (at -36.83 165.1) (diameter 0) (color 0 0 0 0)
(uuid f017fee3-529f-4339-9548-d709ff41881a)
)
(no_connect (at 165.1 63.5) (uuid 0f2f35c5-f0b6-4592-97e3-acefdc15f6f4))
(no_connect (at 165.1 66.04) (uuid 19795b5a-c1d4-468d-a068-3d08c7e9acfd))
(bus_entry (at 172.72 187.96) (size 2.54 2.54)
(stroke (width 0) (type default))
(uuid 00f05f98-b220-4cd4-a626-df6baa45bdae)
)
(bus_entry (at 114.3 142.24) (size 2.54 2.54)
(stroke (width 0) (type default))
(uuid 01a10425-4a10-46c7-89b5-adf0634a9842)
)
(bus_entry (at 172.72 121.92) (size 2.54 2.54)
(stroke (width 0) (type default))
(uuid 066f43e0-2340-4b1a-bb44-f8bcab9989f3)
)
(bus_entry (at 114.3 127) (size 2.54 2.54)
(stroke (width 0) (type default))
(uuid 067215ea-7aa0-4354-b44a-8b0607b6e953)
)
(bus_entry (at 114.3 149.86) (size 2.54 2.54)
(stroke (width 0) (type default))
(uuid 06ca0334-8067-4079-be0a-d7fa9759b2a2)
)
(bus_entry (at 57.15 88.9) (size 2.54 -2.54)
(stroke (width 0) (type default))
(uuid 0915f2d9-4d82-4c0c-b60d-1f5a013d8946)
)
(bus_entry (at 137.16 93.98) (size -2.54 2.54)
(stroke (width 0) (type default))
(uuid 0aad174b-2c47-4238-ae9c-ae4de122a237)
)
(bus_entry (at 114.3 147.32) (size 2.54 2.54)
(stroke (width 0) (type default))
(uuid 0b0b424f-9af4-49d6-ab2f-3f7a45ca03e7)
)
(bus_entry (at 73.66 129.54) (size -2.54 2.54)
(stroke (width 0) (type default))
(uuid 0b325164-4a72-4463-a06a-f2e079de9ccb)
)
(bus_entry (at 137.16 187.96) (size -2.54 2.54)
(stroke (width 0) (type default))
(uuid 0bf05f23-27d2-4cb3-ac25-be5439bb523d)
)
(bus_entry (at 137.16 116.84) (size -2.54 2.54)
(stroke (width 0) (type default))
(uuid 0cbd92e5-848c-42f2-84e8-8c228ef7b1b2)
)
(bus_entry (at 114.3 124.46) (size 2.54 2.54)
(stroke (width 0) (type default))
(uuid 127d24c7-f1c3-4f83-b33d-95489157594d)
)
(bus_entry (at 73.66 142.24) (size -2.54 2.54)
(stroke (width 0) (type default))
(uuid 1296b795-8bc7-4436-9c54-9604437c8ea7)
)
(bus_entry (at 137.16 99.06) (size -2.54 2.54)
(stroke (width 0) (type default))
(uuid 14a324f0-669f-4092-a42a-d8be51e123aa)
)
(bus_entry (at 114.3 99.06) (size 2.54 2.54)
(stroke (width 0) (type default))
(uuid 15e1763e-64af-4526-bba1-1883b98ce770)
)
(bus_entry (at 114.3 93.98) (size 2.54 2.54)
(stroke (width 0) (type default))
(uuid 17a16829-4c0f-4d74-a1b7-efb7cd10b218)
)
(bus_entry (at 114.3 172.72) (size 2.54 2.54)
(stroke (width 0) (type default))
(uuid 198e183b-7e48-4c23-a51b-df4d37e49f02)
)
(bus_entry (at 137.16 119.38) (size -2.54 2.54)
(stroke (width 0) (type default))
(uuid 1c02d657-5169-4b90-8a70-b1c4c15bdd4c)
)
(bus_entry (at 137.16 190.5) (size -2.54 2.54)
(stroke (width 0) (type default))
(uuid 1c35cd5e-faf2-426e-9eef-d7251a1ccc34)
)
(bus_entry (at 137.16 121.92) (size -2.54 2.54)
(stroke (width 0) (type default))
(uuid 1d804677-2cb5-4199-a7e6-62cf26702f66)
)
(bus_entry (at 137.16 127) (size -2.54 2.54)
(stroke (width 0) (type default))
(uuid 1e1fd8d5-e315-469c-816a-40fc253f6589)
)
(bus_entry (at 172.72 190.5) (size 2.54 2.54)
(stroke (width 0) (type default))
(uuid 1f08efdf-a9f3-4dac-8cad-fa3e3ea2e49a)
)
(bus_entry (at 172.72 175.26) (size 2.54 2.54)
(stroke (width 0) (type default))
(uuid 1fd7284e-ed56-4e75-b598-1a6dfc294e7e)
)
(bus_entry (at 73.66 81.28) (size -2.54 2.54)
(stroke (width 0) (type default))
(uuid 20cf7d1f-7e9a-4ad2-92c9-0e307daab1c5)
)
(bus_entry (at 73.66 172.72) (size -2.54 2.54)
(stroke (width 0) (type default))
(uuid 2114cb5e-2905-49b4-89d7-61f9744af9f9)
)
(bus_entry (at 12.7 27.94) (size -2.54 2.54)
(stroke (width 0) (type default))
(uuid 2230cfa9-11e3-4e2e-8bd1-b3bd6ed7a467)
)
(bus_entry (at 137.16 88.9) (size -2.54 2.54)
(stroke (width 0) (type default))
(uuid 234c9cc7-319c-46b9-b26e-df4915e61f03)
)
(bus_entry (at 114.3 187.96) (size 2.54 2.54)
(stroke (width 0) (type default))
(uuid 27cb111a-fdab-4021-85af-b6f6ab3babc6)
)
(bus_entry (at 114.3 175.26) (size 2.54 2.54)
(stroke (width 0) (type default))
(uuid 27ef5d4d-62db-4024-9bdb-4ad43cf01e56)
)
(bus_entry (at 73.66 187.96) (size -2.54 2.54)
(stroke (width 0) (type default))
(uuid 2b260377-7c73-40d9-bfcb-5a0ef264e5c2)
)
(bus_entry (at 114.3 50.8) (size 2.54 2.54)
(stroke (width 0) (type default))
(uuid 2bd53101-c516-4576-bf7c-a27705842cd1)
)
(bus_entry (at 73.66 177.8) (size -2.54 2.54)
(stroke (width 0) (type default))
(uuid 318a51fb-608f-466e-a20f-16b03df74d44)
)
(bus_entry (at 172.72 149.86) (size 2.54 2.54)
(stroke (width 0) (type default))
(uuid 32b45104-54b4-466f-817e-79a56b225e15)
)
(bus_entry (at 137.16 177.8) (size -2.54 2.54)
(stroke (width 0) (type default))
(uuid 356f22c4-8faf-4cfe-aafb-c355e02568b5)
)
(bus_entry (at 73.66 175.26) (size -2.54 2.54)
(stroke (width 0) (type default))
(uuid 38baf5c0-56fb-4e29-a3c3-41150946d629)
)
(bus_entry (at 73.66 182.88) (size -2.54 2.54)
(stroke (width 0) (type default))
(uuid 3936fb57-73ec-4888-b4ff-6dc714439958)
)
(bus_entry (at 114.3 53.34) (size 2.54 2.54)
(stroke (width 0) (type default))
(uuid 3ccdc8fe-bfce-4f16-b65f-99f143ed30e7)
)
(bus_entry (at 73.66 99.06) (size -2.54 2.54)
(stroke (width 0) (type default))
(uuid 3d2f63a5-dd2b-46a4-a38a-ac86cd769617)
)
(bus_entry (at 137.16 162.56) (size -2.54 2.54)
(stroke (width 0) (type default))
(uuid 3d8ba8fb-9d7e-4548-a243-30d3e0e99300)
)
(bus_entry (at 172.72 91.44) (size 2.54 2.54)
(stroke (width 0) (type default))
(uuid 42e157c1-5ce5-4528-b3db-a6c0021aacde)
)
(bus_entry (at 114.3 86.36) (size 2.54 2.54)
(stroke (width 0) (type default))
(uuid 4449c1e7-9311-4d52-ae5b-18609f0f074d)
)
(bus_entry (at 137.16 132.08) (size -2.54 2.54)
(stroke (width 0) (type default))
(uuid 476c74b2-82b8-4c87-aec0-67a486fea6ff)
)
(bus_entry (at 114.3 116.84) (size 2.54 2.54)
(stroke (width 0) (type default))
(uuid 481e1cb5-dbfd-45f2-80ec-7d86f97afc89)
)
(bus_entry (at 114.3 68.58) (size 2.54 2.54)
(stroke (width 0) (type default))
(uuid 494e4119-e1f9-4d96-acfb-8db6241a7e3f)
)
(bus_entry (at 137.16 83.82) (size -2.54 2.54)
(stroke (width 0) (type default))
(uuid 4a8a96d0-b885-4b89-bb8a-c8f70febc473)
)
(bus_entry (at 172.72 83.82) (size 2.54 2.54)
(stroke (width 0) (type default))
(uuid 4aa76a67-dba1-4d6b-9bcf-74fce11f0c77)
)
(bus_entry (at 172.72 160.02) (size 2.54 2.54)
(stroke (width 0) (type default))
(uuid 4b76d348-e0cf-4509-b204-9e9e9e948709)
)
(bus_entry (at 12.7 25.4) (size -2.54 2.54)
(stroke (width 0) (type default))
(uuid 4e9322c2-9bbd-4c0b-85fc-50bb019890bc)
)
(bus_entry (at 172.72 154.94) (size 2.54 2.54)
(stroke (width 0) (type default))
(uuid 57693583-6117-41fd-bc2c-28c0976f6a50)
)
(bus_entry (at 114.3 66.04) (size 2.54 2.54)
(stroke (width 0) (type default))
(uuid 5df06de5-0dc8-4734-8a38-6fe8f9282e2a)
)
(bus_entry (at 137.16 193.04) (size -2.54 2.54)
(stroke (width 0) (type default))
(uuid 5f238c28-122f-460b-b23b-6df58338fa33)
)
(bus_entry (at 172.72 86.36) (size 2.54 2.54)
(stroke (width 0) (type default))
(uuid 5fa4246c-28de-4997-97ee-399ead0f32e8)
)
(bus_entry (at 137.16 157.48) (size -2.54 2.54)
(stroke (width 0) (type default))
(uuid 5ffa4386-bade-4f61-83cb-391e4ea7f9cc)
)
(bus_entry (at 172.72 88.9) (size 2.54 2.54)
(stroke (width 0) (type default))
(uuid 687624f2-4b9a-404c-b542-c72975f1ede7)
)
(bus_entry (at 12.7 22.86) (size -2.54 2.54)
(stroke (width 0) (type default))
(uuid 6d2d83c5-d995-450b-90c5-b3a8f92516dd)
)
(bus_entry (at 114.3 83.82) (size 2.54 2.54)
(stroke (width 0) (type default))
(uuid 6d97150d-abab-40aa-8317-250b7e812817)
)
(bus_entry (at 172.72 124.46) (size 2.54 2.54)
(stroke (width 0) (type default))
(uuid 6dd5cf1b-d516-41f8-b9dd-4185dfd8a88d)
)
(bus_entry (at 137.16 182.88) (size -2.54 2.54)
(stroke (width 0) (type default))
(uuid 6f36ae85-f901-40b4-8f81-3891a6f8502a)
)
(bus_entry (at 137.16 154.94) (size -2.54 2.54)
(stroke (width 0) (type default))
(uuid 70320339-39da-4421-a088-a251921c7052)
)
(bus_entry (at 114.3 190.5) (size 2.54 2.54)
(stroke (width 0) (type default))
(uuid 70e69279-e166-48ad-8e79-ae89f2912176)
)
(bus_entry (at 73.66 152.4) (size -2.54 2.54)
(stroke (width 0) (type default))
(uuid 71afba4b-1962-4809-9a95-5858b9bc5f85)
)
(bus_entry (at 137.16 129.54) (size -2.54 2.54)
(stroke (width 0) (type default))
(uuid 7301d507-0a07-4328-8b5a-863d23882b5f)
)
(bus_entry (at 172.72 93.98) (size 2.54 2.54)
(stroke (width 0) (type default))
(uuid 7335a235-56d2-4c50-8478-8186aa7e60a6)
)
(bus_entry (at 73.66 116.84) (size -2.54 2.54)
(stroke (width 0) (type default))
(uuid 76d1f231-5dd3-4a2d-88f2-641199aba2b0)
)
(bus_entry (at 114.3 121.92) (size 2.54 2.54)
(stroke (width 0) (type default))
(uuid 79d1503d-a29d-445f-8d4e-322644be7da9)
)
(bus_entry (at 137.16 86.36) (size -2.54 2.54)
(stroke (width 0) (type default))
(uuid 7cc7ad18-d843-4dcb-862a-491f46a4f862)
)
(bus_entry (at 137.16 175.26) (size -2.54 2.54)
(stroke (width 0) (type default))
(uuid 7e8a4262-9b61-4a58-995e-dea46c750283)
)
(bus_entry (at 137.16 180.34) (size -2.54 2.54)
(stroke (width 0) (type default))
(uuid 7f90e651-03fe-434f-9caa-e90f2ba290f8)
)
(bus_entry (at 137.16 91.44) (size -2.54 2.54)
(stroke (width 0) (type default))
(uuid 80c2ceb4-0ed7-408a-a88e-bf8b66c1e6e9)
)
(bus_entry (at 172.72 116.84) (size 2.54 2.54)
(stroke (width 0) (type default))
(uuid 812ce478-31bd-4e59-a7f3-64723d90f991)
)
(bus_entry (at 114.3 114.3) (size 2.54 2.54)
(stroke (width 0) (type default))
(uuid 827b11fd-484f-4f76-8950-cb141d9cf9bd)
)
(bus_entry (at 114.3 144.78) (size 2.54 2.54)
(stroke (width 0) (type default))
(uuid 834c428f-497c-4080-9bc0-d872d64315e0)
)
(bus_entry (at 172.72 81.28) (size 2.54 2.54)
(stroke (width 0) (type default))
(uuid 86b0c2e9-54ce-4301-88a7-5174fba512be)
)
(bus_entry (at 114.3 58.42) (size 2.54 2.54)
(stroke (width 0) (type default))
(uuid 8981bfd2-7223-415a-ad2b-afefcc85176b)
)
(bus_entry (at 172.72 157.48) (size 2.54 2.54)
(stroke (width 0) (type default))
(uuid 8b58c633-a82a-4401-a5e5-943dc6e0db17)
)
(bus_entry (at 172.72 152.4) (size 2.54 2.54)
(stroke (width 0) (type default))
(uuid 8e09b70e-b4a1-4ada-8186-97cf8b0d7533)
)
(bus_entry (at 172.72 127) (size 2.54 2.54)
(stroke (width 0) (type default))
(uuid 9221039a-777b-4caa-a389-045b25b9a819)
)
(bus_entry (at 172.72 142.24) (size 2.54 2.54)
(stroke (width 0) (type default))
(uuid 936f08f3-c0df-4a24-8534-6f89f00e7d00)
)
(bus_entry (at 114.3 55.88) (size 2.54 2.54)
(stroke (width 0) (type default))
(uuid 984ce8f9-a669-460e-9415-25cf07094c5d)
)
(bus_entry (at 73.66 127) (size -2.54 2.54)
(stroke (width 0) (type default))
(uuid 995b567f-ee91-41d9-9235-45d535cb3782)
)
(bus_entry (at 114.3 60.96) (size 2.54 2.54)
(stroke (width 0) (type default))
(uuid 998eb1ea-af1f-4abb-98ed-73a49b1f6529)
)
(bus_entry (at 137.16 152.4) (size -2.54 2.54)
(stroke (width 0) (type default))
(uuid 99e30be6-875d-4db5-8eda-3c21d0a83ba1)
)
(bus_entry (at 114.3 129.54) (size 2.54 2.54)
(stroke (width 0) (type default))
(uuid 9add0b35-495e-468b-866d-51af8f014e89)
)
(bus_entry (at 172.72 172.72) (size 2.54 2.54)
(stroke (width 0) (type default))
(uuid 9d0de739-da27-4676-b88a-da7dfc4cd54f)
)
(bus_entry (at 137.16 147.32) (size -2.54 2.54)
(stroke (width 0) (type default))
(uuid 9d70a33c-390f-45c5-af4b-012e64a45b95)
)
(bus_entry (at 73.66 190.5) (size -2.54 2.54)
(stroke (width 0) (type default))
(uuid 9f6c1561-963c-4598-a94a-0766a44f04e0)
)
(bus_entry (at 114.3 185.42) (size 2.54 2.54)
(stroke (width 0) (type default))
(uuid a138ec0d-0796-4bbc-8f95-af342ca7f404)
)
(bus_entry (at 172.72 111.76) (size 2.54 2.54)
(stroke (width 0) (type default))
(uuid a219a6df-3c6a-43db-b4d7-e34875b4e183)
)
(bus_entry (at 114.3 111.76) (size 2.54 2.54)
(stroke (width 0) (type default))
(uuid a2959367-00a1-4737-b4d1-f5dbd7d12fa1)
)
(bus_entry (at 73.66 121.92) (size -2.54 2.54)
(stroke (width 0) (type default))
(uuid a2f7ba04-1321-4c46-926a-971e8f5d0966)
)
(bus_entry (at 73.66 154.94) (size -2.54 2.54)
(stroke (width 0) (type default))
(uuid a370a4c5-8eca-4f04-b131-deea823f5355)
)
(bus_entry (at 137.16 149.86) (size -2.54 2.54)
(stroke (width 0) (type default))
(uuid a6800a18-b063-4f01-a1cc-7514fffe2a20)
)
(bus_entry (at 172.72 144.78) (size 2.54 2.54)
(stroke (width 0) (type default))
(uuid a6d5871c-9471-47ea-b2bd-214be221a450)
)
(bus_entry (at 114.3 88.9) (size 2.54 2.54)
(stroke (width 0) (type default))
(uuid aa5a27e1-b7d3-4e76-95d8-47c0091314ad)
)
(bus_entry (at 114.3 160.02) (size 2.54 2.54)
(stroke (width 0) (type default))
(uuid ac86067e-4251-4ce1-ba41-0ac1ef06ad69)
)
(bus_entry (at 114.3 96.52) (size 2.54 2.54)
(stroke (width 0) (type default))
(uuid b126af1d-3cbd-4d00-aa68-22bc1ac4e826)
)
(bus_entry (at 73.66 144.78) (size -2.54 2.54)
(stroke (width 0) (type default))
(uuid b2aa6510-cf15-4123-ace8-58978e210a6d)
)
(bus_entry (at 172.72 147.32) (size 2.54 2.54)
(stroke (width 0) (type default))
(uuid b3800d24-433d-45c9-9492-cbef66561bb3)
)
(bus_entry (at 114.3 81.28) (size 2.54 2.54)
(stroke (width 0) (type default))
(uuid b70d00ea-3405-4e2a-a36c-fd823f78cb89)
)
(bus_entry (at 73.66 124.46) (size -2.54 2.54)
(stroke (width 0) (type default))
(uuid b77862b9-6525-4889-a069-534fe136ad85)
)
(bus_entry (at 73.66 157.48) (size -2.54 2.54)
(stroke (width 0) (type default))
(uuid b8283b29-ae57-409d-81aa-c2c73c4c2e5c)
)
(bus_entry (at 172.72 119.38) (size 2.54 2.54)
(stroke (width 0) (type default))
(uuid b844d9c0-d9df-4d5e-a128-c1a5aa3c4782)
)
(bus_entry (at 172.72 180.34) (size 2.54 2.54)
(stroke (width 0) (type default))
(uuid b8b90a16-8fbc-4ec7-af7d-de107b36d818)
)
(bus_entry (at 172.72 177.8) (size 2.54 2.54)
(stroke (width 0) (type default))
(uuid ba3799b6-83cb-435f-a0a7-df4a636c7baa)
)
(bus_entry (at 114.3 119.38) (size 2.54 2.54)
(stroke (width 0) (type default))
(uuid bb8e0371-698a-4a4b-8e94-e8e9eaac12b9)
)
(bus_entry (at 73.66 114.3) (size -2.54 2.54)
(stroke (width 0) (type default))
(uuid bd634c49-bd25-4d25-ad4f-bf15b1e6a39c)
)
(bus_entry (at 114.3 91.44) (size 2.54 2.54)
(stroke (width 0) (type default))
(uuid bf8eeab0-3fd5-4b5b-a868-5bb88bca5307)
)
(bus_entry (at 114.3 182.88) (size 2.54 2.54)
(stroke (width 0) (type default))
(uuid c105b6bc-a66b-4597-b38a-1d2c7c150cc3)
)
(bus_entry (at 172.72 185.42) (size 2.54 2.54)
(stroke (width 0) (type default))
(uuid c19f8afe-9409-4cc6-a946-49c1c78bc112)
)
(bus_entry (at 73.66 91.44) (size -2.54 2.54)
(stroke (width 0) (type default))
(uuid c2e150cf-2bcf-42cd-a319-83504cef754b)
)
(bus_entry (at 137.16 101.6) (size -2.54 2.54)
(stroke (width 0) (type default))
(uuid c30d30e4-e879-4838-bce4-d18e84ff6695)
)
(bus_entry (at 114.3 154.94) (size 2.54 2.54)
(stroke (width 0) (type default))
(uuid c34a5b95-afd9-4e68-bdf7-575cc2267a7b)
)
(bus_entry (at 73.66 185.42) (size -2.54 2.54)
(stroke (width 0) (type default))
(uuid c7e9de7c-2f2e-4a3b-9101-266b850c9ea3)
)
(bus_entry (at 114.3 157.48) (size 2.54 2.54)
(stroke (width 0) (type default))
(uuid ce3e12a9-84ce-438f-921e-7e8d61e7cf77)
)
(bus_entry (at 73.66 160.02) (size -2.54 2.54)
(stroke (width 0) (type default))
(uuid cebe08f6-d156-49ac-8b87-2378d9d8b91d)
)
(bus_entry (at 73.66 149.86) (size -2.54 2.54)
(stroke (width 0) (type default))
(uuid d0ed920d-265c-4397-9b11-5c033f93adb4)
)
(bus_entry (at 114.3 177.8) (size 2.54 2.54)
(stroke (width 0) (type default))
(uuid d33ce80b-5de7-4e15-b2ca-73fb8a28ebdd)
)
(bus_entry (at 114.3 63.5) (size 2.54 2.54)
(stroke (width 0) (type default))
(uuid d87bf89f-115f-4794-a206-6a4ffcdc4e39)
)
(bus_entry (at 172.72 182.88) (size 2.54 2.54)
(stroke (width 0) (type default))
(uuid d8da7090-8080-43d0-81d1-a95327941616)
)
(bus_entry (at 73.66 111.76) (size -2.54 2.54)
(stroke (width 0) (type default))
(uuid da9ffeeb-f2a6-4235-9c2c-35d1a6784b7e)
)
(bus_entry (at 73.66 147.32) (size -2.54 2.54)
(stroke (width 0) (type default))
(uuid dc609a45-28d1-4dda-9dba-7abfb8a04ff1)
)
(bus_entry (at 73.66 119.38) (size -2.54 2.54)
(stroke (width 0) (type default))
(uuid de1dbafe-2d45-4b07-b564-4ca2c6d40022)
)
(bus_entry (at 137.16 144.78) (size -2.54 2.54)
(stroke (width 0) (type default))
(uuid e0db4da0-a0a1-4e4a-9861-96923d57fabe)
)
(bus_entry (at 137.16 114.3) (size -2.54 2.54)
(stroke (width 0) (type default))
(uuid e2f68340-a080-40fa-86ea-65b1025d52c4)
)
(bus_entry (at 114.3 180.34) (size 2.54 2.54)
(stroke (width 0) (type default))
(uuid e509ffa1-2ba2-409e-9453-c13338f27242)
)
(bus_entry (at 172.72 129.54) (size 2.54 2.54)
(stroke (width 0) (type default))
(uuid e5876622-fbea-465f-94b8-03ca6429879c)
)
(bus_entry (at 137.16 124.46) (size -2.54 2.54)
(stroke (width 0) (type default))
(uuid e5ebdc74-7387-4285-a164-a6dca10f8964)
)
(bus_entry (at 172.72 99.06) (size 2.54 2.54)
(stroke (width 0) (type default))
(uuid e8a19d20-f866-4306-879f-a8df66119763)
)
(bus_entry (at 137.16 185.42) (size -2.54 2.54)
(stroke (width 0) (type default))
(uuid eabc4b7c-0c9a-421c-bb95-6b18cc5bee72)
)
(bus_entry (at 137.16 96.52) (size -2.54 2.54)
(stroke (width 0) (type default))
(uuid ec62a361-e40b-4dca-8ed1-68352074e99d)
)
(bus_entry (at 57.15 91.44) (size 2.54 -2.54)
(stroke (width 0) (type default))
(uuid ed660e81-7b6e-44ee-9f9d-ff4347db748c)
)
(bus_entry (at 137.16 160.02) (size -2.54 2.54)
(stroke (width 0) (type default))
(uuid f1c00c4a-2850-4320-8c68-4dbd4544a142)
)
(bus_entry (at 172.72 96.52) (size 2.54 2.54)
(stroke (width 0) (type default))
(uuid f27a3b65-9d60-44e2-8f68-2f410c4facb5)
)
(bus_entry (at 73.66 180.34) (size -2.54 2.54)
(stroke (width 0) (type default))
(uuid f3c72dd0-90fd-4039-8afb-38af5378a085)
)
(bus_entry (at 172.72 114.3) (size 2.54 2.54)
(stroke (width 0) (type default))
(uuid f717036e-33f4-4386-9ad5-3bf10b0b259b)
)
(bus_entry (at 73.66 93.98) (size -2.54 2.54)
(stroke (width 0) (type default))
(uuid f8c88a0f-ca71-47ea-9622-eada96e5872c)
)
(bus_entry (at 73.66 96.52) (size -2.54 2.54)
(stroke (width 0) (type default))
(uuid fdb60e3b-d7b3-44e2-b9fc-a38992eeae7a)
)
(bus_entry (at 114.3 152.4) (size 2.54 2.54)
(stroke (width 0) (type default))
(uuid ff7931de-74f5-4699-8fe4-131ea4873082)
)
(wire (pts (xy 104.14 96.52) (xy 114.3 96.52))
(stroke (width 0) (type default))
(uuid 00b2b291-4ed8-4ed2-8b01-095bb8e30c23)
)
(bus (pts (xy 71.12 124.46) (xy 71.12 127))
(stroke (width 0) (type default))
(uuid 01517775-975d-4a22-9e39-3568224c02f4)
)
(bus (pts (xy 116.84 177.8) (xy 116.84 180.34))
(stroke (width 0) (type default))
(uuid 016f641d-4dda-4a2e-b9fd-f4245653764e)
)
(wire (pts (xy 165.1 129.54) (xy 172.72 129.54))
(stroke (width 0) (type default))
(uuid 0232a8ed-a178-4174-916d-47707fb8b321)
)
(wire (pts (xy 76.2 86.36) (xy 83.82 86.36))
(stroke (width 0) (type default))
(uuid 026265fa-063c-406c-91c9-1991efaad0b0)
)
(bus (pts (xy 134.62 99.06) (xy 134.62 101.6))
(stroke (width 0) (type default))
(uuid 02fd0ec7-15a5-4aa4-a946-d5e4f384dabf)
)
(wire (pts (xy 83.82 88.9) (xy 76.2 88.9))
(stroke (width 0) (type default))
(uuid 03855ad2-c36a-4717-9086-5eb0d0078dd6)
)
(bus (pts (xy 134.62 147.32) (xy 134.62 149.86))
(stroke (width 0) (type default))
(uuid 05207a8a-1e5e-4999-9860-d88ccc5da3ba)
)
(wire (pts (xy 83.82 149.86) (xy 73.66 149.86))
(stroke (width 0) (type default))
(uuid 058167ff-d93f-45bf-836b-f8a890d7915c)
)
(bus (pts (xy 116.84 132.08) (xy 116.84 144.78))
(stroke (width 0) (type default))
(uuid 05960c24-9282-40ee-8aa9-8e61660aab27)
)
(wire (pts (xy 165.1 177.8) (xy 172.72 177.8))
(stroke (width 0) (type default))
(uuid 05eec887-602e-4cea-90ad-cb6aec737f41)
)
(bus (pts (xy 175.26 182.88) (xy 175.26 185.42))
(stroke (width 0) (type default))
(uuid 0709a9fe-bd68-4ec5-abd0-c68d2316bda0)
)
(bus (pts (xy 175.26 99.06) (xy 175.26 101.6))
(stroke (width 0) (type default))
(uuid 07b58c9b-7245-435d-81d3-925c5ff6c95e)
)
(wire (pts (xy 144.78 88.9) (xy 137.16 88.9))
(stroke (width 0) (type default))
(uuid 09fc757a-31b0-4fb6-9702-598faec7778d)
)
(bus (pts (xy 116.84 180.34) (xy 116.84 182.88))
(stroke (width 0) (type default))
(uuid 0c112909-a174-476d-8dd6-fc4305f87a00)
)
(wire (pts (xy -26.67 154.94) (xy -16.51 154.94))
(stroke (width 0) (type default))
(uuid 0cce02af-fb60-4f6b-b1db-6922c34e7ed9)
)
(wire (pts (xy 83.82 147.32) (xy 73.66 147.32))
(stroke (width 0) (type default))
(uuid 0cd8c860-318c-4ec6-ae9a-4bcaca61a708)
)
(wire (pts (xy 172.72 30.48) (xy 172.72 33.02))
(stroke (width 0) (type default))
(uuid 0d41c6eb-c9f5-43c8-9c99-666a59937693)
)
(wire (pts (xy 165.1 127) (xy 172.72 127))
(stroke (width 0) (type default))
(uuid 10adb04e-b4b9-474b-af01-904cb31e2e10)
)
(wire (pts (xy 144.78 116.84) (xy 137.16 116.84))
(stroke (width 0) (type default))
(uuid 10f35114-0278-49a7-8adb-3cf42d6e786b)
)
(wire (pts (xy 144.78 119.38) (xy 137.16 119.38))
(stroke (width 0) (type default))
(uuid 147931c9-04c0-402e-8f0b-1ff0565f22c9)
)
(bus (pts (xy 134.62 157.48) (xy 134.62 160.02))
(stroke (width 0) (type default))
(uuid 164f9620-884e-4977-a83d-d9e8d7f7861a)
)
(wire (pts (xy -36.83 160.02) (xy -26.67 160.02))
(stroke (width 0) (type default))
(uuid 166bed8c-b6b5-4615-ae12-3e152e779a33)
)
(bus (pts (xy 134.62 185.42) (xy 134.62 187.96))
(stroke (width 0) (type default))
(uuid 17fb7e37-c460-4801-b27f-7084a081b5d6)
)
(wire (pts (xy 165.1 185.42) (xy 172.72 185.42))
(stroke (width 0) (type default))
(uuid 191df1a7-f805-4574-88d3-7a33a5e6174e)
)
(wire (pts (xy 165.1 182.88) (xy 172.72 182.88))
(stroke (width 0) (type default))
(uuid 1972b316-5d6f-481c-96f2-508c8e70fdbf)
)
(wire (pts (xy 104.14 149.86) (xy 114.3 149.86))
(stroke (width 0) (type default))
(uuid 1a643e18-ac0c-411f-8cdb-468dccd2b141)
)
(wire (pts (xy 114.3 63.5) (xy 104.14 63.5))
(stroke (width 0) (type default))
(uuid 1a72e555-d236-414c-a0b5-f96863f63a98)
)
(bus (pts (xy 116.84 99.06) (xy 116.84 101.6))
(stroke (width 0) (type default))
(uuid 1c225cb2-c5d0-4c26-8b16-f0e540f94f2a)
)
(bus (pts (xy 71.12 93.98) (xy 71.12 96.52))
(stroke (width 0) (type default))
(uuid 1c9c6447-b77c-4eae-92e5-ab041144cd2a)
)
(wire (pts (xy -67.31 154.94) (xy -57.15 154.94))
(stroke (width 0) (type default))
(uuid 1f8082eb-428a-48d8-8d4c-d74d346c9548)
)
(bus (pts (xy 116.84 182.88) (xy 116.84 185.42))
(stroke (width 0) (type default))
(uuid 21aba84e-b410-4035-a522-165ca643d354)
)
(bus (pts (xy 71.12 83.82) (xy 71.12 93.98))
(stroke (width 0) (type default))
(uuid 21d4cbce-84fa-4ad5-92ee-fc34df7a989c)
)
(bus (pts (xy 134.62 152.4) (xy 134.62 154.94))
(stroke (width 0) (type default))
(uuid 22dbf020-2c79-472d-b7c9-f49de555180b)
)
(wire (pts (xy 165.1 187.96) (xy 172.72 187.96))
(stroke (width 0) (type default))
(uuid 242d4822-0316-476e-9294-7410c90eae13)
)
(wire (pts (xy 144.78 40.64) (xy 144.78 45.72))
(stroke (width 0) (type default))
(uuid 2446574c-d4d0-4595-92ef-7a2a284a08ce)
)
(bus (pts (xy 71.12 127) (xy 71.12 129.54))
(stroke (width 0) (type default))
(uuid 24aa9b01-b0a3-48f2-a4f2-b322d923eee9)
)
(wire (pts (xy 83.82 111.76) (xy 73.66 111.76))
(stroke (width 0) (type default))
(uuid 24d4d983-6bb8-44b9-993b-8182b8d89a78)
)
(wire (pts (xy 144.78 86.36) (xy 137.16 86.36))
(stroke (width 0) (type default))
(uuid 24e916a3-a55e-44a1-82c6-7f23384b2dfd)
)
(bus (pts (xy 175.26 119.38) (xy 175.26 121.92))
(stroke (width 0) (type default))
(uuid 260ec750-a5b7-4ab1-b2af-789b64c8082a)
)
(wire (pts (xy 104.14 152.4) (xy 114.3 152.4))
(stroke (width 0) (type default))
(uuid 2634f878-5225-44f3-804f-70c83299d0fe)
)
(bus (pts (xy 71.12 180.34) (xy 71.12 182.88))
(stroke (width 0) (type default))
(uuid 26577b44-18c1-4219-b30f-66998b6dd612)
)
(wire (pts (xy 59.69 86.36) (xy 68.58 86.36))
(stroke (width 0) (type default))
(uuid 28cd0ffc-3e88-4a63-96af-38a24b07309e)
)
(wire (pts (xy 144.78 124.46) (xy 137.16 124.46))
(stroke (width 0) (type default))
(uuid 28e1144d-d1f4-47e4-bdee-39311b8ef509)
)
(bus (pts (xy 71.12 160.02) (xy 71.12 162.56))
(stroke (width 0) (type default))
(uuid 297f30dd-1a06-4816-868d-aef67dae2033)
)
(wire (pts (xy 104.14 185.42) (xy 114.3 185.42))
(stroke (width 0) (type default))
(uuid 29d0771f-0812-4559-94cf-ccf2f7d20485)
)
(bus (pts (xy 175.26 175.26) (xy 175.26 177.8))
(stroke (width 0) (type default))
(uuid 2a072ec0-61f7-40b6-8012-a445db03bc41)
)
(bus (pts (xy 175.26 157.48) (xy 175.26 160.02))
(stroke (width 0) (type default))
(uuid 2a5a9205-2892-4c6a-8e53-d54d865e05be)
)
(wire (pts (xy 165.1 157.48) (xy 172.72 157.48))
(stroke (width 0) (type default))
(uuid 2ae78b64-0084-4099-a28f-42206f8440f4)
)
(wire (pts (xy -67.31 165.1) (xy -57.15 165.1))
(stroke (width 0) (type default))
(uuid 2b5792d1-f065-4536-a693-b48405a34858)
)
(wire (pts (xy 175.26 27.94) (xy 172.72 27.94))
(stroke (width 0) (type default))
(uuid 2c16d7bf-0fe1-4613-a66e-a9948fcc053d)
)
(bus (pts (xy 116.84 88.9) (xy 116.84 91.44))
(stroke (width 0) (type default))
(uuid 2e2f4d34-4c79-41e4-af23-d1e39e021c37)
)
(wire (pts (xy 144.78 91.44) (xy 137.16 91.44))
(stroke (width 0) (type default))
(uuid 2e8e8609-4264-4b7b-b86f-b119cffc0bda)
)
(wire (pts (xy 104.14 175.26) (xy 114.3 175.26))
(stroke (width 0) (type default))
(uuid 3098ede3-1f0c-43ed-835e-59c03029acc7)
)
(wire (pts (xy 114.3 50.8) (xy 104.14 50.8))
(stroke (width 0) (type default))
(uuid 324def9a-0b7e-41c0-b8c4-250bcb719a27)
)
(wire (pts (xy 104.14 88.9) (xy 114.3 88.9))
(stroke (width 0) (type default))
(uuid 331c681e-d1f7-48e8-97ba-c8e15034c33e)
)
(wire (pts (xy 83.82 124.46) (xy 73.66 124.46))
(stroke (width 0) (type default))
(uuid 359dacb2-1852-45f8-a919-5a81725a99f0)
)
(bus (pts (xy 71.12 177.8) (xy 71.12 180.34))
(stroke (width 0) (type default))
(uuid 36490b1f-920c-4fda-83ec-215108b220df)
)
(bus (pts (xy 116.84 162.56) (xy 116.84 175.26))
(stroke (width 0) (type default))
(uuid 37971442-dba8-42ed-8ba1-4594ab84fd4b)
)
(wire (pts (xy 104.14 66.04) (xy 114.3 66.04))
(stroke (width 0) (type default))
(uuid 37ad63b4-a22d-4306-a8a7-b42f4451e39d)
)
(wire (pts (xy 83.82 175.26) (xy 73.66 175.26))
(stroke (width 0) (type default))
(uuid 37cffebe-b894-44b9-bfb2-e5381a83d814)
)
(bus (pts (xy 175.26 187.96) (xy 175.26 190.5))
(stroke (width 0) (type default))
(uuid 38321b6a-d89a-46fb-b3eb-de5872a2a6b0)
)
(wire (pts (xy 83.82 114.3) (xy 73.66 114.3))
(stroke (width 0) (type default))
(uuid 38c40110-7716-4ae9-b8b4-862920731519)
)
(bus (pts (xy 175.26 147.32) (xy 175.26 149.86))
(stroke (width 0) (type default))
(uuid 393343f0-2fa0-469c-9a88-6368a33bb835)
)
(bus (pts (xy 175.26 144.78) (xy 175.26 147.32))
(stroke (width 0) (type default))
(uuid 395afb39-446b-41ae-b38f-26dc6df5ec99)
)
(wire (pts (xy 144.78 177.8) (xy 137.16 177.8))
(stroke (width 0) (type default))
(uuid 39c83900-d5df-4095-8c36-1aa62f46b900)
)
(bus (pts (xy 134.62 119.38) (xy 134.62 121.92))
(stroke (width 0) (type default))
(uuid 3a105055-7cf1-4346-afb7-eaa86c332241)
)
(wire (pts (xy 165.1 114.3) (xy 172.72 114.3))
(stroke (width 0) (type default))
(uuid 3b0f5a64-062e-4d44-933c-b5c30545b9bf)
)
(wire (pts (xy 83.82 96.52) (xy 73.66 96.52))
(stroke (width 0) (type default))
(uuid 3c06c3fb-bdfd-4adb-9e57-309e090af53c)
)
(wire (pts (xy 144.78 144.78) (xy 137.16 144.78))
(stroke (width 0) (type default))
(uuid 3cfa4952-35cb-40cf-bfd9-fe1a88de679e)
)
(wire (pts (xy 144.78 182.88) (xy 137.16 182.88))
(stroke (width 0) (type default))
(uuid 3d9e19ee-9309-4f18-9cc1-8914b8be490b)
)
(bus (pts (xy 71.12 154.94) (xy 71.12 157.48))
(stroke (width 0) (type default))
(uuid 3e0d8292-93fd-407b-a014-aef1a5f9d5c1)
)
(bus (pts (xy 55.88 88.9) (xy 57.15 88.9))
(stroke (width 0) (type default))
(uuid 3fc724e0-8c11-494c-aef0-3c0751b66281)
)
(wire (pts (xy 142.24 40.64) (xy 144.78 40.64))
(stroke (width 0) (type default))
(uuid 434937bb-8d56-4493-9e92-5a3db3042a01)
)
(bus (pts (xy 116.84 149.86) (xy 116.84 152.4))
(stroke (width 0) (type default))
(uuid 44c8662a-7629-442f-8805-e7f7ee028566)
)
(wire (pts (xy 165.1 111.76) (xy 172.72 111.76))
(stroke (width 0) (type default))
(uuid 4566a52d-007b-4a94-8d69-46cb9f0107de)
)
(bus (pts (xy 116.84 127) (xy 116.84 129.54))
(stroke (width 0) (type default))
(uuid 4656edf4-c5c8-4fdc-a197-a96172e95081)
)
(wire (pts (xy 114.3 83.82) (xy 104.14 83.82))
(stroke (width 0) (type default))
(uuid 478dc779-3523-499a-8e7d-baa8ce55fb17)
)
(wire (pts (xy 22.86 43.18) (xy 22.86 40.64))
(stroke (width 0) (type default))
(uuid 48bdc584-9ca1-40a0-9464-d3126788f883)
)
(wire (pts (xy 165.1 119.38) (xy 172.72 119.38))
(stroke (width 0) (type default))
(uuid 4a20b4c6-e67d-4bc0-8a47-687500a5b733)
)
(bus (pts (xy 175.26 162.56) (xy 175.26 175.26))
(stroke (width 0) (type default))
(uuid 4a6b9054-57e3-485f-8e99-93a0a8082d39)
)
(bus (pts (xy 175.26 121.92) (xy 175.26 124.46))
(stroke (width 0) (type default))
(uuid 4c56b525-db3a-4293-be86-d297d571a36e)
)
(wire (pts (xy 12.7 22.86) (xy 22.86 22.86))
(stroke (width 0) (type default))
(uuid 4c74d880-b64c-4449-846f-86337203ca94)
)
(wire (pts (xy 144.78 66.04) (xy 144.78 68.58))
(stroke (width 0) (type default))
(uuid 4cc7131b-2d3c-4fa8-b465-6efde5115871)
)
(wire (pts (xy 165.1 93.98) (xy 172.72 93.98))
(stroke (width 0) (type default))
(uuid 4d2ab470-50d8-4cca-84b1-6fd9ec629314)
)
(bus (pts (xy 175.26 160.02) (xy 175.26 162.56))
(stroke (width 0) (type default))
(uuid 4dd8973a-dd1d-4799-8f83-4375bc18883a)
)
(wire (pts (xy 83.82 187.96) (xy 73.66 187.96))
(stroke (width 0) (type default))
(uuid 4ea130c0-b2ca-48f1-859b-7ae0919090ad)
)
(bus (pts (xy 71.12 116.84) (xy 71.12 119.38))
(stroke (width 0) (type default))
(uuid 4ec008dc-2209-43ea-b7be-c2cb7014b4e6)
)
(bus (pts (xy 175.26 154.94) (xy 175.26 157.48))
(stroke (width 0) (type default))
(uuid 509dd51b-7641-46fa-a052-d5e4157dbfe0)
)
(bus (pts (xy 134.62 187.96) (xy 134.62 190.5))
(stroke (width 0) (type default))
(uuid 50ae556f-858a-4892-aa31-901fdf77ace1)
)
(wire (pts (xy 83.82 160.02) (xy 73.66 160.02))
(stroke (width 0) (type default))
(uuid 538af795-5e6e-4d8f-a096-a9e5d20b0375)
)
(wire (pts (xy 83.82 154.94) (xy 73.66 154.94))
(stroke (width 0) (type default))
(uuid 54ddbb36-3060-44d6-8ce4-b3b81ac17c51)
)
(wire (pts (xy 144.78 114.3) (xy 137.16 114.3))
(stroke (width 0) (type default))
(uuid 5530b77f-2017-4fbe-a558-6dfa884cdae0)
)
(wire (pts (xy 144.78 132.08) (xy 137.16 132.08))
(stroke (width 0) (type default))
(uuid 55a39a5a-cdb3-4174-ac64-5817b4dd4fde)
)
(bus (pts (xy 116.84 96.52) (xy 116.84 99.06))
(stroke (width 0) (type default))
(uuid 56e9e13d-37f1-468c-a093-09c3c502655a)
)
(wire (pts (xy 144.78 157.48) (xy 137.16 157.48))
(stroke (width 0) (type default))
(uuid 57c4f10c-3978-4ce1-803e-a2cfd1a591a6)
)
(wire (pts (xy -36.83 165.1) (xy -26.67 165.1))
(stroke (width 0) (type default))
(uuid 595ff32b-55d8-43c9-8a98-763e445b3ecb)
)
(bus (pts (xy 116.84 58.42) (xy 116.84 60.96))
(stroke (width 0) (type default))
(uuid 5ca547e5-0dd8-431b-af7c-d4d72942677b)
)
(bus (pts (xy 134.62 160.02) (xy 134.62 162.56))
(stroke (width 0) (type default))
(uuid 5d520344-9177-45ef-ad93-77bdd57f7580)
)
(bus (pts (xy 175.26 129.54) (xy 175.26 132.08))
(stroke (width 0) (type default))
(uuid 5d60342e-c72e-4fbd-8536-bf49ed21f9cb)
)
(bus (pts (xy 71.12 119.38) (xy 71.12 121.92))
(stroke (width 0) (type default))
(uuid 5dba6a57-67b9-4966-bfe1-b2ac1a8a95e3)
)
(wire (pts (xy 144.78 93.98) (xy 137.16 93.98))
(stroke (width 0) (type default))
(uuid 5e06beff-bc87-4069-abce-f0277da795d6)
)
(wire (pts (xy 104.14 187.96) (xy 114.3 187.96))
(stroke (width 0) (type default))
(uuid 5ea75824-bad8-4c7a-8609-4de46d300079)
)
(bus (pts (xy 116.84 68.58) (xy 116.84 71.12))
(stroke (width 0) (type default))
(uuid 603cd9b7-a08f-4008-afb7-8cb8e36d277c)
)
(wire (pts (xy 104.14 147.32) (xy 114.3 147.32))
(stroke (width 0) (type default))
(uuid 607d15a8-e937-4086-88e9-e6accacc55f3)
)
(wire (pts (xy 144.78 63.5) (xy 144.78 66.04))
(stroke (width 0) (type default))
(uuid 62c6be55-6859-49c4-86c2-0bb867506ebd)
)
(wire (pts (xy 81.28 78.74) (xy 83.82 78.74))
(stroke (width 0) (type default))
(uuid 62c8105a-b20d-4e5c-9c44-5abd09ffb683)
)
(wire (pts (xy 104.14 160.02) (xy 114.3 160.02))
(stroke (width 0) (type default))
(uuid 63e4f781-693d-4332-ad4b-202d9290af33)
)
(wire (pts (xy -26.67 149.86) (xy -16.51 149.86))
(stroke (width 0) (type default))
(uuid 64579290-ab3b-4fcc-8d8f-990504af6f6e)
)
(wire (pts (xy 165.1 190.5) (xy 172.72 190.5))
(stroke (width 0) (type default))
(uuid 669e2690-538b-4aa0-a75b-bd219794934d)
)
(wire (pts (xy -67.31 149.86) (xy -57.15 149.86))
(stroke (width 0) (type default))
(uuid 6823d1bc-660a-470e-8ae0-59ee55159103)
)
(wire (pts (xy 165.1 96.52) (xy 172.72 96.52))
(stroke (width 0) (type default))
(uuid 6841a86a-6666-4449-8172-784c523b1bb8)
)
(wire (pts (xy 83.82 180.34) (xy 73.66 180.34))
(stroke (width 0) (type default))
(uuid 6891fa6b-20df-4e68-97a4-74fa9b2ecdbc)
)
(bus (pts (xy 175.26 93.98) (xy 175.26 96.52))
(stroke (width 0) (type default))
(uuid 6910f8ec-1ade-420c-988c-d266f2c5a30c)
)
(bus (pts (xy 176.53 83.82) (xy 175.26 83.82))
(stroke (width 0) (type default))
(uuid 6993980d-6402-4263-98d2-ebf66c5e1a3e)
)
(wire (pts (xy 165.1 121.92) (xy 172.72 121.92))
(stroke (width 0) (type default))
(uuid 6aae22a3-fcf6-4a95-a26b-0344a2f117d7)
)
(wire (pts (xy 104.14 127) (xy 114.3 127))
(stroke (width 0) (type default))
(uuid 6b6c65f5-d3f8-48d7-b6ee-25020b132a7f)
)
(wire (pts (xy -26.67 160.02) (xy -16.51 160.02))
(stroke (width 0) (type default))
(uuid 6cb05917-11bd-4a96-95a4-00c24f6b6086)
)
(bus (pts (xy 116.84 101.6) (xy 116.84 114.3))
(stroke (width 0) (type default))
(uuid 6e73ff06-30e2-4b46-9ac4-95e1f3658eb6)
)
(wire (pts (xy 165.1 160.02) (xy 172.72 160.02))
(stroke (width 0) (type default))
(uuid 70037f5c-5bb9-453d-b34d-7a4b8806a847)
)
(bus (pts (xy 57.15 88.9) (xy 57.15 91.44))
(stroke (width 0) (type default))
(uuid 7082bfc5-6906-43a7-8043-fc85668f9c93)
)
(wire (pts (xy 104.14 81.28) (xy 114.3 81.28))
(stroke (width 0) (type default))
(uuid 70dcf8b1-1147-4586-963d-25377e45dce9)
)
(bus (pts (xy 71.12 147.32) (xy 71.12 149.86))
(stroke (width 0) (type default))
(uuid 75cab22e-51e6-42f8-a8e2-43c863818a3a)
)
(bus (pts (xy 71.12 149.86) (xy 71.12 152.4))
(stroke (width 0) (type default))
(uuid 7668b3f4-bae5-45fa-bc05-e584990c9527)
)
(bus (pts (xy 134.62 101.6) (xy 134.62 104.14))
(stroke (width 0) (type default))
(uuid 78de94f1-f99f-4ef6-b2a5-7b0ac27edcbb)
)
(bus (pts (xy 118.11 83.82) (xy 116.84 83.82))
(stroke (width 0) (type default))
(uuid 7a4d4cb8-9733-4e8b-936d-062a67ec70bb)
)
(wire (pts (xy 144.78 152.4) (xy 137.16 152.4))
(stroke (width 0) (type default))
(uuid 7a5ed972-cf8f-47b9-abdf-a163b695082d)
)
(wire (pts (xy -57.15 160.02) (xy -46.99 160.02))
(stroke (width 0) (type default))
(uuid 7a87f633-38c3-46ea-9eea-6c44b5c0059d)
)
(wire (pts (xy 165.1 152.4) (xy 172.72 152.4))
(stroke (width 0) (type default))
(uuid 7cd54140-4eef-4e15-b40c-de54a935da33)
)
(bus (pts (xy 10.16 25.4) (xy 10.16 27.94))
(stroke (width 0) (type default))
(uuid 7dca86f9-2924-48b5-8d5c-a849a167c562)
)
(wire (pts (xy -46.99 154.94) (xy -36.83 154.94))
(stroke (width 0) (type default))
(uuid 7f9eae30-5a33-4a6e-96d4-89251ba07e54)
)
(bus (pts (xy 116.84 154.94) (xy 116.84 157.48))
(stroke (width 0) (type default))
(uuid 8065f3a3-dd05-4e86-87de-8379ea9db082)
)
(wire (pts (xy 165.1 99.06) (xy 172.72 99.06))
(stroke (width 0) (type default))
(uuid 80cdcf19-5637-460c-bdd5-bff2fc3080fc)
)
(wire (pts (xy 22.86 25.4) (xy 12.7 25.4))
(stroke (width 0) (type default))
(uuid 818f25b0-e649-4e6e-b312-911c46d8ca12)
)
(bus (pts (xy 134.62 121.92) (xy 134.62 124.46))
(stroke (width 0) (type default))
(uuid 836a0aeb-a799-448a-a426-7c5e2d5a8e37)
)
(wire (pts (xy -36.83 154.94) (xy -26.67 154.94))
(stroke (width 0) (type default))
(uuid 83c872a2-68d4-4499-8944-132c1075f292)
)
(wire (pts (xy -26.67 165.1) (xy -16.51 165.1))
(stroke (width 0) (type default))
(uuid 8410483f-36f4-45b7-8731-8dc5a0e9cee0)
)
(bus (pts (xy 134.62 182.88) (xy 134.62 185.42))
(stroke (width 0) (type default))
(uuid 871fcd50-1428-4aaa-acf8-a7381d58ee62)
)
(wire (pts (xy 165.1 86.36) (xy 172.72 86.36))
(stroke (width 0) (type default))
(uuid 87cc5a5c-6455-434f-93c6-90e68918377d)
)
(wire (pts (xy 83.82 81.28) (xy 73.66 81.28))
(stroke (width 0) (type default))
(uuid 8a6687bc-be32-4e40-9a70-d21a078f1bb3)
)
(bus (pts (xy 116.84 119.38) (xy 116.84 121.92))
(stroke (width 0) (type default))
(uuid 8a897a81-d1d2-458b-aec1-059bab99af06)
)
(bus (pts (xy 71.12 101.6) (xy 71.12 114.3))
(stroke (width 0) (type default))
(uuid 8c32ba7d-fc62-4944-8479-7caa00a0cdb0)
)
(bus (pts (xy 71.12 152.4) (xy 71.12 154.94))
(stroke (width 0) (type default))
(uuid 8d9590c2-59b5-4c52-ba7b-9e5f1ef30dd8)
)
(bus (pts (xy 175.26 190.5) (xy 175.26 193.04))
(stroke (width 0) (type default))
(uuid 8df58038-6889-4e3c-8d67-7e4959136742)
)
(bus (pts (xy 175.26 83.82) (xy 175.26 86.36))
(stroke (width 0) (type default))
(uuid 8efbff88-5cd0-4ce5-ae68-5d45c53a5652)
)
(wire (pts (xy 83.82 182.88) (xy 73.66 182.88))
(stroke (width 0) (type default))
(uuid 8f414a12-e13e-461e-b650-5aff54cecd3a)
)
(bus (pts (xy 175.26 149.86) (xy 175.26 152.4))
(stroke (width 0) (type default))
(uuid 8f99c81a-92f7-45af-907c-aa85caf99c17)
)
(bus (pts (xy 116.84 129.54) (xy 116.84 132.08))
(stroke (width 0) (type default))
(uuid 8fb01f69-614a-4f51-8f18-ca0209be97c6)
)
(wire (pts (xy 104.14 154.94) (xy 114.3 154.94))
(stroke (width 0) (type default))
(uuid 9042cd2a-1dfa-47a7-b45c-af959a6a8a11)
)
(bus (pts (xy 175.26 86.36) (xy 175.26 88.9))
(stroke (width 0) (type default))
(uuid 925c7cd8-2bb4-4761-93ad-e5eebaa4c697)
)
(bus (pts (xy 71.12 157.48) (xy 71.12 160.02))
(stroke (width 0) (type default))
(uuid 932a5e79-5f27-437e-b014-8dc0b9f49ec5)
)
(wire (pts (xy 104.14 111.76) (xy 114.3 111.76))
(stroke (width 0) (type default))
(uuid 944fb164-1c76-4283-a0c8-941b8fb5d09c)
)
(bus (pts (xy 116.84 116.84) (xy 116.84 119.38))
(stroke (width 0) (type default))
(uuid 96dc0509-b374-462b-a4c0-a7090b76844c)
)
(bus (pts (xy 134.62 93.98) (xy 134.62 96.52))
(stroke (width 0) (type default))
(uuid 972c0cf9-3822-4c5c-9b50-c065cbbac613)
)
(wire (pts (xy 144.78 193.04) (xy 137.16 193.04))
(stroke (width 0) (type default))
(uuid 97f5da54-30e5-4217-a769-7257c8522719)
)
(wire (pts (xy -57.15 149.86) (xy -46.99 149.86))
(stroke (width 0) (type default))
(uuid 989d78e9-c4b4-48ef-b6d0-3dbb3c2b29e7)
)
(bus (pts (xy 116.84 91.44) (xy 116.84 93.98))
(stroke (width 0) (type default))
(uuid 98e71039-ab27-4bab-9566-3d173c00074b)
)
(wire (pts (xy 165.1 149.86) (xy 172.72 149.86))
(stroke (width 0) (type default))
(uuid 99344584-a4a9-4ef6-84fa-48b99bfa9e93)
)
(wire (pts (xy 104.14 55.88) (xy 114.3 55.88))
(stroke (width 0) (type default))
(uuid 9967dca6-012b-4ef7-aa3b-0952df1e5937)
)
(wire (pts (xy 104.14 177.8) (xy 114.3 177.8))
(stroke (width 0) (type default))
(uuid 997d7308-391b-4608-8208-9c360cc28dd6)
)
(bus (pts (xy 116.84 53.34) (xy 116.84 55.88))
(stroke (width 0) (type default))
(uuid 9aafc51b-061d-43c6-a0fc-3d0136d01105)
)
(wire (pts (xy 83.82 119.38) (xy 73.66 119.38))
(stroke (width 0) (type default))
(uuid 9ad47f44-b76f-49c7-8dc1-f120c23f9244)
)
(bus (pts (xy 8.89 25.4) (xy 10.16 25.4))
(stroke (width 0) (type default))
(uuid 9b42f9c5-0b6e-4ccb-ab12-8e75824f9d1a)
)
(bus (pts (xy 134.62 86.36) (xy 134.62 88.9))
(stroke (width 0) (type default))
(uuid 9bae31a2-cfb2-4293-b1fe-05247fe6da12)
)
(wire (pts (xy 144.78 175.26) (xy 137.16 175.26))
(stroke (width 0) (type default))
(uuid 9cfdd04f-675c-4d92-854a-607fb76c680f)
)
(wire (pts (xy 104.14 142.24) (xy 114.3 142.24))
(stroke (width 0) (type default))
(uuid 9eb42bae-b523-4ec4-a2fc-288305781292)
)
(bus (pts (xy 134.62 124.46) (xy 134.62 127))
(stroke (width 0) (type default))
(uuid a180ee76-10f5-4d34-a5dc-ac18b1727056)
)
(wire (pts (xy 144.78 185.42) (xy 137.16 185.42))
(stroke (width 0) (type default))
(uuid a2338cca-4b6a-429a-8d67-7e12c0da460c)
)
(wire (pts (xy 144.78 149.86) (xy 137.16 149.86))
(stroke (width 0) (type default))
(uuid a2aaa4f1-fcbd-4b84-aea0-75bacac1f6b8)
)
(wire (pts (xy 83.82 152.4) (xy 73.66 152.4))
(stroke (width 0) (type default))
(uuid a3bce155-3b35-4355-811b-b655388770e1)
)
(wire (pts (xy 165.1 124.46) (xy 172.72 124.46))
(stroke (width 0) (type default))
(uuid a53dcf20-4a8e-426c-8585-a638bf50bde6)
)
(bus (pts (xy 116.84 160.02) (xy 116.84 162.56))
(stroke (width 0) (type default))
(uuid a551f259-beff-4b68-baf6-35cf232dc874)
)
(bus (pts (xy 175.26 127) (xy 175.26 129.54))
(stroke (width 0) (type default))
(uuid a6d68dfc-5e40-4bf4-b481-d400fc904695)
)
(wire (pts (xy -36.83 149.86) (xy -26.67 149.86))
(stroke (width 0) (type default))
(uuid a6de5c6d-af06-4a44-99b2-c41e185c7060)
)
(bus (pts (xy 175.26 177.8) (xy 175.26 180.34))
(stroke (width 0) (type default))
(uuid a7536a60-d4b6-4bb4-8929-fb978a052679)
)
(bus (pts (xy 10.16 27.94) (xy 10.16 30.48))
(stroke (width 0) (type default))
(uuid a80555a0-5f39-4151-af39-7a9de2e10a8b)
)
(wire (pts (xy 144.78 129.54) (xy 137.16 129.54))
(stroke (width 0) (type default))
(uuid a8dd1a3a-ea30-4765-8f01-a397e2973976)
)
(wire (pts (xy 83.82 142.24) (xy 73.66 142.24))
(stroke (width 0) (type default))
(uuid a98c5902-4de2-4e89-a214-7bc2ce8277a1)
)
(bus (pts (xy 134.62 165.1) (xy 134.62 177.8))
(stroke (width 0) (type default))
(uuid a992bd38-9e11-4218-904b-dd2849950dae)
)
(bus (pts (xy 175.26 116.84) (xy 175.26 119.38))
(stroke (width 0) (type default))
(uuid aa22f22f-292d-44d4-a0cd-4759964d2f87)
)
(wire (pts (xy 83.82 190.5) (xy 73.66 190.5))
(stroke (width 0) (type default))
(uuid aa519dba-d90a-4b4d-98cc-b7fb29a68273)
)
(bus (pts (xy 134.62 134.62) (xy 134.62 147.32))
(stroke (width 0) (type default))
(uuid aad32bb8-e19e-47cd-b063-57509b4a7e5c)
)
(bus (pts (xy 116.84 53.34) (xy 118.11 53.34))
(stroke (width 0) (type default))
(uuid aae3e20a-7cd6-4dfa-b876-42660c371604)
)
(wire (pts (xy 165.1 144.78) (xy 172.72 144.78))
(stroke (width 0) (type default))
(uuid ac97d48b-1b74-4ae7-ad71-35dfbe48562f)
)
(wire (pts (xy 83.82 129.54) (xy 73.66 129.54))
(stroke (width 0) (type default))
(uuid ace1d091-0275-47b9-9d04-bd3bd6cb3800)
)
(wire (pts (xy 165.1 175.26) (xy 172.72 175.26))
(stroke (width 0) (type default))
(uuid ade027cb-6ca4-4d7e-b641-813e1bf4a3e3)
)
(wire (pts (xy -57.15 154.94) (xy -46.99 154.94))
(stroke (width 0) (type default))
(uuid adf1978a-a285-44d2-b71d-7631043c3a8d)
)
(bus (pts (xy 71.12 121.92) (xy 71.12 124.46))
(stroke (width 0) (type default))
(uuid ae47d24f-9622-435d-a420-ebaa1db84a13)
)
(bus (pts (xy 116.84 187.96) (xy 116.84 190.5))
(stroke (width 0) (type default))
(uuid b1d9d1ce-1a94-4ea5-ba89-7db91c18351c)
)
(wire (pts (xy 83.82 91.44) (xy 73.66 91.44))
(stroke (width 0) (type default))
(uuid b23f935b-6745-462b-b787-a03b649909a5)
)
(wire (pts (xy 144.78 154.94) (xy 137.16 154.94))
(stroke (width 0) (type default))
(uuid b3858696-03f4-412b-805b-ef8348aa9974)
)
(wire (pts (xy 104.14 144.78) (xy 114.3 144.78))
(stroke (width 0) (type default))
(uuid b4fb27f4-17d9-4104-9603-36a3314369af)
)
(bus (pts (xy 116.84 124.46) (xy 116.84 127))
(stroke (width 0) (type default))
(uuid b51fc74a-14be-479e-ba1e-baad88fdf86c)
)
(bus (pts (xy 71.12 144.78) (xy 71.12 147.32))
(stroke (width 0) (type default))
(uuid b69033c5-0941-4ca0-a2b2-7850669b1e1f)
)
(bus (pts (xy 116.84 93.98) (xy 116.84 96.52))
(stroke (width 0) (type default))
(uuid bb5ec520-d740-416e-b488-03f2a724e02d)
)
(wire (pts (xy 20.32 43.18) (xy 22.86 43.18))
(stroke (width 0) (type default))
(uuid bbafd66a-e068-42ed-a53c-514075ffa320)
)
(wire (pts (xy 104.14 114.3) (xy 114.3 114.3))
(stroke (width 0) (type default))
(uuid bc6bd9e9-03ef-465b-9e5f-8fff394ed1de)
)
(wire (pts (xy 165.1 81.28) (xy 172.72 81.28))
(stroke (width 0) (type default))
(uuid be4eb8a2-7680-4020-b5c8-385d2a61ed17)
)
(bus (pts (xy 175.26 185.42) (xy 175.26 187.96))
(stroke (width 0) (type default))
(uuid be65fa38-7bd8-45a0-81c0-8e3ec6e56f77)
)
(bus (pts (xy 134.62 88.9) (xy 134.62 91.44))
(stroke (width 0) (type default))
(uuid c096f139-7d65-481d-a9a9-46a99a16d0f1)
)
(wire (pts (xy 83.82 144.78) (xy 73.66 144.78))
(stroke (width 0) (type default))
(uuid c0a7e79c-d839-4184-9efc-c073be145ffe)
)
(wire (pts (xy 114.3 68.58) (xy 104.14 68.58))
(stroke (width 0) (type default))
(uuid c15d5984-f728-48ae-897d-a52c7c7a43f7)
)
(wire (pts (xy 144.78 121.92) (xy 137.16 121.92))
(stroke (width 0) (type default))
(uuid c1db876a-a50c-4978-8937-45dbf100a6fc)
)
(wire (pts (xy 83.82 116.84) (xy 73.66 116.84))
(stroke (width 0) (type default))
(uuid c349fa58-3221-4046-a9f7-c4dfb2da98a2)
)
(wire (pts (xy 144.78 187.96) (xy 137.16 187.96))
(stroke (width 0) (type default))
(uuid c42d52a6-9c97-4d19-88e4-787d79a5d9fd)
)
(bus (pts (xy 175.26 101.6) (xy 175.26 114.3))
(stroke (width 0) (type default))
(uuid c42e2392-5b6e-4f8a-8a85-16839491a5a2)
)
(wire (pts (xy 165.1 142.24) (xy 172.72 142.24))
(stroke (width 0) (type default))
(uuid c4a9b240-f527-4f5e-9ade-fd00de189104)
)
(wire (pts (xy 106.68 44.45) (xy 104.14 44.45))
(stroke (width 0) (type default))
(uuid c5a7ece3-920e-44b3-9587-80a546757a87)
)
(bus (pts (xy 134.62 116.84) (xy 134.62 119.38))
(stroke (width 0) (type default))
(uuid c64507e1-1251-4d0d-8cfb-1129d59d8b1b)
)
(wire (pts (xy 104.14 190.5) (xy 114.3 190.5))
(stroke (width 0) (type default))
(uuid c73cea14-ccd5-4035-8f2d-30918fc8b36b)
)
(bus (pts (xy 116.84 144.78) (xy 116.84 147.32))
(stroke (width 0) (type default))
(uuid c74633c2-7bda-4076-91b3-08147b0f5324)
)
(wire (pts (xy 83.82 157.48) (xy 73.66 157.48))
(stroke (width 0) (type default))
(uuid c8468424-879f-4284-9da2-3cd8a15fca77)
)
(wire (pts (xy -46.99 149.86) (xy -36.83 149.86))
(stroke (width 0) (type default))
(uuid c89688af-39cd-42c3-a8e7-74924be7e6c1)
)
(bus (pts (xy 134.62 132.08) (xy 134.62 134.62))
(stroke (width 0) (type default))
(uuid c8d07269-cf1e-427c-ae6b-41fdfb470992)
)
(wire (pts (xy 144.78 180.34) (xy 137.16 180.34))
(stroke (width 0) (type default))
(uuid c99f7a01-0c92-460b-8c0f-49be39b8e8e5)
)
(wire (pts (xy 104.14 124.46) (xy 114.3 124.46))
(stroke (width 0) (type default))
(uuid ca0ea535-470c-4599-af1e-026aae9a0369)
)
(wire (pts (xy 104.14 93.98) (xy 114.3 93.98))
(stroke (width 0) (type default))
(uuid ca2d7966-cba5-4701-abc6-ea22c8a81a52)
)
(wire (pts (xy 12.7 27.94) (xy 22.86 27.94))
(stroke (width 0) (type default))
(uuid cacfe591-15cc-4590-8d78-bbe97a1d06bc)
)
(wire (pts (xy 104.14 116.84) (xy 114.3 116.84))
(stroke (width 0) (type default))
(uuid cad286ca-d3ca-401a-88c7-c49cc86039d2)
)
(wire (pts (xy 114.3 58.42) (xy 104.14 58.42))
(stroke (width 0) (type default))
(uuid cad2c372-ab0c-4aab-ae05-4cbf9ad90e0e)
)
(bus (pts (xy 116.84 63.5) (xy 116.84 60.96))
(stroke (width 0) (type default))
(uuid cb3ad936-3955-464f-b12c-414c80290792)
)
(wire (pts (xy 144.78 162.56) (xy 137.16 162.56))
(stroke (width 0) (type default))
(uuid cca37a26-0684-4058-8487-cd564182c8e7)
)
(wire (pts (xy -57.15 165.1) (xy -46.99 165.1))
(stroke (width 0) (type default))
(uuid cd474172-9f2f-4c11-9bd8-5cfeaa1269b6)
)
(bus (pts (xy 116.84 86.36) (xy 116.84 88.9))
(stroke (width 0) (type default))
(uuid cd87cf42-d51a-43f3-a00e-8e616f3ab905)
)
(wire (pts (xy 165.1 83.82) (xy 172.72 83.82))
(stroke (width 0) (type default))
(uuid cdf4acbf-477e-48f7-bf75-8ba39ec22898)
)
(bus (pts (xy 175.26 88.9) (xy 175.26 91.44))
(stroke (width 0) (type default))
(uuid ceee31d3-5061-4664-a8df-f595490e435e)
)
(bus (pts (xy 116.84 185.42) (xy 116.84 187.96))
(stroke (width 0) (type default))
(uuid cef1e1ff-9dca-4d16-a02d-a5bba2feaf7e)
)
(wire (pts (xy 83.82 177.8) (xy 73.66 177.8))
(stroke (width 0) (type default))
(uuid cf406f1a-f9f5-4328-90d0-6a6db16206ea)
)
(wire (pts (xy 144.78 101.6) (xy 137.16 101.6))
(stroke (width 0) (type default))
(uuid cf4c2235-6024-404a-9373-f7103d83284b)
)
(wire (pts (xy 104.14 91.44) (xy 114.3 91.44))
(stroke (width 0) (type default))
(uuid cf802843-41e7-4ac6-934b-1f8998c9252a)
)
(bus (pts (xy 175.26 91.44) (xy 175.26 93.98))
(stroke (width 0) (type default))
(uuid d185e0c5-8de1-4806-8fb7-dd124a1162f7)
)
(wire (pts (xy 104.14 119.38) (xy 114.3 119.38))
(stroke (width 0) (type default))
(uuid d1a8c66d-a3f8-4bae-a68d-5be724ffee04)
)
(bus (pts (xy 71.12 187.96) (xy 71.12 190.5))
(stroke (width 0) (type default))
(uuid d3338c6d-36a9-460b-841d-74a432724add)
)
(bus (pts (xy 133.35 86.36) (xy 134.62 86.36))
(stroke (width 0) (type default))
(uuid d4144db1-1f7c-43fb-8420-8f18321135bf)
)
(bus (pts (xy 71.12 114.3) (xy 71.12 116.84))
(stroke (width 0) (type default))
(uuid d638d8e3-7cae-4a25-b272-633cb4a2d3f9)
)
(wire (pts (xy 104.14 172.72) (xy 114.3 172.72))
(stroke (width 0) (type default))
(uuid d6f9ba92-15e2-4d04-96a4-2c2420df61a5)
)
(bus (pts (xy 71.12 132.08) (xy 71.12 144.78))
(stroke (width 0) (type default))
(uuid d7bf9811-3840-4d1e-b68b-d21475cb8629)
)
(bus (pts (xy 175.26 124.46) (xy 175.26 127))
(stroke (width 0) (type default))
(uuid d89441ed-5a94-45bc-a21d-49f43a318e49)
)
(bus (pts (xy 175.26 152.4) (xy 175.26 154.94))
(stroke (width 0) (type default))
(uuid d8f8b136-4b88-4458-b46a-4141a96b1b09)
)
(bus (pts (xy 175.26 132.08) (xy 175.26 144.78))
(stroke (width 0) (type default))
(uuid d95104bf-c907-4853-8819-d88b3888443b)
)
(bus (pts (xy 134.62 180.34) (xy 134.62 182.88))
(stroke (width 0) (type default))
(uuid d95e8698-b81d-48dc-90fa-524afb5bdbaf)
)
(bus (pts (xy 116.84 152.4) (xy 116.84 154.94))
(stroke (width 0) (type default))
(uuid d9c5dc0a-49fb-4398-ada1-06fe92636e65)
)
(bus (pts (xy 175.26 96.52) (xy 175.26 99.06))
(stroke (width 0) (type default))
(uuid da3ca98f-d9c5-4f0a-a1f1-a2dfbaff4ef4)
)
(wire (pts (xy 144.78 96.52) (xy 137.16 96.52))
(stroke (width 0) (type default))
(uuid da623484-08d5-4b05-84ec-6ed1c4f103c1)
)
(wire (pts (xy 165.1 88.9) (xy 172.72 88.9))
(stroke (width 0) (type default))
(uuid dc00fedd-742d-4db2-a1e9-802d757ba377)
)
(bus (pts (xy 71.12 99.06) (xy 71.12 101.6))
(stroke (width 0) (type default))
(uuid dc5253e8-b7fc-44a7-9faf-7e606a82459e)
)
(wire (pts (xy 104.14 99.06) (xy 114.3 99.06))
(stroke (width 0) (type default))
(uuid dc5e9018-9d62-4434-94fd-59e986c1b460)
)
(wire (pts (xy 83.82 185.42) (xy 73.66 185.42))
(stroke (width 0) (type default))
(uuid dca8a98e-6798-4a61-bccf-efcd3de859b8)
)
(wire (pts (xy 83.82 93.98) (xy 73.66 93.98))
(stroke (width 0) (type default))
(uuid dd183ab2-773f-40e7-8a04-3b71462b6881)
)
(wire (pts (xy 165.1 172.72) (xy 172.72 172.72))
(stroke (width 0) (type default))
(uuid dd18c08d-55bc-4688-92f5-1e6bf538fb47)
)
(wire (pts (xy 114.3 60.96) (xy 104.14 60.96))
(stroke (width 0) (type default))
(uuid dd456247-3b34-4c18-99d1-a7cfbdfc4b4f)
)
(bus (pts (xy 116.84 66.04) (xy 116.84 68.58))
(stroke (width 0) (type default))
(uuid dd708c0a-2a67-453f-899a-b26faa59afc9)
)
(wire (pts (xy 165.1 91.44) (xy 172.72 91.44))
(stroke (width 0) (type default))
(uuid dd9c7194-f535-4433-a262-beccf3603523)
)
(bus (pts (xy 116.84 114.3) (xy 116.84 116.84))
(stroke (width 0) (type default))
(uuid ddaad625-3dc4-4ef9-a356-bfa001576d89)
)
(wire (pts (xy 144.78 127) (xy 137.16 127))
(stroke (width 0) (type default))
(uuid dea7a34f-7c64-4a2d-b100-e58015adf6e5)
)
(bus (pts (xy 116.84 55.88) (xy 116.84 58.42))
(stroke (width 0) (type default))
(uuid def244f3-1941-4211-9f43-d7671e2cae41)
)
(bus (pts (xy 134.62 129.54) (xy 134.62 132.08))
(stroke (width 0) (type default))
(uuid def4efbf-ede6-4a08-aece-8271563db736)
)
(bus (pts (xy 134.62 91.44) (xy 134.62 93.98))
(stroke (width 0) (type default))
(uuid df51faf5-4eee-43fe-aa25-0ad5d02f0a46)
)
(wire (pts (xy 68.58 88.9) (xy 59.69 88.9))
(stroke (width 0) (type default))
(uuid dffeb40a-21fd-4b54-9011-8d2cd67d934e)
)
(wire (pts (xy 83.82 99.06) (xy 73.66 99.06))
(stroke (width 0) (type default))
(uuid e0297c48-0f8a-4ebc-be23-bb43477a6475)
)
(wire (pts (xy 180.34 27.94) (xy 175.26 27.94))
(stroke (width 0) (type default))
(uuid e0c39072-0aae-4a88-bd5a-103bff193372)
)
(bus (pts (xy 71.12 162.56) (xy 71.12 175.26))
(stroke (width 0) (type default))
(uuid e21adab3-7082-4234-aa47-c15ca2b38441)
)
(wire (pts (xy 144.78 83.82) (xy 137.16 83.82))
(stroke (width 0) (type default))
(uuid e376781b-fa77-4ae8-9708-5b207c66b035)
)
(wire (pts (xy -46.99 160.02) (xy -36.83 160.02))
(stroke (width 0) (type default))
(uuid e4179fac-698f-4a1d-8c88-c0c6a9e6b83c)
)
(wire (pts (xy 114.3 53.34) (xy 104.14 53.34))
(stroke (width 0) (type default))
(uuid e4b37581-577e-46b1-8b06-fadfe3a24946)
)
(bus (pts (xy 71.12 190.5) (xy 71.12 193.04))
(stroke (width 0) (type default))
(uuid e5bfb1ba-2522-4c8b-b3d7-9a9c73f9e33f)
)
(wire (pts (xy 165.1 116.84) (xy 172.72 116.84))
(stroke (width 0) (type default))
(uuid e62f3079-8bd9-4fb4-b55f-bfbda0b66289)
)
(bus (pts (xy 134.62 190.5) (xy 134.62 193.04))
(stroke (width 0) (type default))
(uuid e63a0ef8-59c4-4926-979f-98d1e2ff5d79)
)
(bus (pts (xy 134.62 96.52) (xy 134.62 99.06))
(stroke (width 0) (type default))
(uuid e6cb78c2-be6b-48f3-b72a-b1bede790f6b)
)
(bus (pts (xy 71.12 182.88) (xy 71.12 185.42))
(stroke (width 0) (type default))
(uuid e79a98dd-d0f1-4720-a95b-7028dece77c3)
)
(wire (pts (xy 104.14 180.34) (xy 114.3 180.34))
(stroke (width 0) (type default))
(uuid e7e79e05-cceb-466f-9247-19fbbad24f0c)
)
(wire (pts (xy 165.1 147.32) (xy 172.72 147.32))
(stroke (width 0) (type default))
(uuid e8d471ef-e44a-4f77-a6b2-9ec6ae4ef31e)
)
(wire (pts (xy 165.1 180.34) (xy 172.72 180.34))
(stroke (width 0) (type default))
(uuid e91a0be3-cdce-4e5f-bfec-b9255a4b5067)
)
(bus (pts (xy 116.84 175.26) (xy 116.84 177.8))
(stroke (width 0) (type default))
(uuid ea3db733-150e-4587-beb3-3e3a07ad369a)
)
(bus (pts (xy 175.26 114.3) (xy 175.26 116.84))
(stroke (width 0) (type default))
(uuid eac8c1fc-b50c-449a-8cbf-b6c2bffdc930)
)
(wire (pts (xy -67.31 160.02) (xy -57.15 160.02))
(stroke (width 0) (type default))
(uuid eaf80929-9073-4665-9aa6-1fe91a2c8f53)
)
(wire (pts (xy 83.82 121.92) (xy 73.66 121.92))
(stroke (width 0) (type default))
(uuid ebdf2cd0-f0b8-4e11-95f3-76cd38d84a8a)
)
(wire (pts (xy 104.14 44.45) (xy 104.14 48.26))
(stroke (width 0) (type default))
(uuid edb7864d-82cf-44bd-935b-0c34589fcfa8)
)
(bus (pts (xy 134.62 154.94) (xy 134.62 157.48))
(stroke (width 0) (type default))
(uuid ee951b5a-a03a-4cd6-a23a-269eb7b18184)
)
(bus (pts (xy 116.84 147.32) (xy 116.84 149.86))
(stroke (width 0) (type default))
(uuid ef8cb684-e1f4-4ab7-a40f-e20cc08e9441)
)
(wire (pts (xy 144.78 190.5) (xy 137.16 190.5))
(stroke (width 0) (type default))
(uuid efc29d9e-8b08-498c-849b-5bbbe79e4ac6)
)
(bus (pts (xy 175.26 180.34) (xy 175.26 182.88))
(stroke (width 0) (type default))
(uuid efc95467-e367-4348-8858-e0fb9ad419f0)
)
(wire (pts (xy 144.78 147.32) (xy 137.16 147.32))
(stroke (width 0) (type default))
(uuid efee6273-e73b-4294-a643-f0e35021e241)
)
(bus (pts (xy 71.12 185.42) (xy 71.12 187.96))
(stroke (width 0) (type default))
(uuid f0998f36-c5fc-46fc-a099-a7205069e7df)
)
(wire (pts (xy 104.14 157.48) (xy 114.3 157.48))
(stroke (width 0) (type default))
(uuid f0c56473-54ef-4dc3-baba-e15917b684a2)
)
(wire (pts (xy -46.99 165.1) (xy -36.83 165.1))
(stroke (width 0) (type default))
(uuid f10a367d-e0f2-4ac3-b8e6-a079baf41f5d)
)
(bus (pts (xy 134.62 177.8) (xy 134.62 180.34))
(stroke (width 0) (type default))
(uuid f23799ab-2de0-48c9-aa65-03f2bd9861e9)
)
(wire (pts (xy 104.14 182.88) (xy 114.3 182.88))
(stroke (width 0) (type default))
(uuid f2705e5c-f6d0-4b8f-890c-f0f6e8af2bc8)
)
(bus (pts (xy 55.88 83.82) (xy 71.12 83.82))
(stroke (width 0) (type default))
(uuid f272b073-cac6-4c8c-acfa-34912ab4c3f8)
)
(wire (pts (xy 104.14 129.54) (xy 114.3 129.54))
(stroke (width 0) (type default))
(uuid f2a7288a-a85f-4418-b060-7d2ab5a53422)
)
(bus (pts (xy 116.84 63.5) (xy 116.84 66.04))
(stroke (width 0) (type default))
(uuid f31c3aac-e2a2-4fe4-a712-35ddea6cb490)
)
(wire (pts (xy 83.82 172.72) (xy 73.66 172.72))
(stroke (width 0) (type default))
(uuid f3646a29-d5ab-4104-a4c2-c174071471d8)
)
(wire (pts (xy 83.82 127) (xy 73.66 127))
(stroke (width 0) (type default))
(uuid f3dc5156-fd08-480e-90af-ae922951915b)
)
(bus (pts (xy 116.84 190.5) (xy 116.84 193.04))
(stroke (width 0) (type default))
(uuid f4665a84-94dc-4bdd-bca8-9ebf35313680)
)
(bus (pts (xy 116.84 83.82) (xy 116.84 86.36))
(stroke (width 0) (type default))
(uuid f5eafed8-86bc-4ce0-a511-e315669362a7)
)
(bus (pts (xy 134.62 193.04) (xy 134.62 195.58))
(stroke (width 0) (type default))
(uuid f6395565-573f-4dfd-a03d-964500fc12e9)
)
(wire (pts (xy 144.78 99.06) (xy 137.16 99.06))
(stroke (width 0) (type default))
(uuid f6851ef2-7699-4209-90c6-98b5230240d4)
)
(bus (pts (xy 71.12 175.26) (xy 71.12 177.8))
(stroke (width 0) (type default))
(uuid f7298e87-59fc-48c2-8feb-fc33482f7a98)
)
(bus (pts (xy 71.12 96.52) (xy 71.12 99.06))
(stroke (width 0) (type default))
(uuid f7e86b30-5b22-4a03-b411-b9284e73d5b5)
)
(wire (pts (xy 144.78 160.02) (xy 137.16 160.02))
(stroke (width 0) (type default))
(uuid f8534f18-e9e4-4d1a-954d-d4af5756e3bb)
)
(bus (pts (xy 116.84 157.48) (xy 116.84 160.02))
(stroke (width 0) (type default))
(uuid f8e2261c-bf1d-4a97-968c-ef4ee316b6a0)
)
(bus (pts (xy 116.84 121.92) (xy 116.84 124.46))
(stroke (width 0) (type default))
(uuid f9d7ca9f-2719-45ee-ada8-93580fdfc4d0)
)
(wire (pts (xy 104.14 121.92) (xy 114.3 121.92))
(stroke (width 0) (type default))
(uuid f9f174e1-b8da-4a21-aca1-bf4c82785408)
)
(wire (pts (xy 114.3 86.36) (xy 104.14 86.36))
(stroke (width 0) (type default))
(uuid fa1c559b-0204-4eff-80af-4625e0df4af5)
)
(bus (pts (xy 134.62 162.56) (xy 134.62 165.1))
(stroke (width 0) (type default))
(uuid fa3ec25c-4742-40be-839e-f4a22202481c)
)
(bus (pts (xy 134.62 127) (xy 134.62 129.54))
(stroke (width 0) (type default))
(uuid fb281e8c-577b-4609-92e4-65139a001b3c)
)
(bus (pts (xy 134.62 149.86) (xy 134.62 152.4))
(stroke (width 0) (type default))
(uuid fb8326e6-59e7-4302-9a2c-d9881c22c5c8)
)
(bus (pts (xy 71.12 129.54) (xy 71.12 132.08))
(stroke (width 0) (type default))
(uuid fcabdfdc-da92-4218-8531-4124bdfbfe70)
)
(bus (pts (xy 134.62 104.14) (xy 134.62 116.84))
(stroke (width 0) (type default))
(uuid fce16dcf-c9f0-46c0-bc59-504dbb95a769)
)
(wire (pts (xy 165.1 154.94) (xy 172.72 154.94))
(stroke (width 0) (type default))
(uuid fd6d1d4c-9b4f-4d5d-b7a7-fb263779f8dd)
)
(wire (pts (xy 175.26 33.02) (xy 172.72 33.02))
(stroke (width 0) (type default))
(uuid ffb1c914-3d94-4d7b-add5-f8aa0a183b9b)
)
(label "IOB_A2" (at 83.82 185.42 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right bottom))
(uuid 01515292-4740-4136-8be3-9980e858dcc1)
)
(label "IOB_A0" (at 83.82 190.5 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right bottom))
(uuid 02615dd6-3aff-432d-b1da-1036a5af4e62)
)
(label "FSB_A31" (at 104.14 81.28 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid 038e634d-14bb-4386-8475-d43c3a68333d)
)
(label "IOB_D0" (at 144.78 83.82 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right bottom))
(uuid 046eaefe-b49e-4b1f-8976-eeaaa8a784b3)
)
(label "IOB_A13" (at 83.82 147.32 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right bottom))
(uuid 08406e61-d292-40bf-8503-fd7c577ef8ea)
)
(label "IOC_D23" (at 165.1 160.02 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid 0cbdea81-7a12-4b95-8393-ca579e1689d7)
)
(label "IOB_D9" (at 144.78 116.84 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right bottom))
(uuid 0d3f77dc-7e7a-4cd2-919b-afb165d224b2)
)
(label "AD1" (at 104.14 187.96 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid 0e820f7f-2ede-41e2-8977-3854519a96c2)
)
(label "IOC_D7" (at 165.1 99.06 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid 0edf849d-f3ba-4ec3-96e9-e076ec0d1610)
)
(label "IOB_D1" (at 144.78 86.36 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right bottom))
(uuid 0f97e830-4b24-4f4b-a31f-eec1e045783c)
)
(label "IOC_D28" (at 165.1 182.88 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid 160f3cb8-3291-438c-8a7b-26fdd1bdbd1e)
)
(label "AD4" (at 104.14 180.34 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid 17745a51-2f3d-45ec-980b-8cde8d96ddae)
)
(label "FSB_A22" (at 104.14 114.3 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid 1d1d3e9b-fa65-4580-9896-80f49c75a91b)
)
(label "IOC_D15" (at 165.1 129.54 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid 1d9c8f93-4aff-409e-9417-fb909bff023c)
)
(label "FSB_A23" (at 104.14 111.76 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid 1e0a97a7-4fb2-4079-99dc-8be768133aa9)
)
(label "IOB_SIZ1" (at 76.2 86.36 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid 1eb61653-a791-4370-90d0-347752510edf)
)
(label "IOC_D5" (at 165.1 93.98 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid 1efc8796-c791-42db-a60d-aff6d84e3efd)
)
(label "FSB_A8" (at 104.14 160.02 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid 1f2e3261-c491-4381-b090-d88c014b653f)
)
(label "AD6" (at 104.14 53.34 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid 1f4f4319-2ec0-477a-a840-d61a65aa55b1)
)
(label "IOB_A23" (at 83.82 111.76 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right bottom))
(uuid 205b1cd8-4d9d-4d57-bd01-755473c67b19)
)
(label "IOB_D18" (at 144.78 149.86 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right bottom))
(uuid 2121745d-ad13-412f-a6f5-5ba523bf3ef9)
)
(label "FSB_A15" (at 104.14 142.24 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid 25a1b588-ce5c-4d2c-8984-88882230ba51)
)
(label "IOB_D28" (at 144.78 185.42 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right bottom))
(uuid 26a5779c-f543-49a2-8e4f-fcd42bf3085b)
)
(label "IOB_D10" (at 144.78 119.38 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right bottom))
(uuid 2a6ce9d0-a1ad-42d6-a8de-890e21503ccc)
)
(label "AD30" (at 104.14 83.82 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid 2ac49f22-6b12-49d0-9975-cf2a3a8deedf)
)
(label "AD2" (at 104.14 63.5 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid 2ead7e74-3b2d-4aea-8757-122e8b3736cb)
)
(label "IOB_D3" (at 144.78 91.44 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right bottom))
(uuid 2f4a2352-1752-41ef-998f-2a8e88e94b32)
)
(label "IOB_FC0" (at 22.86 27.94 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right bottom))
(uuid 30b6584e-bc2b-4f49-8a2f-74accaf4cc98)
)
(label "IOC_D25" (at 165.1 175.26 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid 316602ad-063f-46c0-9515-bbecf456492f)
)
(label "IOC_D11" (at 165.1 119.38 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid 32722ea0-dcaa-457d-9670-ac72dbdcf810)
)
(label "FSB_A14" (at 104.14 144.78 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid 330fdb32-5bec-4a23-81d7-90051902fbcf)
)
(label "IOC_D17" (at 165.1 144.78 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid 3566904b-c9f5-4e36-823c-e7ddd6eec5c4)
)
(label "IOC_AD29" (at 104.14 86.36 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid 3acfaca6-04af-431f-8b1c-22a340b8304a)
)
(label "IOB_A24" (at 83.82 99.06 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right bottom))
(uuid 3cd7bfcc-3a38-42ee-8f2e-8aae435587d2)
)
(label "IOB_D27" (at 144.78 182.88 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right bottom))
(uuid 41051ecd-fdef-4142-9133-9a50f3e050cd)
)
(label "IOC_D9" (at 165.1 114.3 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid 434958bb-3f5e-404c-a108-5f862055a9b1)
)
(label "IOB_FC1" (at 22.86 25.4 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right bottom))
(uuid 4483e5da-b3eb-443e-bcca-831bd7e281c2)
)
(label "IOB_D2" (at 144.78 88.9 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right bottom))
(uuid 44ca4ccf-b210-4711-891d-706601916c1d)
)
(label "IOB_D20" (at 144.78 154.94 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right bottom))
(uuid 46e5bccc-b7bf-4653-8ba2-abdbfd90ed2a)
)
(label "IOB_A1" (at 83.82 187.96 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right bottom))
(uuid 48f23a48-fc03-4d51-9ac7-9fe12469291b)
)
(label "IOC_D19" (at 165.1 149.86 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid 496a704e-3e1b-4dee-a224-3fc3300db21d)
)
(label "AD0" (at 104.14 190.5 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid 4a4adf53-80a0-4db0-be08-794daec9a50e)
)
(label "IOC_D16" (at 165.1 142.24 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid 4b80785a-782a-4519-acd6-a3ec037ed529)
)
(label "IOB_SIZ0" (at 76.2 88.9 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid 4d07c58d-b7f4-41bb-832e-bcab242ed4f6)
)
(label "IOB_A17" (at 83.82 127 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right bottom))
(uuid 4ec07d7d-c982-4b9b-9a10-0c50b3b60016)
)
(label "FSB_A20" (at 104.14 119.38 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid 4fcd835b-de45-4cf0-9cf8-f3f4c54b3ed5)
)
(label "AD3" (at 104.14 182.88 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid 554e6c55-10a2-4315-a39b-0a4e13063cb2)
)
(label "AD5" (at 104.14 177.8 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid 560faf63-ce39-444b-9abc-d0a557bb8e26)
)
(label "IOB_A27" (at 83.82 91.44 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right bottom))
(uuid 5855c561-0421-4720-b418-10cc96020056)
)
(label "AD7" (at 104.14 172.72 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid 5aec8dfe-a488-4902-af3f-de3152c2d0e4)
)
(label "IOB_A7" (at 83.82 172.72 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right bottom))
(uuid 5b956153-84d8-4790-9ea0-693b95040d09)
)
(label "IOC_D24" (at 165.1 172.72 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid 5cf9439f-12c9-4c88-bef3-102f0ed31c7d)
)
(label "LFC1" (at 43.18 25.4 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid 5d2fd695-bc15-4a98-ba84-6aff9046c870)
)
(label "FSB_A12" (at 104.14 149.86 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid 61370006-b13b-4d4e-9681-b4aeaf3ed32e)
)
(label "IOB_A12" (at 83.82 149.86 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right bottom))
(uuid 62954dd6-1fd6-44d1-98b8-c8ae62ada6d5)
)
(label "AD6" (at 104.14 175.26 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid 6405fcb6-48e8-4a17-8ed2-cb3f1a88e864)
)
(label "FSB_A17" (at 104.14 127 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid 6573e684-b33e-4d82-a693-80382639d3ac)
)
(label "IOB_D4" (at 144.78 93.98 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right bottom))
(uuid 65ab9bb2-0185-46fe-a633-6a956b4fcc2b)
)
(label "IOB_D16" (at 144.78 144.78 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right bottom))
(uuid 68d44036-15a8-4763-b422-9ddebb109f6d)
)
(label "IOB_D23" (at 144.78 162.56 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right bottom))
(uuid 69e6472a-033d-4fb1-abf4-f2531b1f1e99)
)
(label "LRMC" (at 43.18 20.32 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid 6ca4bd63-d0fa-428b-a000-f2a406c78fc4)
)
(label "IOB_D5" (at 144.78 96.52 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right bottom))
(uuid 6e90dbd7-65d2-443a-a010-9fee8df12bf9)
)
(label "L~{IRQ}" (at 83.82 60.96 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right bottom))
(uuid 6f31f48c-dea9-4a6b-ac62-851ac75debf8)
)
(label "FSB_A24" (at 104.14 99.06 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid 7098c8dd-9d6b-453a-85d5-bb5567096c5a)
)
(label "IOC_D6" (at 165.1 96.52 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid 711b5d64-3211-4b51-9586-12891ce99d2e)
)
(label "IOB_A8" (at 83.82 160.02 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right bottom))
(uuid 7153c1fa-5269-4ee9-95d9-a033e9c327cb)
)
(label "IOC_AD28" (at 104.14 88.9 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid 741e8357-2c12-4633-aeda-d54f880aa8a1)
)
(label "FSB_A26" (at 104.14 93.98 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid 758ff1e0-72ae-47ec-8c9e-443975760796)
)
(label "IOC_D3" (at 165.1 88.9 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid 78a9de74-5a5c-4b99-b58e-4ebee5ba9740)
)
(label "IOC_D30" (at 165.1 187.96 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid 78f7975e-53f9-4857-b257-945c51cd0505)
)
(label "IOB_A22" (at 83.82 114.3 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right bottom))
(uuid 7da9dd3d-c415-4891-a293-30b36db7c0b3)
)
(label "AD2" (at 104.14 185.42 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid 7dfd3b0b-4b83-4e62-97b0-47ee6706860f)
)
(label "IOC_D0" (at 165.1 81.28 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid 7f2fce5f-f6d6-430d-a4d6-632e81e4f0e8)
)
(label "IOC_D1" (at 165.1 83.82 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid 818abd03-bd17-4bbd-b65e-b84ca5914460)
)
(label "IOB_A9" (at 83.82 157.48 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right bottom))
(uuid 835d1d23-80e4-4ad9-ab61-fb90f0090874)
)
(label "IOC_D14" (at 165.1 127 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid 867242c7-c87c-4c39-9630-4ac3fa826af8)
)
(label "IOB_A14" (at 83.82 144.78 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right bottom))
(uuid 871f3849-a8d2-432a-a600-387c8ccbbac1)
)
(label "IOB_A10" (at 83.82 154.94 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right bottom))
(uuid 8868e55b-a34f-46e1-9b63-402869753f8b)
)
(label "IOB_A21" (at 83.82 116.84 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right bottom))
(uuid 8985c0e4-362d-46f2-bcba-009da7488d26)
)
(label "FSB_A25" (at 104.14 96.52 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid 8a86d3bc-c9c9-4c8f-85a1-2ab24184aa4f)
)
(label "IOB_A19" (at 83.82 121.92 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right bottom))
(uuid 8d2cc708-ac39-42ed-afff-775412be3020)
)
(label "IOC_D10" (at 165.1 116.84 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid 8e176df3-e2af-40f2-865d-a216b784d4dc)
)
(label "IOC_D31" (at 165.1 190.5 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid 8fcac0c0-c4b3-457d-af10-2a6ddaee6f3c)
)
(label "IOB_D29" (at 144.78 187.96 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right bottom))
(uuid 9006c626-e989-4841-ba2b-88d1f0040371)
)
(label "FSB_A16" (at 104.14 129.54 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid 90238261-b489-471b-9592-6c0dce162b88)
)
(label "IOB_D6" (at 144.78 99.06 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right bottom))
(uuid 933184a7-24bb-4f19-836b-cf90285a0331)
)
(label "IOB_D15" (at 144.78 132.08 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right bottom))
(uuid 96bb7e02-eb6d-4787-b5f4-63cb842838a4)
)
(label "IOB_D30" (at 144.78 190.5 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right bottom))
(uuid 9a35c0ea-739d-40e5-b0c8-1106f95a4d24)
)
(label "FSB_A27" (at 104.14 91.44 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid 9a894562-a760-49d2-9458-6372022f52a1)
)
(label "IOC_D22" (at 165.1 157.48 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid 9de1c15c-e304-40d5-a12d-4ccd2aabb74c)
)
(label "IOB_D13" (at 144.78 127 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right bottom))
(uuid 9e21800f-a1d4-427d-bcad-2667e2656708)
)
(label "IOB_D12" (at 144.78 124.46 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right bottom))
(uuid 9f9b5a79-600d-4fc5-855c-ede7b80f70c4)
)
(label "IOB_SIZ0" (at 68.58 88.9 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right bottom))
(uuid a064c1cc-1953-41ca-9dfa-e45b1e77bf76)
)
(label "IOC_D8" (at 165.1 111.76 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid a0a9d17f-35b3-4bf4-be23-5b0b23d1b02e)
)
(label "LFC1" (at 83.82 55.88 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right bottom))
(uuid a229bf41-faa7-4391-afa2-a1cf9fc9d3eb)
)
(label "IOB_FC2" (at 22.86 22.86 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right bottom))
(uuid a24975b6-c0be-4870-91e2-09f3ade84610)
)
(label "L~{RMC}" (at 83.82 50.8 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right bottom))
(uuid a35d8513-0302-4ee7-a810-ad83ea255583)
)
(label "AD5" (at 104.14 55.88 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid a9698a3c-a768-4d49-a175-2362fa1df0a8)
)
(label "AD4" (at 104.14 58.42 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid aaa9312a-7a91-4c81-b450-554b574950e3)
)
(label "IOB_D17" (at 144.78 147.32 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right bottom))
(uuid af4772f1-3caf-4edb-99bb-fb5f1491bc7c)
)
(label "IOC_D29" (at 165.1 185.42 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid af772928-81fa-40d1-acf9-43b5ba21995c)
)
(label "IOC_D18" (at 165.1 147.32 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid b2b54c9d-6614-44df-8dd4-f114e96d2138)
)
(label "IOB_D14" (at 144.78 129.54 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right bottom))
(uuid b7057778-d53d-4d4b-ab60-2376c794ca78)
)
(label "IOB_A16" (at 83.82 129.54 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right bottom))
(uuid b8bafac0-0ac1-4343-b48f-e230d882db57)
)
(label "IOC_D12" (at 165.1 121.92 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid b91021e6-70e0-4350-a8f0-caed5d547244)
)
(label "IOB_D24" (at 144.78 175.26 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right bottom))
(uuid b9b2a0d8-8d9f-4f22-8545-ba945fc4c23c)
)
(label "IOB_A11" (at 83.82 152.4 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right bottom))
(uuid bb7bde8a-1f34-4d2d-8e1d-296b1d67d845)
)
(label "LFC0" (at 43.18 27.94 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid bbdc43a2-cf38-49b0-8df6-14063096dbdb)
)
(label "IOB_D31" (at 144.78 193.04 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right bottom))
(uuid bc4909a3-c841-4c63-9eaa-552c0dd4d0e9)
)
(label "LFC0" (at 83.82 58.42 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right bottom))
(uuid bee31dec-67fa-45fa-bb7c-ad49170fd4bd)
)
(label "IOB_D21" (at 144.78 157.48 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right bottom))
(uuid c03b2956-6172-4a6b-b596-fe2869fb6909)
)
(label "IOB_A4" (at 83.82 180.34 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right bottom))
(uuid c16a97ab-9331-4f77-bf74-965d9b9742c3)
)
(label "IOB_D22" (at 144.78 160.02 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right bottom))
(uuid c19baf60-48e1-433d-bf61-2418dda97406)
)
(label "IOC_D13" (at 165.1 124.46 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid c8c0e9fe-9b84-4f83-8c2e-aa4841b9e2da)
)
(label "AD0" (at 104.14 68.58 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid cb093b8c-3d6d-4a44-93cf-c331756fd3bf)
)
(label "FSB_A19" (at 104.14 121.92 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid cd7f9e4f-3032-4789-9347-f4084cbb04da)
)
(label "IOB_D7" (at 144.78 101.6 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right bottom))
(uuid ceea1ae7-a300-4b2a-8d3a-066c7c574a69)
)
(label "IOC_D20" (at 165.1 152.4 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid cf6de540-4dfb-418e-9f49-69c8569cdf0e)
)
(label "LFC2" (at 83.82 53.34 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right bottom))
(uuid d20c401c-63a2-406b-bf71-6819f25eedc0)
)
(label "IOB_A25" (at 83.82 96.52 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right bottom))
(uuid d2293ef2-df31-4838-bd6b-e64828e0cc6f)
)
(label "FSB_A13" (at 104.14 147.32 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid d3f8551b-6233-4409-8b29-f17528c07267)
)
(label "IOB_A26" (at 83.82 93.98 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right bottom))
(uuid d82b426e-7c6a-402a-b60c-04898f407073)
)
(label "IOB_A3" (at 83.82 182.88 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right bottom))
(uuid d8bcd99c-c341-42ae-9214-35b0ac943a61)
)
(label "IOC_D2" (at 165.1 86.36 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid da9f10cf-73e2-43c7-8d5f-6f559e90009b)
)
(label "IOB_SIZ1" (at 68.58 86.36 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right bottom))
(uuid db913579-bf52-4656-8cf5-13838dfa11ea)
)
(label "FSB_A9" (at 104.14 157.48 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid dbdbbe0a-9c13-45e2-8e62-aad440c26c6f)
)
(label "IOB_A20" (at 83.82 119.38 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right bottom))
(uuid dcfbc068-9ed0-4286-ab05-17f1a4d8dae9)
)
(label "FSB_A10" (at 104.14 154.94 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid de7a291f-ed87-46bf-813f-7ef79d4273c4)
)
(label "IOC_D27" (at 165.1 180.34 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid dedc5b47-3c2a-482a-b33a-62859330578c)
)
(label "IOB_D8" (at 144.78 114.3 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right bottom))
(uuid e0300e74-e4fc-4852-8585-84654e87f77c)
)
(label "IOB_A31" (at 83.82 81.28 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right bottom))
(uuid e138f570-6933-4e08-82b0-4feaa696916b)
)
(label "IOC_D21" (at 165.1 154.94 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid e1c9b91e-e5bd-469d-8794-34f27cdc59fc)
)
(label "IOB_A6" (at 83.82 175.26 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right bottom))
(uuid e706f450-f928-4dd7-a631-c77be5d34d96)
)
(label "IOB_A18" (at 83.82 124.46 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right bottom))
(uuid e829705e-2d34-4195-9ae8-8ce2d8f56947)
)
(label "IOB_D25" (at 144.78 177.8 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right bottom))
(uuid e840d54b-7667-4faf-9bf5-7f07444853fe)
)
(label "IOB_A5" (at 83.82 177.8 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right bottom))
(uuid eba39942-88c4-481f-92a9-2e0066698322)
)
(label "FSB_A21" (at 104.14 116.84 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid ebf1929e-4047-4627-bb3a-f62511810d4d)
)
(label "LFC2" (at 43.18 22.86 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid edc548ae-0d4d-4c5e-bdce-217502a3069e)
)
(label "IOB_D26" (at 144.78 180.34 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right bottom))
(uuid ee90b8d9-3727-464a-bf3e-c55ac405f809)
)
(label "IOC_D26" (at 165.1 177.8 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid efc5ab99-93cc-49b6-8edf-d201fead3080)
)
(label "AD1" (at 104.14 66.04 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid f080c27b-a00f-4ced-8601-29127ebd3036)
)
(label "AD7" (at 104.14 50.8 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid f15ef131-ee80-4e6f-9b5b-929b09823b88)
)
(label "AD3" (at 104.14 60.96 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid f187ea44-2d96-40d0-8812-1c3ba47a8e0c)
)
(label "FSB_A11" (at 104.14 152.4 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid f7dacffc-27f9-4b7c-b68a-a8df874a1e1b)
)
(label "IOC_D4" (at 165.1 91.44 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid f95348f2-b8ed-489a-b20a-208bdb75fada)
)
(label "IOB_A15" (at 83.82 142.24 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right bottom))
(uuid fa250a0a-b19c-476d-8d39-5b60f8e5b5d5)
)
(label "FSB_A18" (at 104.14 124.46 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid fe5b93b5-5231-4b72-a290-934ed9441d28)
)
(label "IOB_D11" (at 144.78 121.92 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right bottom))
(uuid fef47c71-f8d6-41a6-9e66-01fd7615b1ad)
)
(label "IOB_D19" (at 144.78 152.4 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right bottom))
(uuid ff688200-d5a1-45a5-b9b2-3327aebcd259)
)
(hierarchical_label "IOC_~{AS}" (shape input) (at 43.18 33.02 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid 00b91585-d70d-4c9c-adc3-6ef653d51b38)
)
(hierarchical_label "D~{OE}" (shape input) (at 144.78 111.76 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 02964839-51bd-4575-a262-afaf1b0b4fae)
)
(hierarchical_label "A~{OE}" (shape input) (at 104.14 78.74 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid 10951426-ed63-4dcd-b4fc-f5ddd0c859cc)
)
(hierarchical_label "FSB_~{RESET}" (shape output) (at 165.1 48.26 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid 1496b2c2-19ab-42ee-9ce6-328f7b38c7e7)
)
(hierarchical_label "IOB_~{BR}" (shape output) (at 83.82 63.5 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 1885bb19-2ca3-4117-bcd4-fcdf69c17799)
)
(hierarchical_label "IOC_~{DSACK}0" (shape output) (at 165.1 55.88 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid 1d51d2e8-4625-4a63-bc69-0feeaccaca85)
)
(hierarchical_label "IOC_~{DSACK}1" (shape output) (at 165.1 58.42 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid 1f4d7c54-b583-480f-9fee-64ac75cdb1c5)
)
(hierarchical_label "IOB_~{AS}" (shape output) (at 22.86 33.02 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 1fce39e0-81de-406f-a44f-260fc172c272)
)
(hierarchical_label "IOB_R~{W}" (shape input) (at 165.1 109.22 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid 2103dd37-624a-456d-959a-9b7f3ef0b92e)
)
(hierarchical_label "RESET~{OE}" (shape input) (at 180.34 27.94 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid 241c3c60-1a2f-4227-9fc7-13c480ab6430)
)
(hierarchical_label "IOB_~{RESET}" (shape bidirectional) (at 147.32 33.02 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 2a59dde3-d719-4064-a477-252399b8b36c)
)
(hierarchical_label "D~{OE}" (shape input) (at 144.78 81.28 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 2c5876dc-1005-448e-a2c9-f67e6c2d98e2)
)
(hierarchical_label "IOB_C16M" (shape input) (at 144.78 60.96 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 2ce9ac9d-1379-43c5-82f7-d46613f3b848)
)
(hierarchical_label "IOB_R~{W}" (shape input) (at 165.1 170.18 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid 30417bfb-39de-4350-ac58-5d84867b2832)
)
(hierarchical_label "IOB_D[31..0]" (shape bidirectional) (at 133.35 86.36 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 334b3af4-8733-4fba-8b2e-e72eb77f3b7d)
)
(hierarchical_label "AoutLE" (shape input) (at 83.82 162.56 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 3aa07402-2690-477d-9b45-d981c4b89440)
)
(hierarchical_label "IOB_~{HALT}" (shape input) (at 144.78 53.34 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 3e859e03-8782-44e7-88a1-dca9ef7129fa)
)
(hierarchical_label "IOB_~{RESET}" (shape bidirectional) (at 144.78 48.26 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 47f78de5-5516-43d3-a780-863cb6f80416)
)
(hierarchical_label "IOB_~{DSACK}1" (shape input) (at 144.78 58.42 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 632700c4-fd6a-451a-8a04-c62b6ab71a60)
)
(hierarchical_label "AoutLE" (shape input) (at 83.82 193.04 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 64f14c30-3754-4021-b886-8b7f485d8031)
)
(hierarchical_label "D~{OE}" (shape input) (at 144.78 172.72 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 65116d8e-4d9a-4d82-9847-014a1e62829c)
)
(hierarchical_label "IOB_~{DS}" (shape output) (at 22.86 35.56 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 6eb489fa-06af-4bd0-a206-2eb35f80c044)
)
(hierarchical_label "A~{OE}" (shape input) (at 104.14 109.22 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid 76c27660-e590-4006-b082-1aedbb6a79bb)
)
(hierarchical_label "A~{OE}" (shape input) (at 104.14 139.7 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid 799f15fb-5722-454a-83e1-fbcf2ef920bb)
)
(hierarchical_label "IOB_R~{W}" (shape input) (at 165.1 139.7 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid 7abdd619-36cf-4213-b057-2723f38d0c52)
)
(hierarchical_label "A~{OE}" (shape input) (at 104.14 170.18 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid 8165e33e-27b9-4265-9403-5e9465616508)
)
(hierarchical_label "IOC_~{DS}" (shape input) (at 43.18 35.56 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid 83fd18f7-fa00-4358-840c-2c4e6c4caaee)
)
(hierarchical_label "IOB_SIZ[1..0]" (shape output) (at 55.88 88.9 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 8876ebc9-dbce-45e6-87eb-361dae6ac23e)
)
(hierarchical_label "IOC_AD[31..0]" (shape bidirectional) (at 176.53 83.82 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid 91308a09-d8dd-4eda-be03-186da2e85db2)
)
(hierarchical_label "IOC_C16M" (shape output) (at 165.1 60.96 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid 914511fc-a878-4c24-a1e8-fea79249bdf4)
)
(hierarchical_label "IOB_~{BERR}" (shape input) (at 144.78 50.8 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 92569bf6-2b04-4f8d-a454-0723cb305937)
)
(hierarchical_label "IOB_A[31..0]" (shape output) (at 55.88 83.82 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 92665aae-2c9e-4639-a650-2327e5a567d3)
)
(hierarchical_label "IOC_~{HALT}" (shape output) (at 165.1 53.34 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid aaca62aa-e516-48f7-ba97-6c77a5bbca8a)
)
(hierarchical_label "IOC_AD[31..0]" (shape input) (at 118.11 83.82 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid add6dc6f-d2a8-4a48-b672-3fb2e1783d09)
)
(hierarchical_label "AoutLE" (shape input) (at 83.82 101.6 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid b4450296-2f8e-4537-8fa8-5ec52dbc60ae)
)
(hierarchical_label "IOB_R~{W}" (shape input) (at 165.1 78.74 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid b4b58268-46f9-4d8c-bf5d-5640f1a99c90)
)
(hierarchical_label "IOB_FC[2..0]" (shape output) (at 8.89 25.4 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid b4bc4deb-8a15-4eca-a35f-053948464d0f)
)
(hierarchical_label "IOB_~{DSACK}0" (shape input) (at 144.78 55.88 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid b7bc88f8-1a23-48f9-90c3-25c180861038)
)
(hierarchical_label "AoutLE" (shape input) (at 83.82 132.08 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid b9d206fd-6f5e-40fa-a3a2-d9e338eb6fdd)
)
(hierarchical_label "SoutLE" (shape input) (at 83.82 71.12 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid be26a23d-d6d4-446a-a9c9-884633821636)
)
(hierarchical_label "IOB_~{RMC}" (shape output) (at 22.86 20.32 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid bf54a76c-93db-4c53-9b9c-c11971627fae)
)
(hierarchical_label "A~{OE}" (shape input) (at 43.18 17.78 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid c5b1bdca-7e5e-4fa1-8801-1d5a59978b81)
)
(hierarchical_label "~{FAN}" (shape output) (at 83.82 66.04 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid cdd896ac-e22e-49de-aedf-0c965e6ecade)
)
(hierarchical_label "IOC_~{BERR}" (shape output) (at 165.1 50.8 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid e03622a0-00a4-4c6e-87a3-95cb1995e88a)
)
(hierarchical_label "D~{OE}" (shape input) (at 144.78 142.24 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid f2a29214-0f53-4d8e-a460-1eee3b3c7ab7)
)
(hierarchical_label "IOB_R~{W}" (shape output) (at 83.82 83.82 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid fdd2c004-2e05-4c4c-8cbe-6fa4fd32e1cb)
)
(symbol (lib_id "GW_Logic:74573") (at 93.98 181.61 0) (mirror y) (unit 1)
(in_bom yes) (on_board yes) (dnp no)
(uuid 00000000-0000-0000-0000-000060941f85)
(property "Reference" "U?" (at 93.98 166.37 0)
(effects (font (size 1.27 1.27)))
)
(property "Value" "74AHCT573PW" (at 93.98 181.61 90)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "stdpads:TSSOP-20_4.4x6.5mm_P0.65mm" (at 93.98 198.12 0)
(effects (font (size 1.27 1.27)) (justify top) hide)
)
(property "Datasheet" "" (at 93.98 179.07 0)
(effects (font (size 1.524 1.524)) hide)
)
(pin "1" (uuid e83df7ba-ff53-4784-9ec8-4a723f692a24))
(pin "10" (uuid 6a113462-234e-4434-a1df-9fb84adc203d))
(pin "11" (uuid 7ef8b840-c974-4e4d-af86-e6280d00cd23))
(pin "12" (uuid 132bc118-7ef4-4846-b017-40f12253aca1))
(pin "13" (uuid f729a9e1-6c1b-4ca0-80c5-a112d234631e))
(pin "14" (uuid 1f214536-bc75-46bc-8298-f4c45a2787b8))
(pin "15" (uuid 33de0a9d-6a41-4166-84cd-0a75df648936))
(pin "16" (uuid 804d8823-bf53-45d4-8879-cf56e87ba6d3))
(pin "17" (uuid 2ebe2398-1d8c-4904-b527-a181473b796c))
(pin "18" (uuid c3f2dc7c-0a44-4682-9894-2d0a63d75d5a))
(pin "19" (uuid 6c5a6e25-5dc3-4bc2-a78e-a7f41ae2bcbe))
(pin "2" (uuid 7bddaa0b-5504-4bed-b298-37d5277fa22d))
(pin "20" (uuid 69e1b1fc-d451-4dc1-8ba5-386cd178924e))
(pin "3" (uuid 02cc9727-061e-4185-b537-64a766258f0b))
(pin "4" (uuid 00220e58-f258-4d5f-8854-ac2b8f36f177))
(pin "5" (uuid fc35d380-1bca-44d6-9f69-fed92058437b))
(pin "6" (uuid f2b13c35-0349-45b7-aec2-7452abc14af3))
(pin "7" (uuid 01f6b190-600a-4d2b-8464-f5af0c069657))
(pin "8" (uuid 8e7afc0b-12c8-4e65-aff1-83ad3db03dea))
(pin "9" (uuid 128ce401-6d2c-42fd-8b95-52d976ad1805))
(instances
(project "SE-030"
(path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-0000629b918a"
(reference "U?") (unit 1)
)
(path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-000060941922"
(reference "U12") (unit 1)
)
)
)
)
(symbol (lib_id "GW_Logic:74573") (at 93.98 151.13 0) (mirror y) (unit 1)
(in_bom yes) (on_board yes) (dnp no)
(uuid 00000000-0000-0000-0000-00006094447d)
(property "Reference" "U?" (at 93.98 135.89 0)
(effects (font (size 1.27 1.27)))
)
(property "Value" "74AHCT573PW" (at 93.98 151.13 90)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "stdpads:TSSOP-20_4.4x6.5mm_P0.65mm" (at 93.98 167.64 0)
(effects (font (size 1.27 1.27)) (justify top) hide)
)
(property "Datasheet" "" (at 93.98 148.59 0)
(effects (font (size 1.524 1.524)) hide)
)
(pin "1" (uuid b82f3d38-59c4-40a7-8d9c-68b2e372aeb5))
(pin "10" (uuid b3ecafb4-1120-40dd-ab49-41ae8848f179))
(pin "11" (uuid ccf5bdea-cde9-497c-abb3-387504502f82))
(pin "12" (uuid 6fc8585e-47eb-42bb-a6bb-91e57b6447cc))
(pin "13" (uuid b19c7e64-1a4c-4388-8366-9586845f09ae))
(pin "14" (uuid 31588de0-575a-4da0-86b4-7a1b4540fe23))
(pin "15" (uuid 8a415b33-eccd-4638-b278-09a233ad0c87))
(pin "16" (uuid 37f3027d-db56-4396-9d59-9480ba9082d2))
(pin "17" (uuid 370de658-1062-4644-9f03-a93fdb0b95ee))
(pin "18" (uuid 336a76cd-e15b-45e9-aac6-c64c78fc8ebf))
(pin "19" (uuid 9a1d6d07-a588-458c-ab45-25b9a4764b74))
(pin "2" (uuid 3fc16e40-3ac5-4199-9f9f-e07858141273))
(pin "20" (uuid 39ff093e-554d-4517-b78d-8b95c01256e7))
(pin "3" (uuid d02af326-6586-437a-87b0-18c00fd0c9ba))
(pin "4" (uuid ef9e0316-f6e9-4775-876d-4caaa4e88a32))
(pin "5" (uuid 2dee3ff3-b9c7-45a1-bc02-13f2e952b659))
(pin "6" (uuid d4f200ad-fc72-4614-a7de-4bf014391d12))
(pin "7" (uuid 6b692ec5-8ee9-46bd-a51f-a0b7b0822c2b))
(pin "8" (uuid 94151a91-100a-4cea-82a7-3d3a4648eae3))
(pin "9" (uuid f26fb7ae-7b3b-4314-b7db-c4006c530fd6))
(instances
(project "SE-030"
(path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-0000629b918a"
(reference "U?") (unit 1)
)
(path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-000060941922"
(reference "U11") (unit 1)
)
)
)
)
(symbol (lib_id "power:GND") (at 104.14 193.04 0) (unit 1)
(in_bom yes) (on_board yes) (dnp no)
(uuid 00000000-0000-0000-0000-00006095226d)
(property "Reference" "#PWR?" (at 104.14 199.39 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "GND" (at 104.14 196.85 0)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "" (at 104.14 193.04 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (at 104.14 193.04 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 360a145b-e210-4860-93e8-05a3608c02bf))
(instances
(project "SE-030"
(path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-0000629b918a"
(reference "#PWR?") (unit 1)
)
(path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-000060941922"
(reference "#PWR0130") (unit 1)
)
)
)
)
(symbol (lib_id "power:GND") (at 104.14 162.56 0) (unit 1)
(in_bom yes) (on_board yes) (dnp no)
(uuid 00000000-0000-0000-0000-0000609528bf)
(property "Reference" "#PWR?" (at 104.14 168.91 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "GND" (at 104.14 166.37 0)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "" (at 104.14 162.56 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (at 104.14 162.56 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid e1bd317a-0121-4969-9f5a-89e99941deb6))
(instances
(project "SE-030"
(path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-0000629b918a"
(reference "#PWR?") (unit 1)
)
(path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-000060941922"
(reference "#PWR0131") (unit 1)
)
)
)
)
(symbol (lib_id "GW_Logic:74573") (at 93.98 120.65 0) (mirror y) (unit 1)
(in_bom yes) (on_board yes) (dnp no)
(uuid 00000000-0000-0000-0000-000061398c8d)
(property "Reference" "U?" (at 93.98 105.41 0)
(effects (font (size 1.27 1.27)))
)
(property "Value" "74AHCT573PW" (at 93.98 120.65 90)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "stdpads:TSSOP-20_4.4x6.5mm_P0.65mm" (at 93.98 137.16 0)
(effects (font (size 1.27 1.27)) (justify top) hide)
)
(property "Datasheet" "" (at 93.98 118.11 0)
(effects (font (size 1.524 1.524)) hide)
)
(pin "1" (uuid de630683-fc04-4261-af27-0619dbf7b474))
(pin "10" (uuid 7fea5c09-2027-4e26-91e1-0fd1a0315554))
(pin "11" (uuid c7d2e7e9-5291-4730-aa90-9bcfd5a61518))
(pin "12" (uuid 74a4b4af-5d72-48bf-b94f-42f326e3ab98))
(pin "13" (uuid fec683bf-acf1-42e4-8951-37a32fdd683d))
(pin "14" (uuid 24bffaa5-2457-4f8f-8aac-c42d4faf8aea))
(pin "15" (uuid 3db53e26-f661-4970-bd80-356a71e8b482))
(pin "16" (uuid 8721a3af-02d7-4d67-afcd-02e71b005a1b))
(pin "17" (uuid bf5ac453-3ee9-4dd3-93fd-fa9e1b0d614d))
(pin "18" (uuid 1eae1293-ec49-48cd-8e8f-f866b00dd9e7))
(pin "19" (uuid 200be7f9-dc44-418c-a061-100a1c4d4232))
(pin "2" (uuid dfddc85e-a280-4eb2-99e9-745aeeae9f28))
(pin "20" (uuid 5a5ee523-8955-472d-a37e-019561d0cb23))
(pin "3" (uuid f97069b4-66e3-45ce-b27d-e31d86b11679))
(pin "4" (uuid b2902840-907f-4f35-b051-5567f8673225))
(pin "5" (uuid 2bdf1c55-6a3e-4a3a-95c3-cbe146b2484b))
(pin "6" (uuid bca8f521-1fe4-458f-86d6-7c999f3e6d69))
(pin "7" (uuid 4e414122-2746-4f88-ac62-ba8624333045))
(pin "8" (uuid 6f354821-7aef-4cd3-85bb-2292763fcc4a))
(pin "9" (uuid db5ab2dc-3785-4e51-aa99-6413dc1c6474))
(instances
(project "SE-030"
(path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-0000629b918a"
(reference "U?") (unit 1)
)
(path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-000060941922"
(reference "U10") (unit 1)
)
)
)
)
(symbol (lib_id "power:GND") (at 104.14 132.08 0) (unit 1)
(in_bom yes) (on_board yes) (dnp no)
(uuid 00000000-0000-0000-0000-000061398c93)
(property "Reference" "#PWR?" (at 104.14 138.43 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "GND" (at 104.14 135.89 0)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "" (at 104.14 132.08 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (at 104.14 132.08 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 073ec96c-6d01-4c63-8d74-762023426509))
(instances
(project "SE-030"
(path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-0000629b918a"
(reference "#PWR?") (unit 1)
)
(path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-000060941922"
(reference "#PWR0132") (unit 1)
)
)
)
)
(symbol (lib_id "power:GND") (at -16.51 165.1 0) (mirror y) (unit 1)
(in_bom yes) (on_board yes) (dnp no)
(uuid 00000000-0000-0000-0000-0000616280c6)
(property "Reference" "#PWR?" (at -16.51 171.45 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "GND" (at -16.51 168.91 0)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "" (at -16.51 165.1 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (at -16.51 165.1 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid a1982c3b-8854-4545-8ba3-30d9c3859907))
(instances
(project "SE-030"
(path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-00005f723173"
(reference "#PWR?") (unit 1)
)
(path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-000060941922"
(reference "#PWR0134") (unit 1)
)
(path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8"
(reference "#PWR?") (unit 1)
)
(path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-0000629b918a"
(reference "#PWR?") (unit 1)
)
)
)
)
(symbol (lib_id "power:+5V") (at 83.82 170.18 0) (unit 1)
(in_bom yes) (on_board yes) (dnp no)
(uuid 00000000-0000-0000-0000-000061669c70)
(property "Reference" "#PWR?" (at 83.82 173.99 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "+5V" (at 83.82 166.37 0)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "" (at 83.82 170.18 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (at 83.82 170.18 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 75dd7867-8835-41cd-a658-7d0a59e55377))
(instances
(project "SE-030"
(path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-0000629b918a"
(reference "#PWR?") (unit 1)
)
(path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-000060941922"
(reference "#PWR0135") (unit 1)
)
)
)
)
(symbol (lib_id "power:+5V") (at 83.82 139.7 0) (unit 1)
(in_bom yes) (on_board yes) (dnp no)
(uuid 00000000-0000-0000-0000-00006167232f)
(property "Reference" "#PWR?" (at 83.82 143.51 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "+5V" (at 83.82 135.89 0)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "" (at 83.82 139.7 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (at 83.82 139.7 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid ff61c53a-24e9-43b5-bedd-487c1eb2e5ab))
(instances
(project "SE-030"
(path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-0000629b918a"
(reference "#PWR?") (unit 1)
)
(path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-000060941922"
(reference "#PWR0136") (unit 1)
)
)
)
)
(symbol (lib_id "power:+5V") (at 83.82 109.22 0) (unit 1)
(in_bom yes) (on_board yes) (dnp no)
(uuid 00000000-0000-0000-0000-0000616832d7)
(property "Reference" "#PWR?" (at 83.82 113.03 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "+5V" (at 83.82 105.41 0)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "" (at 83.82 109.22 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (at 83.82 109.22 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 04c32f2f-f7a0-4a98-8b5d-bfa924a5a184))
(instances
(project "SE-030"
(path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-0000629b918a"
(reference "#PWR?") (unit 1)
)
(path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-000060941922"
(reference "#PWR0137") (unit 1)
)
)
)
)
(symbol (lib_id "GW_Logic:741G125GW") (at 162.56 30.48 0) (mirror y) (unit 1)
(in_bom yes) (on_board yes) (dnp no)
(uuid 00000000-0000-0000-0000-00006178b34b)
(property "Reference" "U29" (at 162.56 30.48 0)
(effects (font (size 1.27 1.27)))
)
(property "Value" "74LVC1G125GW" (at 162.56 36.83 0)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "stdpads:SOT-353" (at 162.56 38.1 0)
(effects (font (size 1.27 1.27)) (justify top) hide)
)
(property "Datasheet" "" (at 162.56 35.56 0)
(effects (font (size 1.524 1.524)) hide)
)
(pin "1" (uuid 7b5bf5c6-a137-400d-a1e5-7d429996d87a))
(pin "2" (uuid 8a980da8-9a7a-4a8e-a2f6-3e3582534fcc))
(pin "3" (uuid 4a32df8a-6600-4a33-bad8-4ff8589abe6b))
(pin "4" (uuid b0e2e731-af63-46cc-a701-80c5ca6ef19a))
(pin "5" (uuid 8dd5d3ac-bd9c-49e2-af07-c518568a66f0))
(instances
(project "SE-030"
(path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-000060941922"
(reference "U29") (unit 1)
)
)
)
)
(symbol (lib_id "Device:R_Small") (at 149.86 33.02 270) (unit 1)
(in_bom yes) (on_board yes) (dnp no)
(uuid 00000000-0000-0000-0000-000061798d1b)
(property "Reference" "R1" (at 149.86 29.21 90)
(effects (font (size 1.27 1.27)))
)
(property "Value" "30" (at 149.86 31.75 90)
(effects (font (size 1.27 1.27)) (justify bottom))
)
(property "Footprint" "" (at 149.86 33.02 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (at 149.86 33.02 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 16692644-7f1b-43a4-b94d-ad2b462f342b))
(pin "2" (uuid 058e95de-96c1-4a08-acf3-c19b5a56638f))
(instances
(project "SE-030"
(path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-000060941922"
(reference "R1") (unit 1)
)
)
)
)
(symbol (lib_id "power:+3V3") (at 152.4 27.94 0) (mirror y) (unit 1)
(in_bom yes) (on_board yes) (dnp no)
(uuid 00000000-0000-0000-0000-0000617a706d)
(property "Reference" "#PWR?" (at 152.4 31.75 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "+3V3" (at 152.4 24.13 0)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "" (at 152.4 27.94 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (at 152.4 27.94 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid f8c4cdb2-b9cc-49a6-992b-7ce192077b24))
(instances
(project "SE-030"
(path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-0000629b918a"
(reference "#PWR?") (unit 1)
)
(path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-000060941922"
(reference "#PWR0102") (unit 1)
)
)
)
)
(symbol (lib_id "power:GND") (at 172.72 33.02 0) (mirror y) (unit 1)
(in_bom yes) (on_board yes) (dnp no)
(uuid 00000000-0000-0000-0000-0000617c0eda)
(property "Reference" "#PWR?" (at 172.72 39.37 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "GND" (at 172.72 36.83 0)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "" (at 172.72 33.02 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (at 172.72 33.02 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 57f1895d-92c6-4da2-ad45-c3feaa3013e0))
(instances
(project "SE-030"
(path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-0000629b918a"
(reference "#PWR?") (unit 1)
)
(path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-000060941922"
(reference "#PWR0103") (unit 1)
)
)
)
)
(symbol (lib_id "Device:R_Small") (at 175.26 30.48 0) (unit 1)
(in_bom yes) (on_board yes) (dnp no)
(uuid 00000000-0000-0000-0000-0000617c2886)
(property "Reference" "R2" (at 176.7586 29.3116 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Value" "1k" (at 176.7586 31.623 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Footprint" "" (at 175.26 30.48 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (at 175.26 30.48 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid e2b1f6a9-358a-4114-bc91-c70558f4bb37))
(pin "2" (uuid f2530f2e-7c9e-40dc-bfb7-db08552e14f2))
(instances
(project "SE-030"
(path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-000060941922"
(reference "R2") (unit 1)
)
)
)
)
(symbol (lib_id "Device:C_Small") (at -26.67 152.4 0) (unit 1)
(in_bom yes) (on_board yes) (dnp no)
(uuid 00000000-0000-0000-0000-000061d73237)
(property "Reference" "C?" (at -25.4 151.13 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Value" "2u2" (at -25.4 153.67 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Footprint" "stdpads:C_0603" (at -26.67 152.4 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (at -26.67 152.4 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid aca8a972-fecd-4ef7-8487-f2ceace353f7))
(pin "2" (uuid c9bd8dd4-7360-4217-b2d0-14326f74da47))
(instances
(project "SE-030"
(path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-00005f723173"
(reference "C?") (unit 1)
)
(path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-000060941922"
(reference "C24") (unit 1)
)
(path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8"
(reference "C?") (unit 1)
)
(path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-0000629b918a"
(reference "C?") (unit 1)
)
)
)
)
(symbol (lib_id "power:GND") (at -16.51 154.94 0) (mirror y) (unit 1)
(in_bom yes) (on_board yes) (dnp no)
(uuid 00000000-0000-0000-0000-000061db2803)
(property "Reference" "#PWR?" (at -16.51 161.29 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "GND" (at -16.51 158.75 0)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "" (at -16.51 154.94 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (at -16.51 154.94 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 17abf01b-db01-4728-836a-3eb301ef7665))
(instances
(project "SE-030"
(path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-00005f723173"
(reference "#PWR?") (unit 1)
)
(path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-000060941922"
(reference "#PWR0139") (unit 1)
)
(path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8"
(reference "#PWR?") (unit 1)
)
(path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-0000629b918a"
(reference "#PWR?") (unit 1)
)
)
)
)
(symbol (lib_id "power:GND") (at 104.14 101.6 0) (unit 1)
(in_bom yes) (on_board yes) (dnp no)
(uuid 00000000-0000-0000-0000-000061df3800)
(property "Reference" "#PWR?" (at 104.14 107.95 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "GND" (at 104.14 105.41 0)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "" (at 104.14 101.6 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (at 104.14 101.6 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid f8f06bcb-c504-4e62-86a1-c91fc0b05cdd))
(instances
(project "SE-030"
(path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-0000629b918a"
(reference "#PWR?") (unit 1)
)
(path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-000060941922"
(reference "#PWR0140") (unit 1)
)
)
)
)
(symbol (lib_id "power:+5V") (at 81.28 78.74 0) (unit 1)
(in_bom yes) (on_board yes) (dnp no)
(uuid 00000000-0000-0000-0000-000061df3807)
(property "Reference" "#PWR?" (at 81.28 82.55 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "+5V" (at 81.28 74.93 0)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "" (at 81.28 78.74 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (at 81.28 78.74 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 2c7ef853-f7c6-4907-9881-e2f13cfd3c85))
(instances
(project "SE-030"
(path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-0000629b918a"
(reference "#PWR?") (unit 1)
)
(path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-000060941922"
(reference "#PWR0141") (unit 1)
)
)
)
)
(symbol (lib_id "GW_Logic:74573") (at 93.98 90.17 0) (mirror y) (unit 1)
(in_bom yes) (on_board yes) (dnp no)
(uuid 00000000-0000-0000-0000-000061df380d)
(property "Reference" "U?" (at 93.98 74.93 0)
(effects (font (size 1.27 1.27)))
)
(property "Value" "74AHCT573PW" (at 93.98 90.17 90)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "stdpads:TSSOP-20_4.4x6.5mm_P0.65mm" (at 93.98 106.68 0)
(effects (font (size 1.27 1.27)) (justify top) hide)
)
(property "Datasheet" "" (at 93.98 87.63 0)
(effects (font (size 1.524 1.524)) hide)
)
(pin "1" (uuid 5ef67e7c-1ca4-4007-a117-b6c610ac0cac))
(pin "10" (uuid 05896d49-364a-4b34-ba9a-725618c0804d))
(pin "11" (uuid 32afebe5-e1e6-40e7-8ce9-7be6593b555a))
(pin "12" (uuid aeb7726d-6214-40a9-aab4-7da2dee6cb95))
(pin "13" (uuid 5207bc08-f831-4dda-890e-c78da0bb4868))
(pin "14" (uuid 3b5ad9c5-0777-4d1e-a25c-853483d6e26b))
(pin "15" (uuid 5e9907a7-f1ff-456b-8d1d-346c1c411338))
(pin "16" (uuid e7de5c10-6bdf-4438-b2a3-afbf62ec1314))
(pin "17" (uuid 496ea488-3d64-4494-a44a-30e26f8c9fb1))
(pin "18" (uuid c8a7d698-402e-4f67-a689-f89dca0fa38f))
(pin "19" (uuid ba7d840a-acc3-4ff5-aef5-1d2614a66b2a))
(pin "2" (uuid 0eb66506-282b-4a54-93dc-761ed934565f))
(pin "20" (uuid b8cb767f-10d4-4be0-be3d-756f4ba13521))
(pin "3" (uuid def91b92-f9c8-4955-9e4e-76c9e4392dda))
(pin "4" (uuid 4d9efd45-48f7-45f9-a52c-8ef9c53659e8))
(pin "5" (uuid 14a2cd54-d450-4e9d-bcea-b93df2ef0bb2))
(pin "6" (uuid afe2fc41-f466-4e10-ad7c-ea993251b5cb))
(pin "7" (uuid 5351f80b-accf-4eda-b760-30ff7693db90))
(pin "8" (uuid fab158b0-d671-4c35-b57c-da3f7060d51b))
(pin "9" (uuid 0785cbc2-192a-4471-90dd-ed8528e10c91))
(instances
(project "SE-030"
(path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-0000629b918a"
(reference "U?") (unit 1)
)
(path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-000060941922"
(reference "U9") (unit 1)
)
)
)
)
(symbol (lib_id "GW_Logic:74573") (at 93.98 59.69 0) (mirror y) (unit 1)
(in_bom yes) (on_board yes) (dnp no)
(uuid 00000000-0000-0000-0000-000061ed1513)
(property "Reference" "U?" (at 93.98 44.45 0)
(effects (font (size 1.27 1.27)))
)
(property "Value" "74AHCT573PW" (at 93.98 59.69 90)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "stdpads:TSSOP-20_4.4x6.5mm_P0.65mm" (at 93.98 76.2 0)
(effects (font (size 1.27 1.27)) (justify top) hide)
)
(property "Datasheet" "" (at 93.98 57.15 0)
(effects (font (size 1.524 1.524)) hide)
)
(pin "1" (uuid d6e49594-3e87-4ae0-932b-cd3956678691))
(pin "10" (uuid 6999d5df-c162-44de-be3f-b8d4003783ea))
(pin "11" (uuid 37830d16-7418-47cf-a257-06e5ee102a02))
(pin "12" (uuid 233c50ae-41d8-423a-b480-9def55c1b2ee))
(pin "13" (uuid d7e6c845-c609-4520-849c-ac23d18c7444))
(pin "14" (uuid 0d7de24e-f6ae-4d96-8480-2188d40a3d74))
(pin "15" (uuid 97b2b6da-4f10-49a7-916c-e640f46f21c9))
(pin "16" (uuid 001d5449-1cd4-4965-afae-716e23a5eadb))
(pin "17" (uuid 69612bf3-d293-4bde-b1d9-4c13e286dde2))
(pin "18" (uuid ae3eb4ca-9a73-408a-9da6-832da24d1a02))
(pin "19" (uuid 82578eda-5050-4e43-a25a-c077a81ce6ba))
(pin "2" (uuid 108961de-3ee8-43d1-b738-1417d59d4332))
(pin "20" (uuid ba37189b-e60c-4023-a6b7-bde65e9075a1))
(pin "3" (uuid af67d127-d61d-4fc3-9b16-4fbb416a006d))
(pin "4" (uuid 81d02147-5f6d-4dd3-bf5f-5f833ad4025e))
(pin "5" (uuid 09d37b4e-d151-4750-9776-e379c4e73d37))
(pin "6" (uuid d9f9603a-cae2-4139-b7b2-d9ea5f757659))
(pin "7" (uuid 65b9ea90-c60b-4a2d-a621-1ec047363296))
(pin "8" (uuid 3ab1d7eb-89ca-4e97-91c9-f45c4f2a68d1))
(pin "9" (uuid 04cac538-6fec-4790-abd6-e8f817351c73))
(instances
(project "SE-030"
(path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-0000629b918a"
(reference "U?") (unit 1)
)
(path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-000060941922"
(reference "U8") (unit 1)
)
)
)
)
(symbol (lib_id "power:GND") (at 165.1 68.58 0) (mirror y) (unit 1)
(in_bom yes) (on_board yes) (dnp no)
(uuid 00000000-0000-0000-0000-000062220e31)
(property "Reference" "#PWR?" (at 165.1 74.93 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "GND" (at 165.1 72.39 0)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "" (at 165.1 68.58 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (at 165.1 68.58 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid a59b184e-b917-4b59-a903-e062555cda61))
(instances
(project "SE-030"
(path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-0000629b918a"
(reference "#PWR?") (unit 1)
)
(path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-000060941922"
(reference "#PWR0154") (unit 1)
)
)
)
)
(symbol (lib_id "power:GND") (at 165.1 101.6 0) (mirror y) (unit 1)
(in_bom yes) (on_board yes) (dnp no)
(uuid 00000000-0000-0000-0000-00006226fa8e)
(property "Reference" "#PWR?" (at 165.1 107.95 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "GND" (at 165.1 105.41 0)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "" (at 165.1 101.6 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (at 165.1 101.6 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid ac56ba02-c0c2-4bda-a7eb-7007011e9839))
(instances
(project "SE-030"
(path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-0000629b918a"
(reference "#PWR?") (unit 1)
)
(path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-000060941922"
(reference "#PWR0145") (unit 1)
)
)
)
)
(symbol (lib_id "power:+3V3") (at 144.78 78.74 0) (mirror y) (unit 1)
(in_bom yes) (on_board yes) (dnp no)
(uuid 00000000-0000-0000-0000-0000622729c8)
(property "Reference" "#PWR?" (at 144.78 82.55 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "+3V3" (at 144.78 74.93 0)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "" (at 144.78 78.74 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (at 144.78 78.74 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 16e98661-a7b6-42c0-a016-1bdd2f7e60d4))
(instances
(project "SE-030"
(path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-0000629b918a"
(reference "#PWR?") (unit 1)
)
(path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-000060941922"
(reference "#PWR0144") (unit 1)
)
)
)
)
(symbol (lib_id "power:+3V3") (at 144.78 139.7 0) (mirror y) (unit 1)
(in_bom yes) (on_board yes) (dnp no)
(uuid 00000000-0000-0000-0000-0000622caba9)
(property "Reference" "#PWR?" (at 144.78 143.51 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "+3V3" (at 144.78 135.89 0)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "" (at 144.78 139.7 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (at 144.78 139.7 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 0f83b270-3a1b-4f49-a364-75c0b5336d1e))
(instances
(project "SE-030"
(path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-0000629b918a"
(reference "#PWR?") (unit 1)
)
(path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-000060941922"
(reference "#PWR0148") (unit 1)
)
)
)
)
(symbol (lib_id "power:GND") (at 165.1 162.56 0) (mirror y) (unit 1)
(in_bom yes) (on_board yes) (dnp no)
(uuid 00000000-0000-0000-0000-0000622cabaf)
(property "Reference" "#PWR?" (at 165.1 168.91 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "GND" (at 165.1 166.37 0)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "" (at 165.1 162.56 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (at 165.1 162.56 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 96ec1ee7-8e32-4dcd-97f8-3493c571c624))
(instances
(project "SE-030"
(path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-0000629b918a"
(reference "#PWR?") (unit 1)
)
(path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-000060941922"
(reference "#PWR0149") (unit 1)
)
)
)
)
(symbol (lib_id "GW_Logic:74245") (at 154.94 151.13 0) (mirror y) (unit 1)
(in_bom yes) (on_board yes) (dnp no)
(uuid 00000000-0000-0000-0000-0000622cabb5)
(property "Reference" "U?" (at 154.94 135.89 0)
(effects (font (size 1.27 1.27)))
)
(property "Value" "74AHC245APW" (at 154.94 151.13 90)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "" (at 154.94 167.64 0)
(effects (font (size 1.27 1.27)) (justify top) hide)
)
(property "Datasheet" "" (at 154.94 148.59 0)
(effects (font (size 1.524 1.524)) hide)
)
(pin "1" (uuid 0c7482e8-1736-4aa5-b639-feecad8d63c2))
(pin "10" (uuid bd23beae-ce76-4a2b-ad70-b039ed745297))
(pin "11" (uuid 303bee8f-2e16-4325-baf4-0f89fa67fea8))
(pin "12" (uuid 02476f86-f9a5-4ca8-8263-af5cec1bca10))
(pin "13" (uuid 037adfb5-fc17-41e1-91aa-da579b60e316))
(pin "14" (uuid 11448e2b-2528-43d2-974f-49e4dea649e1))
(pin "15" (uuid 376db053-829c-49b6-b0af-bfc489e5b148))
(pin "16" (uuid 2b071f51-1b61-4d32-8534-7fd24f8504cb))
(pin "17" (uuid 3a2705eb-53ef-4b89-b359-d16372ff9f76))
(pin "18" (uuid 6230c95e-1325-4674-9905-58fe3df17345))
(pin "19" (uuid 2f678ca1-45d3-408d-9986-189ebe06e50c))
(pin "2" (uuid ad570986-1a2c-4f4c-b124-4964f6d82cf8))
(pin "20" (uuid 88ecff7b-5568-4d60-821a-0f511f09fadc))
(pin "3" (uuid 82041608-8056-4054-b452-9d21f1a666f4))
(pin "4" (uuid d7252bfe-efde-4e20-ab2e-2c9f74dddaaf))
(pin "5" (uuid 2ff6695b-8e92-4860-9816-798b7ab14907))
(pin "6" (uuid ac8e9f66-eb50-4be1-9cdd-76ea37d141bb))
(pin "7" (uuid 46612998-a0a2-451a-a426-dd9dbb4e798b))
(pin "8" (uuid 45c5d769-3bba-47fc-bb6c-8c97ff6b83a0))
(pin "9" (uuid 1b859eb2-b6b7-4dfb-be1c-c9f38c136215))
(instances
(project "SE-030"
(path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-0000629b918a"
(reference "U?") (unit 1)
)
(path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-000060941922"
(reference "U16") (unit 1)
)
)
)
)
(symbol (lib_id "power:+3V3") (at 144.78 170.18 0) (mirror y) (unit 1)
(in_bom yes) (on_board yes) (dnp no)
(uuid 00000000-0000-0000-0000-0000622cabbb)
(property "Reference" "#PWR?" (at 144.78 173.99 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "+3V3" (at 144.78 166.37 0)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "" (at 144.78 170.18 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (at 144.78 170.18 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid d0663d0c-ff98-40ff-b9e4-473ca4d02b72))
(instances
(project "SE-030"
(path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-0000629b918a"
(reference "#PWR?") (unit 1)
)
(path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-000060941922"
(reference "#PWR0150") (unit 1)
)
)
)
)
(symbol (lib_id "power:GND") (at 165.1 193.04 0) (mirror y) (unit 1)
(in_bom yes) (on_board yes) (dnp no)
(uuid 00000000-0000-0000-0000-0000622cabc1)
(property "Reference" "#PWR?" (at 165.1 199.39 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "GND" (at 165.1 196.85 0)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "" (at 165.1 193.04 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (at 165.1 193.04 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid d3ab15a9-0de3-40d9-8da1-09bcde56a024))
(instances
(project "SE-030"
(path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-0000629b918a"
(reference "#PWR?") (unit 1)
)
(path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-000060941922"
(reference "#PWR0151") (unit 1)
)
)
)
)
(symbol (lib_id "GW_Logic:74245") (at 154.94 181.61 0) (mirror y) (unit 1)
(in_bom yes) (on_board yes) (dnp no)
(uuid 00000000-0000-0000-0000-0000622cabc7)
(property "Reference" "U?" (at 154.94 166.37 0)
(effects (font (size 1.27 1.27)))
)
(property "Value" "74AHC245APW" (at 154.94 181.61 90)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "" (at 154.94 198.12 0)
(effects (font (size 1.27 1.27)) (justify top) hide)
)
(property "Datasheet" "" (at 154.94 179.07 0)
(effects (font (size 1.524 1.524)) hide)
)
(pin "1" (uuid 4cda1027-a5e1-4567-9169-0787c13c8b96))
(pin "10" (uuid ab3dc3b0-b216-443b-95b5-71617c5552b3))
(pin "11" (uuid 782b2162-66ee-447a-b930-2e31f3534ab2))
(pin "12" (uuid addc8680-0dc1-4e5b-93df-38e98151ba48))
(pin "13" (uuid 6ab45852-5ad1-4e26-9c43-770d913153c0))
(pin "14" (uuid a92a37b8-017f-4867-b5eb-35eea87a6989))
(pin "15" (uuid e52bceb6-1d9b-4f80-b214-7e2fd5036b6f))
(pin "16" (uuid d811a964-686c-4624-a4cc-bf7cd4fd77fc))
(pin "17" (uuid a4f69ea4-ffb8-4d32-9916-a5b837c3b9e9))
(pin "18" (uuid f433b54a-71ae-422c-b5d9-e63a218fca59))
(pin "19" (uuid 192c558a-2a58-42b4-a905-6a06f40f79e0))
(pin "2" (uuid 7189de5a-88fb-4e73-b2c4-f71dd3b886a7))
(pin "20" (uuid 8a45d3aa-7431-48e0-9272-e813da23d926))
(pin "3" (uuid c8ef19bf-e6f2-4de8-a2a1-da19468eab77))
(pin "4" (uuid d3a04668-0fb3-4f91-baa1-e4ef7ef45242))
(pin "5" (uuid 035bb381-5111-4852-85ca-f86b99288420))
(pin "6" (uuid c19189ba-9de2-4ef7-ad45-75e404ac066c))
(pin "7" (uuid ea75e7a5-76e0-4047-8ac4-d340db708f59))
(pin "8" (uuid 536f3e23-c0e3-4b6a-8377-bf6cb4546dd5))
(pin "9" (uuid 772f7994-ce89-435a-9e5e-b610cb1c6281))
(instances
(project "SE-030"
(path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-0000629b918a"
(reference "U?") (unit 1)
)
(path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-000060941922"
(reference "U17") (unit 1)
)
)
)
)
(symbol (lib_id "power:+3V3") (at 165.1 45.72 0) (mirror y) (unit 1)
(in_bom yes) (on_board yes) (dnp no)
(uuid 00000000-0000-0000-0000-0000622e4b86)
(property "Reference" "#PWR?" (at 165.1 49.53 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "+3V3" (at 165.1 41.91 0)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "" (at 165.1 45.72 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (at 165.1 45.72 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 4a9a185b-8715-47e3-9b88-9978722c829c))
(instances
(project "SE-030"
(path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-0000629b918a"
(reference "#PWR?") (unit 1)
)
(path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-000060941922"
(reference "#PWR0152") (unit 1)
)
)
)
)
(symbol (lib_id "GW_Logic:74244") (at 154.94 57.15 0) (mirror y) (unit 1)
(in_bom yes) (on_board yes) (dnp no)
(uuid 00000000-0000-0000-0000-000062a8e938)
(property "Reference" "U13" (at 154.94 41.91 0)
(effects (font (size 1.27 1.27)))
)
(property "Value" "74LVC244APW" (at 154.94 72.39 0)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "stdpads:TSSOP-20_4.4x6.5mm_P0.65mm" (at 154.94 73.66 0)
(effects (font (size 1.27 1.27)) (justify top) hide)
)
(property "Datasheet" "" (at 154.94 54.61 0)
(effects (font (size 1.524 1.524)) hide)
)
(pin "1" (uuid 834473bc-a33b-4fda-9d70-63c66946cad5))
(pin "10" (uuid 99cb571b-f417-4198-a053-5364e79e3b69))
(pin "11" (uuid d280f5cf-cb04-4993-b780-6a20dae2233b))
(pin "12" (uuid e5823e0a-2c79-45f7-bce4-c9c7e6398a2e))
(pin "13" (uuid 11c559c4-de79-48e4-9b49-0be4139d21e2))
(pin "14" (uuid 98a36c87-49dc-46bc-a011-8f85a2387da3))
(pin "15" (uuid d0d9a338-e08c-4719-b2db-84d030d2fd36))
(pin "16" (uuid 87ca254c-d691-4d41-ae3b-1bd05ff89320))
(pin "17" (uuid 86c1cf43-a39a-4514-b45b-104e2196bf03))
(pin "18" (uuid 6067134f-1df9-4e31-b70f-b2a1060d866e))
(pin "19" (uuid 6f3a8bf0-f39f-44aa-affe-7d8b433de030))
(pin "2" (uuid b08c99c9-352f-441c-a402-2b8252ef4217))
(pin "20" (uuid 8f8b9a4b-ac70-4d17-b505-ce299997cfa3))
(pin "3" (uuid a24f3523-1efa-4785-ba25-ab62230a0015))
(pin "4" (uuid eb1fe987-1a1d-4b54-85a3-ba86b1176478))
(pin "5" (uuid f4639d7d-7421-48bd-97bd-f1fd7fefb585))
(pin "6" (uuid ed0df8d0-dcb9-468f-b0c6-feddd2479e9d))
(pin "7" (uuid 9b29b785-0b27-4c44-b7ef-8ed6863720d4))
(pin "8" (uuid 65fc919e-5346-4464-804c-36c0375df437))
(pin "9" (uuid 17ba498b-b77a-433c-a7de-83d4c29a640a))
(instances
(project "SE-030"
(path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-000060941922"
(reference "U13") (unit 1)
)
)
)
)
(symbol (lib_id "power:GND") (at 144.78 68.58 0) (mirror y) (unit 1)
(in_bom yes) (on_board yes) (dnp no)
(uuid 00000000-0000-0000-0000-000062acb26e)
(property "Reference" "#PWR?" (at 144.78 74.93 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "GND" (at 144.78 72.39 0)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "" (at 144.78 68.58 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (at 144.78 68.58 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 0be10d72-bf44-41af-b421-b50cff8f22e5))
(instances
(project "SE-030"
(path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-0000629b918a"
(reference "#PWR?") (unit 1)
)
(path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-000060941922"
(reference "#PWR0155") (unit 1)
)
)
)
)
(symbol (lib_id "power:GND") (at 142.24 40.64 0) (mirror y) (unit 1)
(in_bom yes) (on_board yes) (dnp no)
(uuid 00000000-0000-0000-0000-000062acb7a2)
(property "Reference" "#PWR?" (at 142.24 46.99 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "GND" (at 142.24 44.45 0)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "" (at 142.24 40.64 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (at 142.24 40.64 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 1d0acaf3-e804-46b2-8423-14b6f08a7d5b))
(instances
(project "SE-030"
(path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-0000629b918a"
(reference "#PWR?") (unit 1)
)
(path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-000060941922"
(reference "#PWR0156") (unit 1)
)
)
)
)
(symbol (lib_id "Device:C_Small") (at -57.15 162.56 0) (unit 1)
(in_bom yes) (on_board yes) (dnp no)
(uuid 00000000-0000-0000-0000-000063262ef4)
(property "Reference" "C?" (at -55.88 161.29 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Value" "2u2" (at -55.88 163.83 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Footprint" "stdpads:C_0603" (at -57.15 162.56 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (at -57.15 162.56 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 64f1e673-ec73-4254-8212-4f4fd6df9763))
(pin "2" (uuid 8075d361-f301-48ad-9af6-5dcc0523e8e3))
(instances
(project "SE-030"
(path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-00005f723173"
(reference "C?") (unit 1)
)
(path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-000060941922"
(reference "C19") (unit 1)
)
(path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8"
(reference "C?") (unit 1)
)
(path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-0000629b918a"
(reference "C?") (unit 1)
)
)
)
)
(symbol (lib_id "Device:C_Small") (at -46.99 162.56 0) (unit 1)
(in_bom yes) (on_board yes) (dnp no)
(uuid 00000000-0000-0000-0000-000063262ef5)
(property "Reference" "C?" (at -45.72 161.29 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Value" "2u2" (at -45.72 163.83 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Footprint" "stdpads:C_0603" (at -46.99 162.56 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (at -46.99 162.56 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 849e3b5a-91f6-4539-820d-737fc342f27b))
(pin "2" (uuid 66d82247-e22e-4ecb-b2d9-e15eb02c1754))
(instances
(project "SE-030"
(path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-00005f723173"
(reference "C?") (unit 1)
)
(path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-000060941922"
(reference "C21") (unit 1)
)
(path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8"
(reference "C?") (unit 1)
)
(path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-0000629b918a"
(reference "C?") (unit 1)
)
)
)
)
(symbol (lib_id "Device:C_Small") (at -36.83 162.56 0) (unit 1)
(in_bom yes) (on_board yes) (dnp no)
(uuid 00000000-0000-0000-0000-000063262ef6)
(property "Reference" "C?" (at -35.56 161.29 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Value" "2u2" (at -35.56 163.83 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Footprint" "stdpads:C_0603" (at -36.83 162.56 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (at -36.83 162.56 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid c3f379bb-58cf-4d37-b1c2-026b301b6f3d))
(pin "2" (uuid ca09a691-cf9b-4406-a8b0-8e048b2b62c9))
(instances
(project "SE-030"
(path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-00005f723173"
(reference "C?") (unit 1)
)
(path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-000060941922"
(reference "C23") (unit 1)
)
(path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8"
(reference "C?") (unit 1)
)
(path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-0000629b918a"
(reference "C?") (unit 1)
)
)
)
)
(symbol (lib_id "power:+3V3") (at -67.31 160.02 0) (unit 1)
(in_bom yes) (on_board yes) (dnp no)
(uuid 00000000-0000-0000-0000-000063262ef7)
(property "Reference" "#PWR?" (at -67.31 163.83 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "+3V3" (at -67.31 156.21 0)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "" (at -67.31 160.02 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (at -67.31 160.02 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid e2569e46-c4a2-435d-871e-95fa355bb902))
(instances
(project "SE-030"
(path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-00005f723173"
(reference "#PWR?") (unit 1)
)
(path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-000060941922"
(reference "#PWR0133") (unit 1)
)
(path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8"
(reference "#PWR?") (unit 1)
)
(path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-0000629b918a"
(reference "#PWR?") (unit 1)
)
)
)
)
(symbol (lib_id "Device:C_Small") (at -67.31 162.56 0) (unit 1)
(in_bom yes) (on_board yes) (dnp no)
(uuid 00000000-0000-0000-0000-000063262ef8)
(property "Reference" "C?" (at -66.04 161.29 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Value" "2u2" (at -66.04 163.83 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Footprint" "stdpads:C_0603" (at -67.31 162.56 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (at -67.31 162.56 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 6686ab2f-f264-4fab-b88f-92de93885edc))
(pin "2" (uuid 959da3d8-026f-4fc0-942b-1f3b56bf5af9))
(instances
(project "SE-030"
(path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-00005f723173"
(reference "C?") (unit 1)
)
(path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-000060941922"
(reference "C17") (unit 1)
)
(path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8"
(reference "C?") (unit 1)
)
(path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-0000629b918a"
(reference "C?") (unit 1)
)
)
)
)
(symbol (lib_id "Device:C_Small") (at -16.51 162.56 0) (unit 1)
(in_bom yes) (on_board yes) (dnp no)
(uuid 00000000-0000-0000-0000-000063262ef9)
(property "Reference" "C?" (at -15.24 161.29 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Value" "2u2" (at -15.24 163.83 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Footprint" "stdpads:C_0603" (at -16.51 162.56 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (at -16.51 162.56 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid f0d59f8c-94ad-41dd-8d25-2f82d836793b))
(pin "2" (uuid cee56b1d-2118-414c-9e90-6bbf22e362e4))
(instances
(project "SE-030"
(path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-00005f723173"
(reference "C?") (unit 1)
)
(path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-000060941922"
(reference "C27") (unit 1)
)
(path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8"
(reference "C?") (unit 1)
)
(path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-0000629b918a"
(reference "C?") (unit 1)
)
)
)
)
(symbol (lib_id "Device:C_Small") (at -26.67 162.56 0) (unit 1)
(in_bom yes) (on_board yes) (dnp no)
(uuid 00000000-0000-0000-0000-000063262efa)
(property "Reference" "C?" (at -25.4 161.29 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Value" "2u2" (at -25.4 163.83 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Footprint" "stdpads:C_0603" (at -26.67 162.56 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (at -26.67 162.56 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 21bd4713-cc0f-4b2f-8d34-b1b8616f9512))
(pin "2" (uuid e38e089c-e285-4a84-854f-c5cb0e90f23f))
(instances
(project "SE-030"
(path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-00005f723173"
(reference "C?") (unit 1)
)
(path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-000060941922"
(reference "C25") (unit 1)
)
(path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8"
(reference "C?") (unit 1)
)
(path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-0000629b918a"
(reference "C?") (unit 1)
)
)
)
)
(symbol (lib_id "Device:C_Small") (at -57.15 152.4 0) (unit 1)
(in_bom yes) (on_board yes) (dnp no)
(uuid 00000000-0000-0000-0000-000063262efc)
(property "Reference" "C?" (at -55.88 151.13 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Value" "2u2" (at -55.88 153.67 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Footprint" "stdpads:C_0603" (at -57.15 152.4 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (at -57.15 152.4 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 9547fb3f-9d0a-4284-9599-20f5f72a31e4))
(pin "2" (uuid 26ca6b5b-5594-46f9-b4a4-3c17fc257d43))
(instances
(project "SE-030"
(path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-00005f723173"
(reference "C?") (unit 1)
)
(path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-000060941922"
(reference "C18") (unit 1)
)
(path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8"
(reference "C?") (unit 1)
)
(path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-0000629b918a"
(reference "C?") (unit 1)
)
)
)
)
(symbol (lib_id "Device:C_Small") (at -46.99 152.4 0) (unit 1)
(in_bom yes) (on_board yes) (dnp no)
(uuid 00000000-0000-0000-0000-000063262efd)
(property "Reference" "C?" (at -45.72 151.13 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Value" "2u2" (at -45.72 153.67 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Footprint" "stdpads:C_0603" (at -46.99 152.4 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (at -46.99 152.4 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid a9fcf3a9-ea12-4dd1-849f-40fd5e80a8e9))
(pin "2" (uuid 45fa4899-1a27-4626-b3e8-9314cd4d95dc))
(instances
(project "SE-030"
(path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-00005f723173"
(reference "C?") (unit 1)
)
(path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-000060941922"
(reference "C20") (unit 1)
)
(path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8"
(reference "C?") (unit 1)
)
(path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-0000629b918a"
(reference "C?") (unit 1)
)
)
)
)
(symbol (lib_id "Device:C_Small") (at -36.83 152.4 0) (unit 1)
(in_bom yes) (on_board yes) (dnp no)
(uuid 00000000-0000-0000-0000-000063262efe)
(property "Reference" "C?" (at -35.56 151.13 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Value" "2u2" (at -35.56 153.67 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Footprint" "stdpads:C_0603" (at -36.83 152.4 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (at -36.83 152.4 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 4bd10e1a-1cf9-49f9-b8d3-e02730532ef5))
(pin "2" (uuid c388ee73-6e03-4648-a86d-3941d8b53dab))
(instances
(project "SE-030"
(path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-00005f723173"
(reference "C?") (unit 1)
)
(path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-000060941922"
(reference "C22") (unit 1)
)
(path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8"
(reference "C?") (unit 1)
)
(path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-0000629b918a"
(reference "C?") (unit 1)
)
)
)
)
(symbol (lib_id "power:+3V3") (at -67.31 149.86 0) (unit 1)
(in_bom yes) (on_board yes) (dnp no)
(uuid 00000000-0000-0000-0000-000063262eff)
(property "Reference" "#PWR?" (at -67.31 153.67 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "+3V3" (at -67.31 146.05 0)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "" (at -67.31 149.86 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (at -67.31 149.86 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid d167a8c3-20f6-4569-a66b-cd50808df072))
(instances
(project "SE-030"
(path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-00005f723173"
(reference "#PWR?") (unit 1)
)
(path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-000060941922"
(reference "#PWR0138") (unit 1)
)
(path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8"
(reference "#PWR?") (unit 1)
)
(path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-0000629b918a"
(reference "#PWR?") (unit 1)
)
)
)
)
(symbol (lib_id "Device:C_Small") (at -67.31 152.4 0) (unit 1)
(in_bom yes) (on_board yes) (dnp no)
(uuid 00000000-0000-0000-0000-000063262f00)
(property "Reference" "C?" (at -66.04 151.13 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Value" "2u2" (at -66.04 153.67 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Footprint" "stdpads:C_0603" (at -67.31 152.4 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (at -67.31 152.4 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 3046db71-3660-40b0-8173-abba5b0a3633))
(pin "2" (uuid 833c327b-d377-46b9-a3e4-f07e43e291e8))
(instances
(project "SE-030"
(path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-00005f723173"
(reference "C?") (unit 1)
)
(path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-000060941922"
(reference "C16") (unit 1)
)
(path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8"
(reference "C?") (unit 1)
)
(path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-0000629b918a"
(reference "C?") (unit 1)
)
)
)
)
(symbol (lib_id "Device:C_Small") (at -16.51 152.4 0) (unit 1)
(in_bom yes) (on_board yes) (dnp no)
(uuid 00000000-0000-0000-0000-000063262f01)
(property "Reference" "C?" (at -15.24 151.13 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Value" "2u2" (at -15.24 153.67 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Footprint" "stdpads:C_0603" (at -16.51 152.4 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (at -16.51 152.4 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 94f47b18-0364-4e8c-86f0-4e878c56546b))
(pin "2" (uuid 0cea9cad-dae9-447b-a04d-f74d2f065959))
(instances
(project "SE-030"
(path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-00005f723173"
(reference "C?") (unit 1)
)
(path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-000060941922"
(reference "C26") (unit 1)
)
(path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8"
(reference "C?") (unit 1)
)
(path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-0000629b918a"
(reference "C?") (unit 1)
)
)
)
)
(symbol (lib_id "GW_Logic:74245") (at 154.94 90.17 0) (mirror y) (unit 1)
(in_bom yes) (on_board yes) (dnp no)
(uuid 00000000-0000-0000-0000-000063262f04)
(property "Reference" "U?" (at 154.94 74.93 0)
(effects (font (size 1.27 1.27)))
)
(property "Value" "74AHC245APW" (at 154.94 90.17 90)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "" (at 154.94 106.68 0)
(effects (font (size 1.27 1.27)) (justify top) hide)
)
(property "Datasheet" "" (at 154.94 87.63 0)
(effects (font (size 1.524 1.524)) hide)
)
(pin "1" (uuid f4daaf70-afee-4b1d-a5de-4365616a4d88))
(pin "10" (uuid 66bce32d-7ccd-4cd1-a55c-1541a7f50959))
(pin "11" (uuid a9cde31c-97c9-4b58-8511-cf47cc7d20f7))
(pin "12" (uuid c44d52ca-3f7e-49c9-9874-01dafc580fb3))
(pin "13" (uuid fd7ed3e6-0f1b-47a5-95db-5addf58d2f5b))
(pin "14" (uuid 04576f08-4bb1-4d4f-a34e-188e5dc8aa82))
(pin "15" (uuid 14166c13-6929-4156-8a87-283c2253bcda))
(pin "16" (uuid 3ed06528-01f5-4641-b58d-80f0efe27c3d))
(pin "17" (uuid 09c47a0e-b2f8-454c-b8c4-19e79ba90577))
(pin "18" (uuid a186c1aa-9743-43b8-a1a7-ca16bc7933dd))
(pin "19" (uuid e275e679-beb5-45f7-9b3b-9caeebf19b86))
(pin "2" (uuid 3b2d179e-4df0-452e-b437-1ed1bf57d4fc))
(pin "20" (uuid 4f93124c-7628-49b9-a784-a7fe2e2af10a))
(pin "3" (uuid 033fe846-0d0f-4f17-ad8a-8bf51c160ebe))
(pin "4" (uuid 97013737-5145-4f06-ace4-c678b1b86b1c))
(pin "5" (uuid 7d609a58-67f0-4895-a238-a29486ea4ae8))
(pin "6" (uuid f86e9717-f1db-43d1-a550-efa3ada941d7))
(pin "7" (uuid 0542e914-9c34-4fb5-8b1b-d2aa2646309f))
(pin "8" (uuid 821ed14d-d1ef-49c9-a2ef-cf8e2f39839c))
(pin "9" (uuid 070973dd-2435-48f7-80b1-f3a236c10360))
(instances
(project "SE-030"
(path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-0000629b918a"
(reference "U?") (unit 1)
)
(path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-000060941922"
(reference "U14") (unit 1)
)
)
)
)
(symbol (lib_id "power:+3V3") (at 144.78 109.22 0) (mirror y) (unit 1)
(in_bom yes) (on_board yes) (dnp no)
(uuid 00000000-0000-0000-0000-000063262f07)
(property "Reference" "#PWR?" (at 144.78 113.03 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "+3V3" (at 144.78 105.41 0)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "" (at 144.78 109.22 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (at 144.78 109.22 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 7c2c4097-3238-45c3-9e44-efebb2af6946))
(instances
(project "SE-030"
(path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-0000629b918a"
(reference "#PWR?") (unit 1)
)
(path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-000060941922"
(reference "#PWR0146") (unit 1)
)
)
)
)
(symbol (lib_id "power:GND") (at 165.1 132.08 0) (mirror y) (unit 1)
(in_bom yes) (on_board yes) (dnp no)
(uuid 00000000-0000-0000-0000-000063262f08)
(property "Reference" "#PWR?" (at 165.1 138.43 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "GND" (at 165.1 135.89 0)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "" (at 165.1 132.08 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (at 165.1 132.08 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 80fbbd01-62b2-44e3-84c5-66b87d1325cd))
(instances
(project "SE-030"
(path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-0000629b918a"
(reference "#PWR?") (unit 1)
)
(path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-000060941922"
(reference "#PWR0147") (unit 1)
)
)
)
)
(symbol (lib_id "GW_Logic:74245") (at 154.94 120.65 0) (mirror y) (unit 1)
(in_bom yes) (on_board yes) (dnp no)
(uuid 00000000-0000-0000-0000-000063262f09)
(property "Reference" "U?" (at 154.94 105.41 0)
(effects (font (size 1.27 1.27)))
)
(property "Value" "74AHC245APW" (at 154.94 120.65 90)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "" (at 154.94 137.16 0)
(effects (font (size 1.27 1.27)) (justify top) hide)
)
(property "Datasheet" "" (at 154.94 118.11 0)
(effects (font (size 1.524 1.524)) hide)
)
(pin "1" (uuid 32c53ea2-c156-4e1f-be0a-0a4546cdb816))
(pin "10" (uuid c6f6471c-007e-4375-ba26-cff8115cc5a6))
(pin "11" (uuid e84c8ab0-096d-4481-ad86-d76053eafa62))
(pin "12" (uuid 73d0d688-a100-4571-a5d2-90fd054bc5d1))
(pin "13" (uuid 93c5e698-8a7b-40c3-8be3-fa0af2cc65cb))
(pin "14" (uuid f5020a63-846c-47d1-bb82-ffd724f51477))
(pin "15" (uuid 7c0a93f9-5b7a-4ec4-91f5-54612d096a28))
(pin "16" (uuid a4ba3383-632c-4d60-983d-cb75cc19d868))
(pin "17" (uuid 7fa3fde0-7649-434c-89c3-6f0c69d18291))
(pin "18" (uuid 691280c9-b03f-40dc-82a9-530cb42785d0))
(pin "19" (uuid 53aa6896-90cc-41c4-9a46-f801288aea7c))
(pin "2" (uuid 77229830-6a7e-4e4d-b529-3db7e281abfb))
(pin "20" (uuid 78d2ab8c-5871-4a2e-b1a8-96056d624ded))
(pin "3" (uuid c39b00ea-635c-45d0-b003-8d144fa1392c))
(pin "4" (uuid e39c0ed1-2b51-4885-b2ce-17b12e902711))
(pin "5" (uuid c6b375ff-f73b-4370-8965-dce28d34d4b8))
(pin "6" (uuid 24e460c6-48bd-40b8-99f0-98ce4272a804))
(pin "7" (uuid c614491f-7eb6-4140-9d5d-2ebe0ffeb71f))
(pin "8" (uuid e6bec592-fb7e-4247-8017-74f7dfd8f902))
(pin "9" (uuid 5b770d52-93bd-4a26-b733-5c817f83f8c3))
(instances
(project "SE-030"
(path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-0000629b918a"
(reference "U?") (unit 1)
)
(path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-000060941922"
(reference "U15") (unit 1)
)
)
)
)
(symbol (lib_id "power:GND") (at 104.14 71.12 0) (unit 1)
(in_bom yes) (on_board yes) (dnp no)
(uuid 0efa8b4a-d41a-44cc-af19-6840c7ac5b5c)
(property "Reference" "#PWR?" (at 104.14 77.47 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "GND" (at 104.14 74.93 0)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "" (at 104.14 71.12 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (at 104.14 71.12 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 2a6117a3-3c5f-4256-9be1-84fb89f85833))
(instances
(project "SE-030"
(path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-0000629b918a"
(reference "#PWR?") (unit 1)
)
(path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-000060941922"
(reference "#PWR038") (unit 1)
)
)
)
)
(symbol (lib_id "power:+5V") (at 22.86 17.78 0) (unit 1)
(in_bom yes) (on_board yes) (dnp no)
(uuid 3b034b3e-8733-4170-8090-7097337fb9fe)
(property "Reference" "#PWR?" (at 22.86 21.59 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "+5V" (at 22.86 13.97 0)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "" (at 22.86 17.78 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (at 22.86 17.78 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 1884fb98-18bf-4fe7-897c-6481867fc4d4))
(instances
(project "SE-030"
(path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-0000629b918a"
(reference "#PWR?") (unit 1)
)
(path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-000060941922"
(reference "#PWR039") (unit 1)
)
)
)
)
(symbol (lib_id "power:GND") (at 106.68 44.45 0) (unit 1)
(in_bom yes) (on_board yes) (dnp no)
(uuid 44a536e4-7fce-4045-8dd7-ff80c4bcb8fb)
(property "Reference" "#PWR?" (at 106.68 50.8 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "GND" (at 106.68 48.26 0)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "" (at 106.68 44.45 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (at 106.68 44.45 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 2b7a2119-c791-4cd7-95a8-f169a40b4531))
(instances
(project "SE-030"
(path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-0000629b918a"
(reference "#PWR?") (unit 1)
)
(path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-000060941922"
(reference "#PWR041") (unit 1)
)
)
)
)
(symbol (lib_id "power:+5V") (at 83.82 48.26 0) (unit 1)
(in_bom yes) (on_board yes) (dnp no)
(uuid 5e7d5298-511e-40bc-9245-e324b9cc5ded)
(property "Reference" "#PWR?" (at 83.82 52.07 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "+5V" (at 83.82 44.45 0)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "" (at 83.82 48.26 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (at 83.82 48.26 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid ca93867b-d7b8-4cd3-90a0-03b9ef14adf1))
(instances
(project "SE-030"
(path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-0000629b918a"
(reference "#PWR?") (unit 1)
)
(path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-000060941922"
(reference "#PWR037") (unit 1)
)
)
)
)
(symbol (lib_id "GW_Logic:74573") (at 33.02 29.21 0) (mirror y) (unit 1)
(in_bom yes) (on_board yes) (dnp no)
(uuid 94892dfe-cd18-4fc7-812d-511b96766459)
(property "Reference" "U?" (at 33.02 13.97 0)
(effects (font (size 1.27 1.27)))
)
(property "Value" "74AHCT573PW" (at 33.02 29.21 90)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "stdpads:TSSOP-20_4.4x6.5mm_P0.65mm" (at 33.02 45.72 0)
(effects (font (size 1.27 1.27)) (justify top) hide)
)
(property "Datasheet" "" (at 33.02 26.67 0)
(effects (font (size 1.524 1.524)) hide)
)
(pin "1" (uuid dcb02b6c-8390-4e46-9fd7-bebd4419d87f))
(pin "10" (uuid 1cf1652c-7491-4d48-874e-ac4f1472b1dd))
(pin "11" (uuid 7704495e-c719-4fe9-b85e-d75d2e2e2dd5))
(pin "12" (uuid 07453f1c-8215-48c3-8bd2-4f83a3e62bc4))
(pin "13" (uuid 658738c8-7da0-459a-8215-f79c9058331d))
(pin "14" (uuid 78e9e3d6-5e64-48cc-8901-785ee48126a0))
(pin "15" (uuid 345248f2-5b4c-486c-98e4-3cde0a4b4e78))
(pin "16" (uuid fed70e0a-b09a-4d11-9ce5-8243a40d93c8))
(pin "17" (uuid 48a7ddff-13f0-4cf4-9067-2adc9a3befc7))
(pin "18" (uuid 2b3af437-1693-4061-844a-5674cf16695c))
(pin "19" (uuid 5d01397c-1a48-4b36-8088-61a179e405ca))
(pin "2" (uuid 70998fab-3079-4c25-9b3c-233e69bfa0b7))
(pin "20" (uuid 15d1cf94-3268-42a0-9161-969f8662a617))
(pin "3" (uuid 5bef19dc-684e-4501-bbfc-6298b3fb66d0))
(pin "4" (uuid b349ef3e-3692-4eb2-a2ed-45a60ec39b48))
(pin "5" (uuid 267f543b-cc9f-45ce-8fdd-0d2133ec13d1))
(pin "6" (uuid b753fbe9-76f7-46dd-bbb1-3f7d2d76b7fe))
(pin "7" (uuid 214883ac-e9db-43cb-9b62-f8c84a354542))
(pin "8" (uuid 6f741b18-f3a0-4130-a485-2eaa6140c2b4))
(pin "9" (uuid dd25f359-146d-4e06-99ac-e41f165a066d))
(instances
(project "SE-030"
(path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-0000629b918a"
(reference "U?") (unit 1)
)
(path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-000060941922"
(reference "U34") (unit 1)
)
)
)
)
(symbol (lib_id "power:GND") (at 43.18 40.64 0) (unit 1)
(in_bom yes) (on_board yes) (dnp no)
(uuid ac445a5f-d119-4b60-9ada-f0fd328ef400)
(property "Reference" "#PWR?" (at 43.18 46.99 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "GND" (at 43.18 44.45 0)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "" (at 43.18 40.64 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (at 43.18 40.64 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 2dc408d9-6d7b-4524-a98e-b450fd0c32f6))
(instances
(project "SE-030"
(path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-0000629b918a"
(reference "#PWR?") (unit 1)
)
(path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-000060941922"
(reference "#PWR042") (unit 1)
)
)
)
)
(symbol (lib_id "power:+5V") (at 20.32 43.18 0) (unit 1)
(in_bom yes) (on_board yes) (dnp no)
(uuid f11c3f02-3c62-47cf-8b07-7b9e2c1ebf26)
(property "Reference" "#PWR?" (at 20.32 46.99 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "+5V" (at 20.32 39.37 0)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "" (at 20.32 43.18 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (at 20.32 43.18 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 71a5e2fc-5fcc-4c54-a205-0d320857a101))
(instances
(project "SE-030"
(path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-0000629b918a"
(reference "#PWR?") (unit 1)
)
(path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-000060941922"
(reference "#PWR040") (unit 1)
)
)
)
)
)