This commit is contained in:
Zane Kaminski 2022-09-14 02:04:31 -04:00
parent e1fe25b7b9
commit 6045a957d5
6 changed files with 2344 additions and 3563 deletions

1
.gitignore vendored
View File

@ -13,3 +13,4 @@ WarpSE-backups/*
*.DS_Store *.DS_Store
Documentation/Thumbs.db Documentation/Thumbs.db
*.kicad_prl *.kicad_prl
PowerAdapter/SEPowerAdapter-backups/*

File diff suppressed because it is too large Load Diff

File diff suppressed because it is too large Load Diff

30
WarpSE B Pin Planning Normal file
View File

@ -0,0 +1,30 @@
SDRAM (23)
--------------------
(16) D[15:0]
( 0) BA[1:0] (multiplexed on A[23:22])
( 0) RA[12:0] (multiplexed on A[21:11, 9:8])
( 4) CKE, RAS, CAS, WE
( 2) DQMH, DQML
( 1) CLK
PDS (62)
--------------------
( 2) RST, RSTOE
( 3) IPL[2:0]
( 4) AS, VMA, LDS, UDS
(24) A[23:1], R/W
( 3) AOE, AHLE, ALLE
(16) D[15:0]
( 2) DOE, DDIR
( 3) DTACK, VPA, BERR
( 2) BR, BG
( 3) C8M, C16M, E
SPI Flash (4)
--------------------
( 4) SCK, CS, MISO, MOSI
JTAG + UART (6)
--------------------
( 4) TCK, TDI, TMS, TDO
( 2) TXD, RXD

File diff suppressed because it is too large Load Diff

View File

@ -65,23 +65,31 @@
) )
) )
(junction (at 109.22 91.44) (diameter 0) (color 0 0 0 0)
(uuid 2a1eab90-5dba-4fc0-bd11-76f075c72832)
)
(wire (pts (xy 109.22 142.24) (xy 106.68 142.24)) (wire (pts (xy 76.2 93.98) (xy 81.28 93.98))
(stroke (width 0) (type default) (color 0 0 0 0)) (stroke (width 0) (type default) (color 0 0 0 0))
(uuid 07f31189-9c25-4451-a6f9-bdcc32248b57) (uuid 0848d53e-c8a1-4e24-b120-bda9e180c56d)
) )
(wire (pts (xy 76.2 147.32) (xy 81.28 147.32)) (wire (pts (xy 76.2 147.32) (xy 81.28 147.32))
(stroke (width 0) (type default) (color 0 0 0 0)) (stroke (width 0) (type default) (color 0 0 0 0))
(uuid 09c0a9de-2dfc-4be9-9250-9ae2d6526ac2) (uuid 09c0a9de-2dfc-4be9-9250-9ae2d6526ac2)
) )
(wire (pts (xy 76.2 124.46) (xy 81.28 124.46)) (wire (pts (xy 76.2 111.76) (xy 81.28 111.76))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 0ba8b692-872e-4c9a-89ee-1ecf19eb8ee7)
)
(bus (pts (xy 109.22 91.44) (xy 111.76 91.44))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 1a93bbbf-803b-4f9d-b6b7-e4e284a34068)
)
(wire (pts (xy 76.2 119.38) (xy 81.28 119.38))
(stroke (width 0) (type default) (color 0 0 0 0)) (stroke (width 0) (type default) (color 0 0 0 0))
(uuid 1ca448cc-c833-4193-8af3-3b4f8ca5d7fc) (uuid 1ca448cc-c833-4193-8af3-3b4f8ca5d7fc)
) )
(wire (pts (xy 109.22 147.32) (xy 106.68 147.32))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 1f374070-2d1d-4810-b173-a6fa5d875cff)
)
(wire (pts (xy 38.1 124.46) (xy 45.72 124.46)) (wire (pts (xy 38.1 124.46) (xy 45.72 124.46))
(stroke (width 0) (type default) (color 0 0 0 0)) (stroke (width 0) (type default) (color 0 0 0 0))
(uuid 216aed44-c892-4118-9b40-edc290643ffb) (uuid 216aed44-c892-4118-9b40-edc290643ffb)
@ -91,10 +99,6 @@
(uuid 24e01e05-641d-49cb-81ab-2450d5bebdeb) (uuid 24e01e05-641d-49cb-81ab-2450d5bebdeb)
) )
(wire (pts (xy 76.2 86.36) (xy 81.28 86.36))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 267fd74b-291c-4307-a498-d55baee96c9e)
)
(wire (pts (xy 76.2 144.78) (xy 81.28 144.78)) (wire (pts (xy 76.2 144.78) (xy 81.28 144.78))
(stroke (width 0) (type default) (color 0 0 0 0)) (stroke (width 0) (type default) (color 0 0 0 0))
(uuid 27c43bdb-fb37-4019-9150-6ac46d7b1bc7) (uuid 27c43bdb-fb37-4019-9150-6ac46d7b1bc7)
@ -103,7 +107,7 @@
(stroke (width 0) (type default) (color 0 0 0 0)) (stroke (width 0) (type default) (color 0 0 0 0))
(uuid 3026d280-15e8-496c-8134-a3ad44decc9f) (uuid 3026d280-15e8-496c-8134-a3ad44decc9f)
) )
(wire (pts (xy 109.22 139.7) (xy 106.68 139.7)) (wire (pts (xy 111.76 93.98) (xy 106.68 93.98))
(stroke (width 0) (type default) (color 0 0 0 0)) (stroke (width 0) (type default) (color 0 0 0 0))
(uuid 328cc4df-a48e-48a7-8cbf-2ba0e715f2f1) (uuid 328cc4df-a48e-48a7-8cbf-2ba0e715f2f1)
) )
@ -111,15 +115,15 @@
(stroke (width 0) (type default) (color 0 0 0 0)) (stroke (width 0) (type default) (color 0 0 0 0))
(uuid 37f767ca-0515-4697-9c6c-b3cc9bb730f5) (uuid 37f767ca-0515-4697-9c6c-b3cc9bb730f5)
) )
(wire (pts (xy 109.22 160.02) (xy 106.68 160.02))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 3f91e7ab-b6b5-4d24-9f6e-1290ed21aaf8)
)
(wire (pts (xy 76.2 157.48) (xy 81.28 157.48)) (wire (pts (xy 76.2 157.48) (xy 81.28 157.48))
(stroke (width 0) (type default) (color 0 0 0 0)) (stroke (width 0) (type default) (color 0 0 0 0))
(uuid 440a14f0-1871-48e4-a747-61ed669003e7) (uuid 440a14f0-1871-48e4-a747-61ed669003e7)
) )
(wire (pts (xy 76.2 88.9) (xy 81.28 88.9)) (wire (pts (xy 76.2 91.44) (xy 81.28 91.44))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 4412d6a4-d58f-4630-b7dd-1e89a5f6cf2c)
)
(wire (pts (xy 76.2 96.52) (xy 81.28 96.52))
(stroke (width 0) (type default) (color 0 0 0 0)) (stroke (width 0) (type default) (color 0 0 0 0))
(uuid 44a4f2cd-23a0-44b4-997d-5623202e8b6b) (uuid 44a4f2cd-23a0-44b4-997d-5623202e8b6b)
) )
@ -135,29 +139,29 @@
(stroke (width 0) (type default) (color 0 0 0 0)) (stroke (width 0) (type default) (color 0 0 0 0))
(uuid 49f248e6-ff6b-4937-b58a-9835508b2a6b) (uuid 49f248e6-ff6b-4937-b58a-9835508b2a6b)
) )
(bus (pts (xy 106.68 172.72) (xy 109.22 172.72)) (bus (pts (xy 106.68 111.76) (xy 111.76 111.76))
(stroke (width 0) (type default) (color 0 0 0 0)) (stroke (width 0) (type default) (color 0 0 0 0))
(uuid 4c1efb41-b9c6-4378-8a68-9ecb6bd29787) (uuid 4c1efb41-b9c6-4378-8a68-9ecb6bd29787)
) )
(wire (pts (xy 76.2 106.68) (xy 81.28 106.68))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 50907be3-5a7d-4256-9859-52aaf1455eb1)
)
(wire (pts (xy 38.1 137.16) (xy 45.72 137.16)) (wire (pts (xy 38.1 137.16) (xy 45.72 137.16))
(stroke (width 0) (type default) (color 0 0 0 0)) (stroke (width 0) (type default) (color 0 0 0 0))
(uuid 525a8aee-73cc-4405-b368-88dcce894336) (uuid 525a8aee-73cc-4405-b368-88dcce894336)
) )
(bus (pts (xy 106.68 170.18) (xy 109.22 170.18)) (bus (pts (xy 76.2 86.36) (xy 109.22 86.36))
(stroke (width 0) (type default) (color 0 0 0 0)) (stroke (width 0) (type default) (color 0 0 0 0))
(uuid 5533a8ea-fec9-4e12-87d2-358aed39f856) (uuid 538b61bb-bc8b-4f88-92e1-8e36810c129b)
)
(bus (pts (xy 109.22 91.44) (xy 109.22 86.36))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 56c8b94e-52dc-4821-8181-89c1ecf2b5ed)
) )
(wire (pts (xy 76.2 104.14) (xy 81.28 104.14)) (wire (pts (xy 38.1 147.32) (xy 45.72 147.32))
(stroke (width 0) (type default) (color 0 0 0 0)) (stroke (width 0) (type default) (color 0 0 0 0))
(uuid 5b080882-86ff-43d5-be09-0d2ca87b5f2a) (uuid 5f4aaf7a-f453-49b2-a4d4-adbdc06c6283)
) )
(wire (pts (xy 109.22 144.78) (xy 106.68 144.78)) (wire (pts (xy 111.76 96.52) (xy 106.68 96.52))
(stroke (width 0) (type default) (color 0 0 0 0)) (stroke (width 0) (type default) (color 0 0 0 0))
(uuid 61e5a8e8-07a2-47de-a2d2-87ac5c51107d) (uuid 61e5a8e8-07a2-47de-a2d2-87ac5c51107d)
) )
@ -165,7 +169,7 @@
(stroke (width 0) (type default) (color 0 0 0 0)) (stroke (width 0) (type default) (color 0 0 0 0))
(uuid 65dcc54d-1086-4dc5-b07f-909f624f5664) (uuid 65dcc54d-1086-4dc5-b07f-909f624f5664)
) )
(wire (pts (xy 76.2 96.52) (xy 81.28 96.52)) (wire (pts (xy 76.2 109.22) (xy 81.28 109.22))
(stroke (width 0) (type default) (color 0 0 0 0)) (stroke (width 0) (type default) (color 0 0 0 0))
(uuid 72aef990-047c-498c-8334-9cea55d2efb1) (uuid 72aef990-047c-498c-8334-9cea55d2efb1)
) )
@ -197,7 +201,7 @@
(stroke (width 0) (type default) (color 0 0 0 0)) (stroke (width 0) (type default) (color 0 0 0 0))
(uuid 833a2ab4-a188-48a1-bff5-a46fcf03b508) (uuid 833a2ab4-a188-48a1-bff5-a46fcf03b508)
) )
(wire (pts (xy 106.68 152.4) (xy 109.22 152.4)) (wire (pts (xy 106.68 101.6) (xy 111.76 101.6))
(stroke (width 0) (type default) (color 0 0 0 0)) (stroke (width 0) (type default) (color 0 0 0 0))
(uuid 855c22df-945b-434b-bf92-bf14739aa807) (uuid 855c22df-945b-434b-bf92-bf14739aa807)
) )
@ -206,14 +210,10 @@
(uuid 881c4e57-9604-4665-871c-66d5cdc9c65e) (uuid 881c4e57-9604-4665-871c-66d5cdc9c65e)
) )
(wire (pts (xy 76.2 129.54) (xy 81.28 129.54)) (wire (pts (xy 76.2 124.46) (xy 81.28 124.46))
(stroke (width 0) (type default) (color 0 0 0 0)) (stroke (width 0) (type default) (color 0 0 0 0))
(uuid 8ea6d8c0-c4c8-4f67-b82c-1659458dc66d) (uuid 8ea6d8c0-c4c8-4f67-b82c-1659458dc66d)
) )
(wire (pts (xy 76.2 99.06) (xy 81.28 99.06))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 9d64387a-032b-4d50-b731-d236ce832079)
)
(wire (pts (xy 73.66 190.5) (xy 81.28 190.5)) (wire (pts (xy 73.66 190.5) (xy 81.28 190.5))
(stroke (width 0) (type default) (color 0 0 0 0)) (stroke (width 0) (type default) (color 0 0 0 0))
(uuid a15c7052-81fb-44fb-b5d2-d4438dabcdb5) (uuid a15c7052-81fb-44fb-b5d2-d4438dabcdb5)
@ -222,10 +222,6 @@
(stroke (width 0) (type default) (color 0 0 0 0)) (stroke (width 0) (type default) (color 0 0 0 0))
(uuid a18c3852-0383-479d-a3a4-09d209ff81c2) (uuid a18c3852-0383-479d-a3a4-09d209ff81c2)
) )
(wire (pts (xy 76.2 109.22) (xy 81.28 109.22))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid a5010fa0-2199-4def-babe-5094399a6c93)
)
(wire (pts (xy 38.1 152.4) (xy 45.72 152.4)) (wire (pts (xy 38.1 152.4) (xy 45.72 152.4))
(stroke (width 0) (type default) (color 0 0 0 0)) (stroke (width 0) (type default) (color 0 0 0 0))
(uuid a79cdfa3-0db5-45d0-b34a-d4b8f90b32bb) (uuid a79cdfa3-0db5-45d0-b34a-d4b8f90b32bb)
@ -251,27 +247,19 @@
(uuid c95ffd84-accf-40c3-be2f-801cd887cba5) (uuid c95ffd84-accf-40c3-be2f-801cd887cba5)
) )
(wire (pts (xy 76.2 127) (xy 81.28 127)) (wire (pts (xy 76.2 121.92) (xy 81.28 121.92))
(stroke (width 0) (type default) (color 0 0 0 0)) (stroke (width 0) (type default) (color 0 0 0 0))
(uuid ca3a66ff-b17c-4779-9c2c-ce68c84f3664) (uuid ca3a66ff-b17c-4779-9c2c-ce68c84f3664)
) )
(wire (pts (xy 76.2 101.6) (xy 81.28 101.6))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid caa4b9f6-f441-46d4-95ad-e610c85334b8)
)
(wire (pts (xy 38.1 25.4) (xy 45.72 25.4)) (wire (pts (xy 38.1 25.4) (xy 45.72 25.4))
(stroke (width 0) (type default) (color 0 0 0 0)) (stroke (width 0) (type default) (color 0 0 0 0))
(uuid cf795f40-7341-4946-922e-bfdcf6394e8f) (uuid cf795f40-7341-4946-922e-bfdcf6394e8f)
) )
(wire (pts (xy 109.22 157.48) (xy 106.68 157.48))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid d5b53c24-86cd-402d-a287-e084ae8aab6e)
)
(wire (pts (xy 73.66 185.42) (xy 81.28 185.42)) (wire (pts (xy 73.66 185.42) (xy 81.28 185.42))
(stroke (width 0) (type default) (color 0 0 0 0)) (stroke (width 0) (type default) (color 0 0 0 0))
(uuid dab2584f-1822-4631-b2fb-f0002ec1c101) (uuid dab2584f-1822-4631-b2fb-f0002ec1c101)
) )
(wire (pts (xy 106.68 149.86) (xy 109.22 149.86)) (wire (pts (xy 106.68 99.06) (xy 111.76 99.06))
(stroke (width 0) (type default) (color 0 0 0 0)) (stroke (width 0) (type default) (color 0 0 0 0))
(uuid deac550b-4e35-4295-a14e-75f71390ef39) (uuid deac550b-4e35-4295-a14e-75f71390ef39)
) )
@ -279,7 +267,7 @@
(stroke (width 0) (type default) (color 0 0 0 0)) (stroke (width 0) (type default) (color 0 0 0 0))
(uuid e04a1d45-2014-4f7b-a381-c8736d598214) (uuid e04a1d45-2014-4f7b-a381-c8736d598214)
) )
(wire (pts (xy 109.22 154.94) (xy 106.68 154.94)) (wire (pts (xy 111.76 104.14) (xy 106.68 104.14))
(stroke (width 0) (type default) (color 0 0 0 0)) (stroke (width 0) (type default) (color 0 0 0 0))
(uuid e0f3ea4e-0b68-4c78-91a1-affc503ea8f6) (uuid e0f3ea4e-0b68-4c78-91a1-affc503ea8f6)
) )
@ -287,16 +275,16 @@
(stroke (width 0) (type default) (color 0 0 0 0)) (stroke (width 0) (type default) (color 0 0 0 0))
(uuid e90750d6-9904-4d06-bbc3-fdaa73e363cd) (uuid e90750d6-9904-4d06-bbc3-fdaa73e363cd)
) )
(bus (pts (xy 106.68 167.64) (xy 109.22 167.64)) (bus (pts (xy 106.68 91.44) (xy 109.22 91.44))
(stroke (width 0) (type default) (color 0 0 0 0)) (stroke (width 0) (type default) (color 0 0 0 0))
(uuid ee0713a6-d508-4c25-ba09-232e57d2d409) (uuid ee0713a6-d508-4c25-ba09-232e57d2d409)
) )
(wire (pts (xy 76.2 93.98) (xy 81.28 93.98)) (wire (pts (xy 76.2 101.6) (xy 81.28 101.6))
(stroke (width 0) (type default) (color 0 0 0 0)) (stroke (width 0) (type default) (color 0 0 0 0))
(uuid ef399e22-c65a-42be-8e14-cf040287d81a) (uuid ef399e22-c65a-42be-8e14-cf040287d81a)
) )
(wire (pts (xy 76.2 121.92) (xy 81.28 121.92)) (wire (pts (xy 76.2 116.84) (xy 81.28 116.84))
(stroke (width 0) (type default) (color 0 0 0 0)) (stroke (width 0) (type default) (color 0 0 0 0))
(uuid ef546128-3ce3-4dc8-b0c8-9888a7ae5995) (uuid ef546128-3ce3-4dc8-b0c8-9888a7ae5995)
) )
@ -312,7 +300,7 @@
(stroke (width 0) (type default) (color 0 0 0 0)) (stroke (width 0) (type default) (color 0 0 0 0))
(uuid f1d45c65-2f79-4f67-ac0d-7db45b117699) (uuid f1d45c65-2f79-4f67-ac0d-7db45b117699)
) )
(wire (pts (xy 106.68 162.56) (xy 109.22 162.56)) (wire (pts (xy 106.68 106.68) (xy 111.76 106.68))
(stroke (width 0) (type default) (color 0 0 0 0)) (stroke (width 0) (type default) (color 0 0 0 0))
(uuid f32e2450-247a-4e84-acba-970615abf8b0) (uuid f32e2450-247a-4e84-acba-970615abf8b0)
) )
@ -320,7 +308,7 @@
(stroke (width 0) (type default) (color 0 0 0 0)) (stroke (width 0) (type default) (color 0 0 0 0))
(uuid faa7310e-7d63-48e4-99eb-3069816753f0) (uuid faa7310e-7d63-48e4-99eb-3069816753f0)
) )
(wire (pts (xy 106.68 165.1) (xy 109.22 165.1)) (wire (pts (xy 106.68 109.22) (xy 111.76 109.22))
(stroke (width 0) (type default) (color 0 0 0 0)) (stroke (width 0) (type default) (color 0 0 0 0))
(uuid fe1faf2b-4546-4e2c-9ad5-a9a20b5bd68c) (uuid fe1faf2b-4546-4e2c-9ad5-a9a20b5bd68c)
) )
@ -554,160 +542,128 @@
) )
) )
(sheet (at 81.28 83.82) (size 25.4 109.22) (sheet (at 81.28 90.17) (size 25.4 102.87)
(stroke (width 0) (type solid) (color 0 0 0 0)) (stroke (width 0) (type solid) (color 0 0 0 0))
(fill (color 0 0 0 0.0000)) (fill (color 0 0 0 0.0000))
(uuid 00000000-0000-0000-0000-00005f723173) (uuid 00000000-0000-0000-0000-00005f723173)
(property "Sheet name" "Control" (id 0) (at 81.28 83.82 0) (property "Sheet name" "Control" (id 0) (at 81.28 90.17 0)
(effects (font (size 1.27 1.27)) (justify left bottom)) (effects (font (size 1.27 1.27)) (justify left bottom))
) )
(property "Sheet file" "Control.kicad_sch" (id 1) (at 81.28 193.04 0) (property "Sheet file" "Control.kicad_sch" (id 1) (at 81.28 193.04 0)
(effects (font (size 1.27 1.27)) (justify left top)) (effects (font (size 1.27 1.27)) (justify left top))
) )
(pin "~{RESET}" input (at 106.68 86.36 0) (pin "P~{AS}" output (at 81.28 116.84 180)
(effects (font (size 1.27 1.27)) (justify right)) (effects (font (size 1.27 1.27)) (justify left))
(uuid 810ed4ff-ffe2-4032-9af6-fb5ada3bae5b)
)
(pin "P~{AS}" output (at 106.68 121.92 0)
(effects (font (size 1.27 1.27)) (justify right))
(uuid eac8d865-0226-4958-b547-6b5592f39713) (uuid eac8d865-0226-4958-b547-6b5592f39713)
) )
(pin "P~{VMA}" output (at 106.68 124.46 0) (pin "P~{VMA}" output (at 81.28 119.38 180)
(effects (font (size 1.27 1.27)) (justify right)) (effects (font (size 1.27 1.27)) (justify left))
(uuid 443bc73a-8dc0-4e2f-a292-a5eff00efa5b) (uuid 443bc73a-8dc0-4e2f-a292-a5eff00efa5b)
) )
(pin "P~{DTACK}" input (at 106.68 134.62 0) (pin "P~{DTACK}" input (at 81.28 134.62 180)
(effects (font (size 1.27 1.27)) (justify right)) (effects (font (size 1.27 1.27)) (justify left))
(uuid cc75e5ae-3348-4e7a-bd16-4df685ee47bd) (uuid cc75e5ae-3348-4e7a-bd16-4df685ee47bd)
) )
(pin "P~{VPA}" input (at 106.68 137.16 0) (pin "P~{VPA}" input (at 81.28 137.16 180)
(effects (font (size 1.27 1.27)) (justify right)) (effects (font (size 1.27 1.27)) (justify left))
(uuid 83021f70-e61e-4ad3-bae7-b9f02b28be4f) (uuid 83021f70-e61e-4ad3-bae7-b9f02b28be4f)
) )
(pin "P~{BERR}" input (at 106.68 139.7 0) (pin "P~{BERR}" input (at 81.28 139.7 180)
(effects (font (size 1.27 1.27)) (justify right)) (effects (font (size 1.27 1.27)) (justify left))
(uuid a25b7e01-1754-4cc9-8a14-3d9c461e5af5) (uuid a25b7e01-1754-4cc9-8a14-3d9c461e5af5)
) )
(pin "PE" input (at 106.68 157.48 0) (pin "PE" input (at 81.28 157.48 180)
(effects (font (size 1.27 1.27)) (justify right)) (effects (font (size 1.27 1.27)) (justify left))
(uuid 014d13cd-26ad-4d0e-86ad-a43b541cab14) (uuid 014d13cd-26ad-4d0e-86ad-a43b541cab14)
) )
(pin "PC8M" input (at 106.68 154.94 0) (pin "PC8M" input (at 81.28 154.94 180)
(effects (font (size 1.27 1.27)) (justify right)) (effects (font (size 1.27 1.27)) (justify left))
(uuid 7744b6ee-910d-401d-b730-65c35d3d8092) (uuid 7744b6ee-910d-401d-b730-65c35d3d8092)
) )
(pin "PC16M" input (at 106.68 152.4 0) (pin "PC16M" input (at 81.28 152.4 180)
(effects (font (size 1.27 1.27)) (justify right)) (effects (font (size 1.27 1.27)) (justify left))
(uuid 633292d3-80c5-4986-be82-ce926e9f09f4) (uuid 633292d3-80c5-4986-be82-ce926e9f09f4)
) )
(pin "P~{UDS}" output (at 106.68 129.54 0) (pin "P~{UDS}" output (at 81.28 124.46 180)
(effects (font (size 1.27 1.27)) (justify right)) (effects (font (size 1.27 1.27)) (justify left))
(uuid 78f9c3d3-3556-46f6-9744-05ad54b330f0) (uuid 78f9c3d3-3556-46f6-9744-05ad54b330f0)
) )
(pin "P~{LDS}" output (at 106.68 127 0) (pin "P~{LDS}" output (at 81.28 121.92 180)
(effects (font (size 1.27 1.27)) (justify right)) (effects (font (size 1.27 1.27)) (justify left))
(uuid 1427bb3f-0689-4b41-a816-cd79a5202fd0) (uuid 1427bb3f-0689-4b41-a816-cd79a5202fd0)
) )
(pin "DinLE" output (at 106.68 106.68 0) (pin "Dout~{OE}" output (at 81.28 109.22 180)
(effects (font (size 1.27 1.27)) (justify right)) (effects (font (size 1.27 1.27)) (justify left))
(uuid fa00d3f4-bb71-4b1d-aa40-ae9267e2c41f)
)
(pin "Dout~{OE}" output (at 106.68 96.52 0)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 616287d9-a51f-498c-8b91-be46a0aa3a7f) (uuid 616287d9-a51f-498c-8b91-be46a0aa3a7f)
) )
(pin "Aout~{OE}" output (at 106.68 93.98 0) (pin "Aout~{OE}" output (at 81.28 101.6 180)
(effects (font (size 1.27 1.27)) (justify right)) (effects (font (size 1.27 1.27)) (justify left))
(uuid a599509f-fbb9-4db4-9adf-9e96bab1138d) (uuid a599509f-fbb9-4db4-9adf-9e96bab1138d)
) )
(pin "Din~{OE}" output (at 106.68 99.06 0) (pin "Din~{OE}" output (at 81.28 111.76 180)
(effects (font (size 1.27 1.27)) (justify right)) (effects (font (size 1.27 1.27)) (justify left))
(uuid 8bdea5f6-7a53-427a-92b8-fd15994c2e8c) (uuid 8bdea5f6-7a53-427a-92b8-fd15994c2e8c)
) )
(pin "ADoutLE0" output (at 106.68 101.6 0) (pin "AoutLE" output (at 81.28 104.14 180)
(effects (font (size 1.27 1.27)) (justify right)) (effects (font (size 1.27 1.27)) (justify left))
(uuid 235067e2-1686-40fe-a9a0-61704311b2b1) (uuid 235067e2-1686-40fe-a9a0-61704311b2b1)
) )
(pin "ADoutLE1" output (at 106.68 104.14 0) (pin "P~{BR}" output (at 81.28 144.78 180)
(effects (font (size 1.27 1.27)) (justify right)) (effects (font (size 1.27 1.27)) (justify left))
(uuid 31f91ec8-56e4-4e08-9ccd-012652772211)
)
(pin "P~{BR}" output (at 106.68 144.78 0)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 6ce84655-15b6-4143-aed8-826f523d6c72) (uuid 6ce84655-15b6-4143-aed8-826f523d6c72)
) )
(pin "~{IPL}2" input (at 106.68 88.9 0) (pin "~{IPL}2" input (at 81.28 96.52 180)
(effects (font (size 1.27 1.27)) (justify right)) (effects (font (size 1.27 1.27)) (justify left))
(uuid ba115b22-e951-466b-81a5-2aff6a5a2fea) (uuid ba115b22-e951-466b-81a5-2aff6a5a2fea)
) )
) )
(sheet (at 109.22 137.16) (size 17.78 38.1) (sheet (at 111.76 88.9) (size 12.7 25.4)
(stroke (width 0) (type solid) (color 0 0 0 0)) (stroke (width 0) (type solid) (color 0 0 0 0))
(fill (color 0 0 0 0.0000)) (fill (color 0 0 0 0.0000))
(uuid 00000000-0000-0000-0000-00005f723900) (uuid 00000000-0000-0000-0000-00005f723900)
(property "Sheet name" "RAM" (id 0) (at 109.22 137.16 0) (property "Sheet name" "RAM" (id 0) (at 111.76 88.9 0)
(effects (font (size 1.27 1.27)) (justify left bottom)) (effects (font (size 1.27 1.27)) (justify left bottom))
) )
(property "Sheet file" "RAM.kicad_sch" (id 1) (at 109.22 175.26 0) (property "Sheet file" "RAM.kicad_sch" (id 1) (at 111.76 114.3 0)
(effects (font (size 1.27 1.27)) (justify left top)) (effects (font (size 1.27 1.27)) (justify left top))
) )
(pin "~{RAS}" input (at 109.22 152.4 180) (pin "~{RAS}" input (at 111.76 101.6 180)
(effects (font (size 1.27 1.27)) (justify left)) (effects (font (size 1.27 1.27)) (justify left))
(uuid cbde200f-1075-469a-89f8-abbdcf30e36a) (uuid cbde200f-1075-469a-89f8-abbdcf30e36a)
) )
(pin "D[31..0]" bidirectional (at 109.22 172.72 180) (pin "D[15..0]" bidirectional (at 111.76 111.76 180)
(effects (font (size 1.27 1.27)) (justify left)) (effects (font (size 1.27 1.27)) (justify left))
(uuid 3249bd81-9fd4-4194-9b4f-2e333b2195b8) (uuid 3249bd81-9fd4-4194-9b4f-2e333b2195b8)
) )
(pin "~{CAS}" input (at 109.22 154.94 180) (pin "~{CAS}" input (at 111.76 104.14 180)
(effects (font (size 1.27 1.27)) (justify left)) (effects (font (size 1.27 1.27)) (justify left))
(uuid 718e5c6d-0e4c-46d8-a149-2f2bfc54c7f1) (uuid 718e5c6d-0e4c-46d8-a149-2f2bfc54c7f1)
) )
(pin "RA[11..0]" input (at 109.22 170.18 180) (pin "~{WE}" input (at 111.76 99.06 180)
(effects (font (size 1.27 1.27)) (justify left))
(uuid 90f81af1-b6de-44aa-a46b-6504a157ce6c)
)
(pin "~{WE}" input (at 109.22 149.86 180)
(effects (font (size 1.27 1.27)) (justify left)) (effects (font (size 1.27 1.27)) (justify left))
(uuid 33357c6a-3be5-492b-8e19-acbd1a5d2d57) (uuid 33357c6a-3be5-492b-8e19-acbd1a5d2d57)
) )
(pin "CKE" input (at 109.22 144.78 180) (pin "CKE" input (at 111.76 96.52 180)
(effects (font (size 1.27 1.27)) (justify left)) (effects (font (size 1.27 1.27)) (justify left))
(uuid a9e2f3d2-00fa-4303-8bcd-493a53d8f850) (uuid a9e2f3d2-00fa-4303-8bcd-493a53d8f850)
) )
(pin "CLK0" input (at 109.22 139.7 180) (pin "CLK" input (at 111.76 93.98 180)
(effects (font (size 1.27 1.27)) (justify left)) (effects (font (size 1.27 1.27)) (justify left))
(uuid 9f7e0ecd-5c40-4f99-901e-d016ecd749b4) (uuid 9f7e0ecd-5c40-4f99-901e-d016ecd749b4)
) )
(pin "BA[1..0]" input (at 109.22 167.64 180) (pin "A[23..1]" input (at 111.76 91.44 180)
(effects (font (size 1.27 1.27)) (justify left)) (effects (font (size 1.27 1.27)) (justify left))
(uuid 0db5e330-593d-4221-a9ab-729140253442) (uuid 0db5e330-593d-4221-a9ab-729140253442)
) )
(pin "DQM2" input (at 109.22 160.02 180) (pin "DQMH" input (at 111.76 106.68 180)
(effects (font (size 1.27 1.27)) (justify left))
(uuid cb0bacad-2a6f-4d58-9276-f791eb7b1d03)
)
(pin "DQM3" input (at 109.22 157.48 180)
(effects (font (size 1.27 1.27)) (justify left))
(uuid 8ff807be-fe46-453c-99e8-bbff8c18eeec)
)
(pin "DQM1" input (at 109.22 162.56 180)
(effects (font (size 1.27 1.27)) (justify left)) (effects (font (size 1.27 1.27)) (justify left))
(uuid 28ef5abd-9f0f-4bec-a691-072ad5135b86) (uuid 28ef5abd-9f0f-4bec-a691-072ad5135b86)
) )
(pin "DQM0" input (at 109.22 165.1 180) (pin "DQML" input (at 111.76 109.22 180)
(effects (font (size 1.27 1.27)) (justify left)) (effects (font (size 1.27 1.27)) (justify left))
(uuid 38595c20-7eee-4586-ae91-23f159338f60) (uuid 38595c20-7eee-4586-ae91-23f159338f60)
) )
(pin "~{CS}" input (at 109.22 147.32 180)
(effects (font (size 1.27 1.27)) (justify left))
(uuid 41d45cfa-bfe1-4456-af82-b630a1d4947e)
)
(pin "CLK1" input (at 109.22 142.24 180)
(effects (font (size 1.27 1.27)) (justify left))
(uuid 9014c43d-dfbb-4876-b4fd-617e3d2bc631)
)
) )
(sheet (at 45.72 15.24) (size 30.48 144.78) (sheet (at 45.72 15.24) (size 30.48 144.78)
@ -732,15 +688,7 @@
(effects (font (size 1.27 1.27)) (justify left)) (effects (font (size 1.27 1.27)) (justify left))
(uuid 901440f4-e2a6-4447-83cc-f58a2b26f5c4) (uuid 901440f4-e2a6-4447-83cc-f58a2b26f5c4)
) )
(pin "Din~{OE}" input (at 76.2 101.6 0) (pin "Aout~{OE}" input (at 76.2 101.6 0)
(effects (font (size 1.27 1.27)) (justify right))
(uuid d66d3c12-11ce-4566-9a45-962e329503d8)
)
(pin "DinLE" input (at 76.2 106.68 0)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 4b1fce17-dec7-457e-ba3b-a77604e77dc9)
)
(pin "Aout~{OE}" input (at 76.2 93.98 0)
(effects (font (size 1.27 1.27)) (justify right)) (effects (font (size 1.27 1.27)) (justify right))
(uuid 869d6302-ae22-478f-9723-3feacbb12eef) (uuid 869d6302-ae22-478f-9723-3feacbb12eef)
) )
@ -752,11 +700,11 @@
(effects (font (size 1.27 1.27)) (justify right)) (effects (font (size 1.27 1.27)) (justify right))
(uuid 4a54c707-7b6f-4a3d-a74d-5e3526114aba) (uuid 4a54c707-7b6f-4a3d-a74d-5e3526114aba)
) )
(pin "P~{VMA}" input (at 76.2 124.46 0) (pin "P~{VMA}" input (at 76.2 119.38 0)
(effects (font (size 1.27 1.27)) (justify right)) (effects (font (size 1.27 1.27)) (justify right))
(uuid 897ba6b1-fbcb-4337-9d54-6665e16be4af) (uuid 897ba6b1-fbcb-4337-9d54-6665e16be4af)
) )
(pin "P~{AS}" input (at 76.2 121.92 0) (pin "P~{AS}" input (at 76.2 116.84 0)
(effects (font (size 1.27 1.27)) (justify right)) (effects (font (size 1.27 1.27)) (justify right))
(uuid fd1e309c-be10-4e0b-ab7c-7341e9512821) (uuid fd1e309c-be10-4e0b-ab7c-7341e9512821)
) )
@ -764,7 +712,7 @@
(effects (font (size 1.27 1.27)) (justify right)) (effects (font (size 1.27 1.27)) (justify right))
(uuid f7820a8d-e125-44e5-93ef-ca1ce9a373e9) (uuid f7820a8d-e125-44e5-93ef-ca1ce9a373e9)
) )
(pin "P~{LDS}" input (at 76.2 127 0) (pin "P~{LDS}" input (at 76.2 121.92 0)
(effects (font (size 1.27 1.27)) (justify right)) (effects (font (size 1.27 1.27)) (justify right))
(uuid b4e73a01-7d8f-4cba-8c1f-c9b774a20c3c) (uuid b4e73a01-7d8f-4cba-8c1f-c9b774a20c3c)
) )
@ -772,7 +720,7 @@
(effects (font (size 1.27 1.27)) (justify right)) (effects (font (size 1.27 1.27)) (justify right))
(uuid 0fb84c72-0eed-4473-8852-952350d5593a) (uuid 0fb84c72-0eed-4473-8852-952350d5593a)
) )
(pin "P~{UDS}" input (at 76.2 129.54 0) (pin "P~{UDS}" input (at 76.2 124.46 0)
(effects (font (size 1.27 1.27)) (justify right)) (effects (font (size 1.27 1.27)) (justify right))
(uuid 8664bcbf-244a-4db6-a242-5d76fb7e8831) (uuid 8664bcbf-244a-4db6-a242-5d76fb7e8831)
) )
@ -844,23 +792,19 @@
(effects (font (size 1.27 1.27)) (justify left)) (effects (font (size 1.27 1.27)) (justify left))
(uuid cb4911f3-1e25-4670-bb69-8a59508d3fed) (uuid cb4911f3-1e25-4670-bb69-8a59508d3fed)
) )
(pin "ADoutLE" input (at 76.2 104.14 0) (pin "AoutLE" input (at 76.2 104.14 0)
(effects (font (size 1.27 1.27)) (justify right)) (effects (font (size 1.27 1.27)) (justify right))
(uuid 2d07e55e-6120-4343-879e-aa10b2746b37) (uuid 2d07e55e-6120-4343-879e-aa10b2746b37)
) )
(pin "FBA1" input (at 76.2 109.22 0)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 60941c80-27be-43e2-b384-be60aab774c7)
)
(pin "~{IPL}[2..0]" bidirectional (at 45.72 22.86 180) (pin "~{IPL}[2..0]" bidirectional (at 45.72 22.86 180)
(effects (font (size 1.27 1.27)) (justify left)) (effects (font (size 1.27 1.27)) (justify left))
(uuid 2d5c0de6-c07d-4ead-8066-8feaef9b0f49) (uuid 2d5c0de6-c07d-4ead-8066-8feaef9b0f49)
) )
(pin "Dout0~{OE}" input (at 76.2 99.06 0) (pin "DDIR" input (at 76.2 111.76 0)
(effects (font (size 1.27 1.27)) (justify right)) (effects (font (size 1.27 1.27)) (justify right))
(uuid aaba045c-c0df-46ca-9a66-25ff7fb9d5f1) (uuid aaba045c-c0df-46ca-9a66-25ff7fb9d5f1)
) )
(pin "Dout1~{OE}" input (at 76.2 96.52 0) (pin "D~{OE}" input (at 76.2 109.22 0)
(effects (font (size 1.27 1.27)) (justify right)) (effects (font (size 1.27 1.27)) (justify right))
(uuid 8e85b1e8-b445-41f3-b26a-237f455abbcd) (uuid 8e85b1e8-b445-41f3-b26a-237f455abbcd)
) )
@ -872,7 +816,7 @@
(effects (font (size 1.27 1.27)) (justify left)) (effects (font (size 1.27 1.27)) (justify left))
(uuid 73e48ed9-98b0-4d45-b458-af695a89dd99) (uuid 73e48ed9-98b0-4d45-b458-af695a89dd99)
) )
(pin "~{IPL}2o" output (at 76.2 88.9 0) (pin "~{IPL}[2..0]" output (at 76.2 96.52 0)
(effects (font (size 1.27 1.27)) (justify right)) (effects (font (size 1.27 1.27)) (justify right))
(uuid 7a2db460-3d03-4a88-8f15-03efc02493c3) (uuid 7a2db460-3d03-4a88-8f15-03efc02493c3)
) )
@ -880,6 +824,86 @@
(effects (font (size 1.27 1.27)) (justify right)) (effects (font (size 1.27 1.27)) (justify right))
(uuid dc5a351e-c3d0-4f83-8f00-14ecdb7645e9) (uuid dc5a351e-c3d0-4f83-8f00-14ecdb7645e9)
) )
(pin "~{RESET}" output (at 76.2 93.98 0)
(effects (font (size 1.27 1.27)) (justify right))
(uuid f864f15f-4b6f-4df5-96eb-10a153418590)
)
(pin "~{RESETOE}" input (at 76.2 91.44 0)
(effects (font (size 1.27 1.27)) (justify right))
(uuid c48ce12f-7879-4486-b872-bb52e6a3e833)
)
(pin "AHoutLE" input (at 76.2 104.14 0)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 3eb9a94e-264c-403d-8522-2213e6ec8e9d)
)
(pin "FA[23..1]" input (at 76.2 86.36 0)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 23840fe8-27c2-4b53-9400-65f8b27a4a08)
)
(pin "O~{UDS}" input (at 76.2 124.46 0)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 412f4c9d-8594-4c8e-8f12-5bd27c559454)
)
(pin "O~{LDS}" input (at 76.2 121.92 0)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 1da9bf91-ad34-453b-b39c-c2d0cad697b6)
)
(pin "O~{AS}" input (at 76.2 116.84 0)
(effects (font (size 1.27 1.27)) (justify right))
(uuid c86300a4-d0cb-4b2e-8a22-2c47d466619c)
)
(pin "O~{VMA}" input (at 76.2 119.38 0)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 1425d331-6a8a-403c-8653-00e120c3d9c9)
)
(pin "B~{BG}" output (at 76.2 147.32 0)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 34082a3b-8931-4503-8f8f-e1973d1bc210)
)
(pin "B~{RESET}" output (at 76.2 93.98 0)
(effects (font (size 1.27 1.27)) (justify right))
(uuid db21b196-1301-488e-b887-41f24ad87b3c)
)
(pin "B~{DTACK}" output (at 76.2 134.62 0)
(effects (font (size 1.27 1.27)) (justify right))
(uuid dfcad24b-212f-4dba-8dfc-471a56ff486d)
)
(pin "B~{VPA}" output (at 76.2 137.16 0)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 399838ae-b739-40aa-ac7b-c16ee2bb8b7c)
)
(pin "O~{BR}" input (at 76.2 144.78 0)
(effects (font (size 1.27 1.27)) (justify right))
(uuid ccd073af-3feb-4b2b-89f4-7fe12b621ef4)
)
(pin "B~{BERR}" output (at 76.2 139.7 0)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 26db30c7-4c05-4a53-ae3a-c443433c9693)
)
(pin "ALoutLE" input (at 76.2 106.68 0)
(effects (font (size 1.27 1.27)) (justify right))
(uuid e0b3d8b9-e5a5-4b7e-b83e-0c555dd25413)
)
(pin "O~{R}W" input (at 76.2 129.54 0)
(effects (font (size 1.27 1.27)) (justify right))
(uuid e7f31983-7cb6-40f0-b4f0-813e517fda6e)
)
(pin "B~{IPL}[2..0]" output (at 76.2 96.52 0)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 8ee481ae-945b-4a18-8836-cd803d53c6dc)
)
(pin "BC8M" output (at 76.2 154.94 0)
(effects (font (size 1.27 1.27)) (justify right))
(uuid bfe652c9-da98-43ea-b531-b76a16af1242)
)
(pin "BC16M" output (at 76.2 152.4 0)
(effects (font (size 1.27 1.27)) (justify right))
(uuid bb5e5f80-0371-4942-8e68-5722c62cc7ed)
)
(pin "BE" output (at 76.2 157.48 0)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 77570efd-ac6c-43c3-af34-b8b411a9f042)
)
) )
(sheet (at 60.96 182.88) (size 12.7 10.16) (sheet (at 60.96 182.88) (size 12.7 10.16)
@ -1143,12 +1167,6 @@
(path "/00000000-0000-0000-0000-000060941922/00000000-0000-0000-0000-0000616832d7" (path "/00000000-0000-0000-0000-000060941922/00000000-0000-0000-0000-0000616832d7"
(reference "#PWR?") (unit 1) (value "+5V") (footprint "") (reference "#PWR?") (unit 1) (value "+5V") (footprint "")
) )
(path "/00000000-0000-0000-0000-000060941922/00000000-0000-0000-0000-0000617febe9"
(reference "#PWR?") (unit 1) (value "+5V") (footprint "")
)
(path "/00000000-0000-0000-0000-000060941922/00000000-0000-0000-0000-0000618471db"
(reference "#PWR?") (unit 1) (value "GND") (footprint "")
)
(path "/00000000-0000-0000-0000-000060941922/00000000-0000-0000-0000-000061b00ec2" (path "/00000000-0000-0000-0000-000060941922/00000000-0000-0000-0000-000061b00ec2"
(reference "#PWR?") (unit 1) (value "+5V") (footprint "") (reference "#PWR?") (unit 1) (value "+5V") (footprint "")
) )
@ -1158,83 +1176,62 @@
(path "/00000000-0000-0000-0000-000060941922/00000000-0000-0000-0000-000061db2803" (path "/00000000-0000-0000-0000-000060941922/00000000-0000-0000-0000-000061db2803"
(reference "#PWR?") (unit 1) (value "GND") (footprint "") (reference "#PWR?") (unit 1) (value "GND") (footprint "")
) )
(path "/00000000-0000-0000-0000-000060941922/00a2240d-d5b8-4931-9c1f-61bcb6f865f3" (path "/00000000-0000-0000-0000-000060941922/0e7051ab-9323-4360-b4bb-175b8d251326"
(reference "#PWR?") (unit 1) (value "+5V") (footprint "")
)
(path "/00000000-0000-0000-0000-000060941922/185d4313-b33e-4898-9053-5ca1a3ed65a9"
(reference "#PWR?") (unit 1) (value "+5V") (footprint "")
)
(path "/00000000-0000-0000-0000-000060941922/243aac87-256b-4037-9b73-75c9961ed5df"
(reference "#PWR?") (unit 1) (value "+5V") (footprint "")
)
(path "/00000000-0000-0000-0000-00005f723900/30e9ca9b-199f-416e-a169-8ab3b9881cde"
(reference "#PWR?") (unit 1) (value "GND") (footprint "")
)
(path "/00000000-0000-0000-0000-000060941922/3dcc9e4e-41c1-4834-9db7-a6ce82abb62c"
(reference "#PWR?") (unit 1) (value "+5V") (footprint "")
)
(path "/00000000-0000-0000-0000-000060941922/468a3287-acaf-4363-b26e-9194a39d5f51"
(reference "#PWR?") (unit 1) (value "GND") (footprint "") (reference "#PWR?") (unit 1) (value "GND") (footprint "")
) )
(path "/00000000-0000-0000-0000-000060941922/47b368c6-c801-4184-b974-7650132181f4" (path "/00000000-0000-0000-0000-000060941922/47b368c6-c801-4184-b974-7650132181f4"
(reference "#PWR?") (unit 1) (value "GND") (footprint "") (reference "#PWR?") (unit 1) (value "GND") (footprint "")
) )
(path "/00000000-0000-0000-0000-000060941922/5a97214c-b884-4e30-a715-f4f5819b6e89" (path "/00000000-0000-0000-0000-00005f723900/5de357eb-eea4-419b-9336-16a824067e38"
(reference "#PWR?") (unit 1) (value "+5V") (footprint "")
)
(path "/00000000-0000-0000-0000-000060941922/6b219851-964d-4f1f-a9ac-da06cf893941"
(reference "#PWR?") (unit 1) (value "+5V") (footprint "")
)
(path "/00000000-0000-0000-0000-000060941922/6b8189fa-0dd2-4789-8a32-a6bb1ddc5ee8"
(reference "#PWR?") (unit 1) (value "GND") (footprint "") (reference "#PWR?") (unit 1) (value "GND") (footprint "")
) )
(path "/00000000-0000-0000-0000-000060941922/779a9e0a-5231-42a3-94b7-d74482018d6a" (path "/00000000-0000-0000-0000-000060941922/78510aa1-2106-4d91-9212-c607958ccd1e"
(reference "#PWR?") (unit 1) (value "+3V3") (footprint "")
)
(path "/00000000-0000-0000-0000-000060941922/7b597a26-a727-4930-b9b5-749d0e5a32de"
(reference "#PWR?") (unit 1) (value "+3V3") (footprint "")
)
(path "/00000000-0000-0000-0000-000060941922/82227135-4b49-447a-beb4-5254c3a3bca4"
(reference "#PWR?") (unit 1) (value "GND") (footprint "") (reference "#PWR?") (unit 1) (value "GND") (footprint "")
) )
(path "/00000000-0000-0000-0000-000060941922/84f0b4e5-cb96-4fac-93c8-5a8150a539d4" (path "/00000000-0000-0000-0000-000060941922/82288757-c155-4a04-836b-0bf4c542bd6d"
(reference "#PWR?") (unit 1) (value "GND") (footprint "") (reference "#PWR?") (unit 1) (value "GND") (footprint "")
) )
(path "/00000000-0000-0000-0000-000060941922/85d0da62-f375-453c-802d-4e4e3ad402d2" (path "/00000000-0000-0000-0000-000060941922/85d0da62-f375-453c-802d-4e4e3ad402d2"
(reference "#PWR?") (unit 1) (value "GND") (footprint "") (reference "#PWR?") (unit 1) (value "GND") (footprint "")
) )
(path "/00000000-0000-0000-0000-000060941922/89c7780c-e1e8-4939-98b5-81c58dd34a5c" (path "/00000000-0000-0000-0000-000060941922/8a0cdb74-29e9-408e-b453-c41677175503"
(reference "#PWR?") (unit 1) (value "+5V") (footprint "") (reference "#PWR?") (unit 1) (value "+3V3") (footprint "")
) )
(path "/00000000-0000-0000-0000-000060941922/91e8596b-ff7d-4428-a3bd-d2caa1e1397a" (path "/00000000-0000-0000-0000-000060941922/97025b58-5d58-4b6b-a442-79ca014c9d57"
(reference "#PWR?") (unit 1) (value "GND") (footprint "") (reference "#PWR?") (unit 1) (value "GND") (footprint "")
) )
(path "/00000000-0000-0000-0000-000060941922/9faac1a4-281b-4539-bbd2-f9878347746c"
(reference "#PWR?") (unit 1) (value "GND") (footprint "")
)
(path "/00000000-0000-0000-0000-000060941922/a4959482-ff50-40b7-9727-3b930a3a57fb"
(reference "#PWR?") (unit 1) (value "+3V3") (footprint "")
)
(path "/00000000-0000-0000-0000-000060941922/bb00627b-586f-400a-803e-ecd57558d5ef" (path "/00000000-0000-0000-0000-000060941922/bb00627b-586f-400a-803e-ecd57558d5ef"
(reference "#PWR?") (unit 1) (value "GND") (footprint "") (reference "#PWR?") (unit 1) (value "GND") (footprint "")
) )
(path "/00000000-0000-0000-0000-000060941922/c29759cc-611f-4ff8-8819-e7c22c02ae00" (path "/00000000-0000-0000-0000-000060941922/bdb2dd75-7576-4893-9514-b9f528cf2be4"
(reference "#PWR?") (unit 1) (value "+5V") (footprint "") (reference "#PWR?") (unit 1) (value "GND") (footprint "")
) )
(path "/00000000-0000-0000-0000-00005f723900/c414b539-1308-42cf-b176-ff62a3b0b458" (path "/00000000-0000-0000-0000-00005f723900/c414b539-1308-42cf-b176-ff62a3b0b458"
(reference "#PWR?") (unit 1) (value "GND") (footprint "") (reference "#PWR?") (unit 1) (value "GND") (footprint "")
) )
(path "/00000000-0000-0000-0000-000060941922/cada9d69-c352-47d4-98d1-bd8b7f25f0c2" (path "/00000000-0000-0000-0000-000060941922/d5854dc2-c3ef-4760-87d1-a9a0c12a1f27"
(reference "#PWR?") (unit 1) (value "+5V") (footprint "")
)
(path "/00000000-0000-0000-0000-000060941922/d1083571-9658-4ac7-985d-18637e0d1d5e"
(reference "#PWR?") (unit 1) (value "+5V") (footprint "")
)
(path "/00000000-0000-0000-0000-000060941922/d3795630-fa38-4344-b493-fece87c716df"
(reference "#PWR?") (unit 1) (value "GND") (footprint "") (reference "#PWR?") (unit 1) (value "GND") (footprint "")
) )
(path "/00000000-0000-0000-0000-000060941922/ed56035b-1e99-44c4-a1ae-a396096a6380" (path "/00000000-0000-0000-0000-000060941922/e156411d-4d53-41e3-b629-be2ab77c7c79"
(reference "#PWR?") (unit 1) (value "+5V") (footprint "") (reference "#PWR?") (unit 1) (value "+3V3") (footprint "")
)
(path "/00000000-0000-0000-0000-000060941922/ede8c0df-c0fc-45e9-8726-e6fce50bb812"
(reference "#PWR?") (unit 1) (value "+5V") (footprint "")
) )
(path "/00000000-0000-0000-0000-000060941922/f6c9ddac-af5d-40e7-a4d8-a093af50db56" (path "/00000000-0000-0000-0000-000060941922/f6c9ddac-af5d-40e7-a4d8-a093af50db56"
(reference "#PWR?") (unit 1) (value "GND") (footprint "") (reference "#PWR?") (unit 1) (value "GND") (footprint "")
) )
(path "/00000000-0000-0000-0000-000060941922/f724b63a-b103-4088-a73c-5f2dfb7394f7" (path "/00000000-0000-0000-0000-000060941922/fc3c070b-10cb-498e-92c1-3106420cad37"
(reference "#PWR?") (unit 1) (value "+5V") (footprint "") (reference "#PWR?") (unit 1) (value "+3V3") (footprint "")
)
(path "/00000000-0000-0000-0000-000060941922/f7efdc6a-3d8c-44f3-bde2-124c2c7bcf6f"
(reference "#PWR?") (unit 1) (value "+5V") (footprint "")
) )
(path "/00000000-0000-0000-0000-000061b3a5f1/00000000-0000-0000-0000-000061b3df5f" (path "/00000000-0000-0000-0000-000061b3a5f1/00000000-0000-0000-0000-000061b3df5f"
(reference "C3") (unit 1) (value "10u") (footprint "stdpads:C_0805") (reference "C3") (unit 1) (value "10u") (footprint "stdpads:C_0805")
@ -1458,6 +1455,15 @@
(path "/00000000-0000-0000-0000-000061aa52c4/00000000-0000-0000-0000-0000627624e5" (path "/00000000-0000-0000-0000-000061aa52c4/00000000-0000-0000-0000-0000627624e5"
(reference "R16") (unit 1) (value "10k") (footprint "stdpads:R_0603") (reference "R16") (unit 1) (value "10k") (footprint "stdpads:R_0603")
) )
(path "/00000000-0000-0000-0000-000060941922/08db6324-4271-4438-bc50-b4f874b66eae"
(reference "R?") (unit 1) (value "47") (footprint "")
)
(path "/00000000-0000-0000-0000-000060941922/7b0b939d-3617-4d3e-b1d1-7c49580de797"
(reference "R?") (unit 1) (value "47") (footprint "")
)
(path "/00000000-0000-0000-0000-000060941922/eee87bd0-bb32-4d08-81fb-91a50d0518c8"
(reference "R?") (unit 1) (value "47") (footprint "")
)
(path "/00000000-0000-0000-0000-000061a87b62/00000000-0000-0000-0000-000061a8bbd9" (path "/00000000-0000-0000-0000-000061a87b62/00000000-0000-0000-0000-000061a8bbd9"
(reference "SW1") (unit 1) (value "CFG") (footprint "stdpads:SW_DIP_SPSTx03_Slide_DSHP03TS_P1.27mm") (reference "SW1") (unit 1) (value "CFG") (footprint "stdpads:SW_DIP_SPSTx03_Slide_DSHP03TS_P1.27mm")
) )
@ -1485,38 +1491,23 @@
(path "/00000000-0000-0000-0000-000060941922/00000000-0000-0000-0000-000061398c8d" (path "/00000000-0000-0000-0000-000060941922/00000000-0000-0000-0000-000061398c8d"
(reference "U?") (unit 1) (value "74AHCT573PW") (footprint "stdpads:TSSOP-20_4.4x6.5mm_P0.65mm") (reference "U?") (unit 1) (value "74AHCT573PW") (footprint "stdpads:TSSOP-20_4.4x6.5mm_P0.65mm")
) )
(path "/00000000-0000-0000-0000-000060941922/00000000-0000-0000-0000-0000617fcc42" (path "/00000000-0000-0000-0000-000060941922/2c0d06e7-2d00-4963-aacf-15e1d22e22a2"
(reference "U?") (unit 1) (value "74AHCT573PW") (footprint "stdpads:TSSOP-20_4.4x6.5mm_P0.65mm") (reference "U?") (unit 1) (value "74LVC245APW") (footprint "")
)
(path "/00000000-0000-0000-0000-000060941922/183788a2-d6ed-4c69-8275-e5bce824006d"
(reference "U?") (unit 1) (value "74AHCT573PW") (footprint "stdpads:TSSOP-20_4.4x6.5mm_P0.65mm")
)
(path "/00000000-0000-0000-0000-000060941922/238682dd-6926-4582-8b71-69d53e4627fa"
(reference "U?") (unit 1) (value "74AHCT573PW") (footprint "stdpads:TSSOP-20_4.4x6.5mm_P0.65mm")
) )
(path "/00000000-0000-0000-0000-00005f723900/35bf29bf-e562-4fa0-b441-018be365462d" (path "/00000000-0000-0000-0000-00005f723900/35bf29bf-e562-4fa0-b441-018be365462d"
(reference "U?") (unit 1) (value "SDRAM-16Mx16-TSOP2-54") (footprint "stdpads:Winbond_TSOPII-54") (reference "U?") (unit 1) (value "SDRAM-16Mx16-TSOP2-54") (footprint "stdpads:Winbond_TSOPII-54")
) )
(path "/00000000-0000-0000-0000-000060941922/3885cebd-12ab-471a-ba1a-49100e31dd20" (path "/00000000-0000-0000-0000-000060941922/49aadb62-8269-4ec8-9e17-0528d184f952"
(reference "U?") (unit 1) (value "74AHCT573PW") (footprint "stdpads:TSSOP-20_4.4x6.5mm_P0.65mm") (reference "U?") (unit 1) (value "74AHC245PW") (footprint "")
) )
(path "/00000000-0000-0000-0000-00005f723900/5de0888a-b58a-43c4-9d82-6c4672589dea" (path "/00000000-0000-0000-0000-000060941922/546821eb-cfd6-4d93-b3ea-6d624b34d623"
(reference "U?") (unit 1) (value "SDRAM-16Mx16-TSOP2-54") (footprint "stdpads:Winbond_TSOPII-54")
)
(path "/00000000-0000-0000-0000-000060941922/626f338b-fc3e-4031-9eda-bf85729cd3fa"
(reference "U?") (unit 1) (value "74LVC245APW") (footprint "") (reference "U?") (unit 1) (value "74LVC245APW") (footprint "")
) )
(path "/00000000-0000-0000-0000-000060941922/6ebbd45c-593f-4357-bc92-0d724e88b772" (path "/00000000-0000-0000-0000-000060941922/8825bb76-a188-4049-ac17-17aacf08d640"
(reference "U?") (unit 1) (value "74AHCT573PW") (footprint "stdpads:TSSOP-20_4.4x6.5mm_P0.65mm") (reference "U?") (unit 1) (value "74AHC245PW") (footprint "")
) )
(path "/00000000-0000-0000-0000-000060941922/99f12c6e-ec59-4685-afc5-0eeafaed785d" (path "/00000000-0000-0000-0000-000060941922/d6bee790-2111-4887-81d8-44accd303515"
(reference "U?") (unit 1) (value "74AHCT573PW") (footprint "stdpads:TSSOP-20_4.4x6.5mm_P0.65mm") (reference "U?") (unit 1) (value "74AHC245PW") (footprint "")
)
(path "/00000000-0000-0000-0000-000060941922/aaf50c0d-29aa-43ae-9b49-01e1734c71a3"
(reference "U?") (unit 1) (value "74AHCT573PW") (footprint "stdpads:TSSOP-20_4.4x6.5mm_P0.65mm")
)
(path "/00000000-0000-0000-0000-000060941922/ddcafd1a-7832-426d-9e42-2f9a5def655e"
(reference "U?") (unit 1) (value "74LVC245APW") (footprint "")
) )
(path "/00000000-0000-0000-0000-000061aa52c4/00000000-0000-0000-0000-0000616f4596" (path "/00000000-0000-0000-0000-000061aa52c4/00000000-0000-0000-0000-0000616f4596"
(reference "Y1") (unit 1) (value "12M") (footprint "stdpads:Crystal_HC49-SMD") (reference "Y1") (unit 1) (value "12M") (footprint "stdpads:Crystal_HC49-SMD")