Starts up

This commit is contained in:
Zane Kaminski 2023-03-25 00:59:14 -04:00
parent 473dd60fba
commit 69a4551797
63 changed files with 17505 additions and 11261 deletions

View File

@ -6,7 +6,7 @@ module CNT(
/* Reset, switch, button */ /* Reset, switch, button */
input [3:1] SW, output reg nRESout, input nIPL2, input [3:1] SW, output reg nRESout, input nIPL2,
/* Mac PDS bus master control outputs */ /* Mac PDS bus master control outputs */
output reg AoutOE, output nAoutOE, output reg nBR_IOB, output reg AoutOE, output reg nBR_IOB,
/* Configuration outputs */ /* Configuration outputs */
output C20MEN, output C25MEN, output FastROMEN); output C20MEN, output C25MEN, output FastROMEN);
@ -53,7 +53,6 @@ module CNT(
/* Startup sequence control */ /* Startup sequence control */
reg [1:0] PORS = 0; reg [1:0] PORS = 0;
assign nAoutOE = !AoutOE;
always @(negedge C8M) begin always @(negedge C8M) begin
case (PORS) case (PORS)
0: begin 0: begin

View File

@ -16,6 +16,8 @@ module CS(
always @(posedge CLK, negedge nRES) begin always @(posedge CLK, negedge nRES) begin
if (~nRES) nOverlay0 <= 0; if (~nRES) nOverlay0 <= 0;
else if (BACT && ODCS) nOverlay0 <= 1; else if (BACT && ODCS) nOverlay0 <= 1;
end
always @(posedge CLK) begin
if (~BACT) nOverlay1 <= nOverlay0; if (~BACT) nOverlay1 <= nOverlay0;
end end

View File

@ -2,21 +2,13 @@ module IOBM(
/* PDS interface */ /* PDS interface */
input C16M, input C8M, input E, input C16M, input C8M, input E,
output reg nASout, output reg nLDS, output reg nUDS, output reg nVMA, output reg nASout, output reg nLDS, output reg nUDS, output reg nVMA,
input nASin, input nBG, input nDTACK, input nVPA, input nBERR, input nRES, input nDTACK, input nVPA, input nBERR, input nRES,
/* PDS address and data latch control */ /* PDS address and data latch control */
input AoutOE, output nDoutOE, output reg ALE0, output reg nDinLE, input AoutOE, output nDoutOE, output reg ALE0, output reg nDinLE,
/* IO bus slave port interface */ /* IO bus slave port interface */
output reg IOACT, output reg IOBERR, output reg IOACT, output reg IOBERR,
input IOREQ, input IOLDS, input IOUDS, input IOWE); input IOREQ, input IOLDS, input IOUDS, input IOWE);
/* Bus grant recognition */
reg nASr;
reg BG = 0;
always @(posedge C16M) begin
nASr <= nASin;
if (nASr) BG <= !nBG;
end
/* I/O bus slave port input synchronization */ /* I/O bus slave port input synchronization */
reg IOREQr = 0; reg IOREQr = 0;
always @(negedge C16M) begin IOREQr <= IOREQ; end always @(negedge C16M) begin IOREQr <= IOREQ; end
@ -64,7 +56,7 @@ module IOBM(
reg [2:0] IOS = 0; reg [2:0] IOS = 0;
always @(posedge C16M) begin always @(posedge C16M) begin
if (IOS==0) begin if (IOS==0) begin
if (~C8M && IOREQr && BG) IOS <= 1; if (~C8M && IOREQr && AoutOE) IOS <= 1;
else IOS <= 0; else IOS <= 0;
IOACT <= IOREQr; IOACT <= IOREQr;
ALE0 <= IOREQr; ALE0 <= IOREQr;

View File

@ -38,7 +38,7 @@ module WarpSE(
output nDinLE, output nDinLE,
input [3:1] SW, input [3:1] SW,
output C20MEN, output C20MEN,
output C25MEN); output C25MEN);
/* Reset input and open-drain output */ /* Reset input and open-drain output */
wire nRESin = nRES; wire nRESin = nRES;
@ -103,23 +103,23 @@ module WarpSE(
ALE1); ALE1);
wire AoutOE; wire AoutOE;
assign nAoutOE = !AoutOE;
wire nAS_IOBout, nLDS_IOBout, nUDS_IOBout, nVMA_IOBout; wire nAS_IOBout, nLDS_IOBout, nUDS_IOBout, nVMA_IOBout;
assign nAS_IOB = AoutOE ? 1'bZ : nAS_IOBout; assign nAS_IOB = AoutOE ? nAS_IOBout : 1'bZ;
assign nLDS_IOB = AoutOE ? 1'bZ : nLDS_IOBout; assign nLDS_IOB = AoutOE ? nLDS_IOBout : 1'bZ;
assign nUDS_IOB = AoutOE ? 1'bZ : nUDS_IOBout; assign nUDS_IOB = AoutOE ? nUDS_IOBout : 1'bZ;
assign nVMA_IOB = AoutOE ? 1'bZ : nVMA_IOBout; assign nVMA_IOB = AoutOE ? nVMA_IOBout : 1'bZ;
IOBM iobm( IOBM iobm(
/* PDS interface */ /* PDS interface */
C16M, C8M, E, C16M, C8M, E,
nAS_IOBout, nLDS_IOBout, nUDS_IOBout, nVMA_IOBout, nAS_IOBout, nLDS_IOBout, nUDS_IOBout, nVMA_IOBout,
nAS_IOB, nBG_IOB, nDTACK_IOB, nVPA_IOB, nBERR_IOB, nRESin, nDTACK_IOB, nVPA_IOB, nBERR_IOB, nRESin,
/* PDS address and data latch control */ /* PDS address and data latch control */
AoutOE, nDoutOE, ALE0M, nDinLE, AoutOE, nDoutOE, ALE0M, nDinLE,
/* IO bus slave port interface */ /* IO bus slave port interface */
IOACT, IOBERR, IOACT, IOBERR,
IOREQ, IOL0, IOU0, IORW0); IOREQ, IOL0, IOU0, IORW0);
CNT cnt( CNT cnt(
/* C8M and E clocks */ /* C8M and E clocks */
C8M, E, C8M, E,
@ -128,7 +128,7 @@ module WarpSE(
/* Reset, switch, button */ /* Reset, switch, button */
SW[3:1], nRESout, nIPL2, SW[3:1], nRESout, nIPL2,
/* Mac PDS bus master control outputs */ /* Mac PDS bus master control outputs */
nAoutOE, AoutOE, nBR_IOB, AoutOE, nBR_IOB,
/* Configuration outputs */ /* Configuration outputs */
FastROMEN, C20MEN, C25MEN); FastROMEN, C20MEN, C25MEN);

View File

@ -30,7 +30,7 @@ NGDBUILD Design Results Summary:
Number of errors: 0 Number of errors: 0
Number of warnings: 0 Number of warnings: 0
Total memory usage is 155236 kilobytes Total memory usage is 154596 kilobytes
Writing NGD file "WarpSE.ngd" ... Writing NGD file "WarpSE.ngd" ...
Total REAL time to NGDBUILD completion: 3 sec Total REAL time to NGDBUILD completion: 3 sec

View File

@ -219,3 +219,60 @@ cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt verilog -optimize speed -htmlr
XSLTProcess WarpSE_build.xml XSLTProcess WarpSE_build.xml
tsim -intstyle ise WarpSE WarpSE.nga tsim -intstyle ise WarpSE WarpSE.nga
hprep6 -s IEEE1149 -n WarpSE -i WarpSE hprep6 -s IEEE1149 -n WarpSE -i WarpSE
ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd
cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt verilog -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 50 -unused float -power std -terminate keeper WarpSE.ngd
XSLTProcess WarpSE_build.xml
tsim -intstyle ise WarpSE WarpSE.nga
taengine -intstyle ise -f WarpSE -w --format html1 -l WarpSE_html/tim/timing_report.htm
hprep6 -s IEEE1149 -n WarpSE -i WarpSE
xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr"
xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr"
xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr"
ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd
cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt verilog -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 50 -unused float -power std -terminate keeper WarpSE.ngd
XSLTProcess WarpSE_build.xml
tsim -intstyle ise WarpSE WarpSE.nga
hprep6 -s IEEE1149 -n WarpSE -i WarpSE
xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr"
ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd
cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt verilog -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 50 -unused float -power std -terminate keeper WarpSE.ngd
XSLTProcess WarpSE_build.xml
tsim -intstyle ise WarpSE WarpSE.nga
hprep6 -s IEEE1149 -n WarpSE -i WarpSE
xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr"
ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd
cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt verilog -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 50 -unused float -power std -terminate keeper WarpSE.ngd
XSLTProcess WarpSE_build.xml
tsim -intstyle ise WarpSE WarpSE.nga
hprep6 -s IEEE1149 -n WarpSE -i WarpSE
xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr"
xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr"
ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd
cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt verilog -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 50 -unused float -power std -terminate keeper WarpSE.ngd
XSLTProcess WarpSE_build.xml
tsim -intstyle ise WarpSE WarpSE.nga
hprep6 -s IEEE1149 -n WarpSE -i WarpSE
xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr"
ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd
cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt verilog -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 50 -unused float -power std -terminate keeper WarpSE.ngd
XSLTProcess WarpSE_build.xml
tsim -intstyle ise WarpSE WarpSE.nga
hprep6 -s IEEE1149 -n WarpSE -i WarpSE
xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr"
ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd
cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt verilog -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 50 -unused float -power std -terminate keeper WarpSE.ngd
XSLTProcess WarpSE_build.xml
tsim -intstyle ise WarpSE WarpSE.nga
hprep6 -s IEEE1149 -n WarpSE -i WarpSE
xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr"
ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd
cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt verilog -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 50 -unused float -power std -terminate keeper WarpSE.ngd
XSLTProcess WarpSE_build.xml
tsim -intstyle ise WarpSE WarpSE.nga
hprep6 -s IEEE1149 -n WarpSE -i WarpSE
xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr"
ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd
cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt verilog -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 50 -unused float -power std -terminate keeper WarpSE.ngd
XSLTProcess WarpSE_build.xml
tsim -intstyle ise WarpSE WarpSE.nga
hprep6 -s IEEE1149 -n WarpSE -i WarpSE

View File

@ -79,7 +79,7 @@
<status xil_pn:value="SuccessfullyRun"/> <status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="ReadyToRun"/> <status xil_pn:value="ReadyToRun"/>
</transform> </transform>
<transform xil_pn:end_ts="1679370835" xil_pn:in_ck="5474524715461797957" xil_pn:name="TRANEXT_xstsynthesize_xc9500xl" xil_pn:prop_ck="1233756204182495024" xil_pn:start_ts="1679370818"> <transform xil_pn:end_ts="1679719937" xil_pn:in_ck="5474524715461797957" xil_pn:name="TRANEXT_xstsynthesize_xc9500xl" xil_pn:prop_ck="1233756204182495024" xil_pn:start_ts="1679719920">
<status xil_pn:value="SuccessfullyRun"/> <status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="WarningsGenerated"/> <status xil_pn:value="WarningsGenerated"/>
<status xil_pn:value="ReadyToRun"/> <status xil_pn:value="ReadyToRun"/>
@ -100,41 +100,50 @@
<status xil_pn:value="SuccessfullyRun"/> <status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="ReadyToRun"/> <status xil_pn:value="ReadyToRun"/>
</transform> </transform>
<transform xil_pn:end_ts="1679370841" xil_pn:in_ck="814020912342028692" xil_pn:name="TRAN_ngdbuild" xil_pn:prop_ck="1893441463969615248" xil_pn:start_ts="1679370835"> <transform xil_pn:end_ts="1679719943" xil_pn:in_ck="814020912342028692" xil_pn:name="TRAN_ngdbuild" xil_pn:prop_ck="1893441463969615248" xil_pn:start_ts="1679719937">
<status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="ReadyToRun"/>
<outfile xil_pn:name="WarpSE.bld"/>
<outfile xil_pn:name="WarpSE.ngd"/>
<outfile xil_pn:name="WarpSE_ngdbuild.xrpt"/>
<outfile xil_pn:name="_ngo"/>
<outfile xil_pn:name="_xmsgs/ngdbuild.xmsgs"/>
</transform>
<transform xil_pn:end_ts="1679719962" xil_pn:in_ck="4179227257693753" xil_pn:name="TRANEXT_vm6File_xc9500xl" xil_pn:prop_ck="6759205406869966736" xil_pn:start_ts="1679719943">
<status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="WarningsGenerated"/>
<status xil_pn:value="ReadyToRun"/>
<outfile xil_pn:name="WarpSE.gyd"/>
<outfile xil_pn:name="WarpSE.mfd"/>
<outfile xil_pn:name="WarpSE.nga"/>
<outfile xil_pn:name="WarpSE.pad"/>
<outfile xil_pn:name="WarpSE.pnx"/>
<outfile xil_pn:name="WarpSE.rpt"/>
<outfile xil_pn:name="WarpSE.tim"/>
<outfile xil_pn:name="WarpSE.tspec"/>
<outfile xil_pn:name="WarpSE.vm6"/>
<outfile xil_pn:name="WarpSE.xml"/>
<outfile xil_pn:name="WarpSE_build.xml"/>
<outfile xil_pn:name="WarpSE_html"/>
<outfile xil_pn:name="WarpSE_pad.csv"/>
</transform>
<transform xil_pn:end_ts="1679719964" xil_pn:in_ck="4179227257702617" xil_pn:name="TRANEXT_crtProg_xc9500" xil_pn:prop_ck="-6294026017969277533" xil_pn:start_ts="1679719962">
<status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="ReadyToRun"/>
<outfile xil_pn:name="WarpSE.jed"/>
</transform>
<transform xil_pn:end_ts="1679717159" xil_pn:in_ck="4179227257689331" xil_pn:name="TRAN_configureTargetDevice_CPLD" xil_pn:prop_ck="-154879694275837835" xil_pn:start_ts="1679717157">
<status xil_pn:value="SuccessfullyRun"/> <status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="ReadyToRun"/> <status xil_pn:value="ReadyToRun"/>
<status xil_pn:value="OutOfDateForInputs"/> <status xil_pn:value="OutOfDateForInputs"/>
<status xil_pn:value="OutOfDateForOutputs"/> <status xil_pn:value="InputChanged"/>
<status xil_pn:value="InputRemoved"/>
<status xil_pn:value="OutputRemoved"/>
</transform> </transform>
<transform xil_pn:end_ts="1679370859" xil_pn:in_ck="4179227257693753" xil_pn:name="TRANEXT_vm6File_xc9500xl" xil_pn:prop_ck="6759205406869966736" xil_pn:start_ts="1679370841"> <transform xil_pn:end_ts="1679717148" xil_pn:in_ck="4179227257702617" xil_pn:name="TRAN_timRpt" xil_pn:prop_ck="2785262643" xil_pn:start_ts="1679717146">
<status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="WarningsGenerated"/>
<status xil_pn:value="NotReadyToRun"/>
<status xil_pn:value="OutOfDateForInputs"/>
<status xil_pn:value="OutOfDateForPredecessor"/>
<status xil_pn:value="OutOfDateForOutputs"/>
<status xil_pn:value="InputRemoved"/>
<status xil_pn:value="OutputRemoved"/>
</transform>
<transform xil_pn:end_ts="1679370861" xil_pn:in_ck="4179227257702617" xil_pn:name="TRANEXT_crtProg_xc9500" xil_pn:prop_ck="-6294026017969277533" xil_pn:start_ts="1679370859">
<status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="NotReadyToRun"/>
<status xil_pn:value="OutOfDateForInputs"/>
<status xil_pn:value="OutOfDateForPredecessor"/>
<status xil_pn:value="OutOfDateForOutputs"/>
<status xil_pn:value="InputRemoved"/>
<status xil_pn:value="OutputRemoved"/>
</transform>
<transform xil_pn:end_ts="1679361550" xil_pn:in_ck="4179227257702617" xil_pn:name="TRAN_timRpt" xil_pn:prop_ck="2785262643" xil_pn:start_ts="1679361548">
<status xil_pn:value="AbortedRun"/> <status xil_pn:value="AbortedRun"/>
<status xil_pn:value="WarningsGenerated"/> <status xil_pn:value="WarningsGenerated"/>
<status xil_pn:value="NotReadyToRun"/> <status xil_pn:value="ReadyToRun"/>
<status xil_pn:value="OutOfDateForInputs"/> <status xil_pn:value="OutOfDateForInputs"/>
<status xil_pn:value="OutOfDateForPredecessor"/>
<status xil_pn:value="InputChanged"/> <status xil_pn:value="InputChanged"/>
<status xil_pn:value="InputRemoved"/>
</transform> </transform>
</transforms> </transforms>

View File

@ -30,7 +30,6 @@ E S:PIN25
FCLK S:PIN27 FCLK S:PIN27
nAS_FSB S:PIN32 nAS_FSB S:PIN32
nBERR_IOB S:PIN76 nBERR_IOB S:PIN76
nBG_IOB S:PIN73
nDTACK_IOB S:PIN78 nDTACK_IOB S:PIN78
nIPL2 S:PIN92 nIPL2 S:PIN92
nLDS_FSB S:PIN30 nLDS_FSB S:PIN30
@ -52,7 +51,6 @@ RA<6> S:PIN46
RA<7> S:PIN52 RA<7> S:PIN52
RA<8> S:PIN54 RA<8> S:PIN54
RA<9> S:PIN56 RA<9> S:PIN56
nAoutOE S:PIN87
nBR_IOB S:PIN72 nBR_IOB S:PIN72
nDTACK_FSB S:PIN28 nDTACK_FSB S:PIN28
nAS_IOB S:PIN81 nAS_IOB S:PIN81
@ -63,6 +61,7 @@ nVMA_IOB S:PIN74
nBERR_FSB S:PIN70 nBERR_FSB S:PIN70
nADoutLE0 S:PIN85 nADoutLE0 S:PIN85
nADoutLE1 S:PIN82 nADoutLE1 S:PIN82
nAoutOE S:PIN87
nDinOE S:PIN90 nDinOE S:PIN90
nDoutOE S:PIN89 nDoutOE S:PIN89
nOE S:PIN37 nOE S:PIN37
@ -78,43 +77,42 @@ nCAS S:PIN36
;The remaining section of the .gyd file is for documentation purposes only. ;The remaining section of the .gyd file is for documentation purposes only.
;It shows where your internal equations were placed in the last successful fit. ;It shows where your internal equations were placed in the last successful fit.
PARTITION FB1_1 iobm/nASr iobm/VPArr iobm/VPArf iobm/RESrr PARTITION FB1_1 ram/RegUrgentSync ram/RefUrgent ram/RefReqSync ram/RefReq
iobm/RESrf iobm/IOREQr iobm/Er2 iobm/Er ram/RefRAS iobs/IOACTr fsb/ASrf iobs/IOU1
iobm/DTACKrr iobm/DTACKrf iobm/BERRrr iobm/BERRrf iobs/IOL1 cnt/TimerTC iobs/IOU0 iobs/IOL0
cnt/IPL2r iobm/IOS_FSM_FFd1 iobm/BG iobs/IOU0 cnt/Timer<3> cnt/Timer<2> cnt/Timer<1> cnt/RefUrgent
iobs/IOL0 iobm/IOS_FSM_FFd3 iobs/IOReady cnt/RefReq
PARTITION FB2_7 ram/RegUrgentSync ram/RefUrgent ram/RefReqSync ram/RefReq PARTITION FB2_9 iobm/VPArr iobm/VPArf iobm/RESrr iobm/RESrf
ram/RefRAS ram/BACTr iobs/IOACTr iobs/ALE0 iobm/IOREQr iobm/Er2 iobm/Er iobm/DTACKrr
fsb/ASrf iobs/PS_FSM_FFd1 iobs/IOU1 iobs/IOL1 iobm/DTACKrf cnt/Timer<0>
PARTITION FB3_1 fsb/VPA EXP14_ EXP15_
PARTITION FB3_1 EXP14_ fsb/VPA EXP15_ PARTITION FB3_5 EXP16_ EXP17_ iobs/IOREQ EXP18_
PARTITION FB3_5 iobs/Clear1 fsb/Ready0r iobs/IOReady EXP16_ fsb/nDTACK fsb/Ready1r EXP19_ iobs/IORW1
fsb/nDTACK fsb/Ready1r EXP17_ iobs/IORW1 EXP20_ EXP21_ iobs/IORW0 EXP22_
EXP18_ EXP19_ iobs/IORW0 EXP20_ nROMWE_OBUF EXP23_
nROMWE_OBUF EXP21_ PARTITION FB4_1 EXP24_ nAoutOE_OBUF iobm/BERRrr iobm/BERRrf
PARTITION FB4_1 cnt/nRESout cnt/AoutOE cnt/TimerTC cnt/Timer<0> nDoutOE_OBUF nDinOE_OBUF cnt/PORS_FSM_FFd1 N0
nDoutOE_OBUF nDinOE_OBUF cnt/PORS_FSM_FFd2 N0 cnt/IPL2r iobm/IOS_FSM_FFd1 nVPA_FSB_OBUF cnt/nRESout
cnt/LTimer<0> cnt/Timer<3> nVPA_FSB_OBUF cnt/Timer<2> cnt/PORS_FSM_FFd2 cnt/LTimer<0> cnt/LTimer<3> cnt/LTimer<2>
cnt/Timer<1> cnt/RefUrgent cnt/RefReq cnt/LTimer<3> cnt/LTimer<1> iobm/IOBERR
cnt/LTimer<2> cnt/LTimer<1>
PARTITION FB5_1 ram/RASEL nROMCS_OBUF ram/RefDone cs/nOverlay1 PARTITION FB5_1 ram/RASEL nROMCS_OBUF ram/RefDone cs/nOverlay1
ram/nCAS nOE_OBUF ram/RS_FSM_FFd1 cs/nOverlay0 ram/nCAS nOE_OBUF fsb/Ready0r cs/nOverlay0
RA_4_OBUF ram/RAMEN RA_3_OBUF RA_5_OBUF RA_4_OBUF ram/RAMEN RA_3_OBUF RA_5_OBUF
ram/RS_FSM_FFd2 RA_2_OBUF RA_6_OBUF EXP22_ ram/RS_FSM_FFd2 RA_2_OBUF RA_6_OBUF EXP25_
ram/RAMReady EXP23_ ram/RAMReady EXP26_
PARTITION FB6_1 iobm/ETACK iobm/nVMA iobm/DoutOE iobm/ALE0 PARTITION FB6_1 iobm/ETACK iobm/nVMA iobm/DoutOE iobm/ALE0
iobm/ES<3> iobm/ES<1> iobm/ES<0> iobm/ES<4> iobm/IOS_FSM_FFd3 iobm/ES<3> iobm/ES<1> iobm/ES<0>
iobm/nLDS iobm/IOS_FSM_FFd2 iobm/nUDS iobm/nASout iobm/nLDS iobm/ES<4> iobm/nUDS iobm/nASout
iobm/ES<2> nADoutLE1_OBUF nADoutLE0_OBUF iobm/IOACT iobm/IOS_FSM_FFd2 nADoutLE1_OBUF nADoutLE0_OBUF iobm/ES<2>
iobm/nDinLE iobm/IOBERR iobm/nDinLE iobm/IOACT
PARTITION FB7_1 cnt/LTimer<13> RA_1_OBUF cnt/LTimer<9> cnt/LTimer<8> PARTITION FB7_1 cnt/LTimer<13> RA_1_OBUF cnt/LTimer<9> cnt/LTimer<8>
RA_7_OBUF RA_0_OBUF cnt/LTimer<7> RA_8_OBUF RA_7_OBUF RA_0_OBUF cnt/LTimer<7> RA_8_OBUF
A_FSB_21_IBUF$BUF0 cnt/LTimer<6> RA_9_OBUF N1 A_FSB_21_IBUF$BUF0 cnt/LTimer<6> RA_9_OBUF N1
cnt/LTimer<5> N1$BUF0 cnt/LTimer<4> cnt/LTimer<12> cnt/LTimer<5> N1$BUF0 cnt/LTimer<4> cnt/LTimer<12>
cnt/LTimer<11> cnt/LTimer<10> cnt/LTimer<11> cnt/LTimer<10>
PARTITION FB8_1 cnt/PORS_FSM_FFd1 A_FSB_19_IBUF$BUF0 ram/RS_FSM_FFd3 PARTITION FB8_1 iobs/Once A_FSB_19_IBUF$BUF0 iobs/Clear1 iobs/ALE0
PARTITION FB8_5 nRAS_OBUF nRAMLWE_OBUF iobs/PS_FSM_FFd2 nRAMUWE_OBUF nRAS_OBUF nRAMLWE_OBUF iobs/PS_FSM_FFd1 nRAMUWE_OBUF
EXP24_ iobs/Load1 EXP25_ iobs/nBERR_FSB ram/RS_FSM_FFd1 EXP27_ ram/RS_FSM_FFd3 iobs/nBERR_FSB
EXP26_ iobs/IOREQ cnt/nBR_IOB EXP27_ EXP28_ iobs/PS_FSM_FFd2 cnt/nBR_IOB iobs/Load1
iobs/Once EXP28_ ram/BACTr EXP29_

File diff suppressed because it is too large Load Diff

File diff suppressed because it is too large Load Diff

File diff suppressed because one or more lines are too long

File diff suppressed because one or more lines are too long

File diff suppressed because one or more lines are too long

File diff suppressed because one or more lines are too long

View File

@ -1,7 +1,7 @@
Release 8.1i - Fit P.20131013 Release 8.1i - Fit P.20131013
Copyright(c) 1995-2003 Xilinx Inc. All rights reserved Copyright(c) 1995-2003 Xilinx Inc. All rights reserved
3-20-2023 11:54PM 3-25-2023 0:52AM
NOTE: This file is designed to be imported into a spreadsheet program NOTE: This file is designed to be imported into a spreadsheet program
such as Microsoft Excel for viewing, printing and sorting. The pipe '|' such as Microsoft Excel for viewing, printing and sorting. The pipe '|'
@ -90,7 +90,7 @@ P69|GND||GND||||||||||
P70|nBERR_FSB|O|I/O|OUTPUT||||||||| P70|nBERR_FSB|O|I/O|OUTPUT|||||||||
P71|TIE||I/O|||||||||| P71|TIE||I/O||||||||||
P72|nBR_IOB|O|I/O|OUTPUT||||||||| P72|nBR_IOB|O|I/O|OUTPUT|||||||||
P73|nBG_IOB|I|I/O|INPUT||||||||| P73|TIE||I/O||||||||||
P74|nVMA_IOB|O|I/O|OUTPUT||||||||| P74|nVMA_IOB|O|I/O|OUTPUT|||||||||
P75|GND||GND|||||||||| P75|GND||GND||||||||||
P76|nBERR_IOB|I|I/O|INPUT||||||||| P76|nBERR_IOB|I|I/O|INPUT|||||||||

View File

@ -15,4 +15,4 @@
sr (SLOW|FAST|slow|fast) "SLOW" sr (SLOW|FAST|slow|fast) "SLOW"
dir (BIDIR|bidir|INPUT|input|OUTPUT|output) "BIDIR"> dir (BIDIR|bidir|INPUT|input|OUTPUT|output) "BIDIR">
]> ]>
<ibis><part arch="xc9500xl" device="XC95144XL" pkg="TQ100" spg="-10"/><pin dir="input" nm="A_FSB&lt;9&gt;" no="7"/><pin dir="input" nm="A_FSB&lt;8&gt;" no="6"/><pin dir="input" nm="A_FSB&lt;15&gt;" no="13"/><pin dir="input" nm="A_FSB&lt;14&gt;" no="12"/><pin dir="input" nm="A_FSB&lt;13&gt;" no="11"/><pin dir="input" nm="A_FSB&lt;12&gt;" no="10"/><pin dir="input" nm="A_FSB&lt;11&gt;" no="9"/><pin dir="input" nm="A_FSB&lt;10&gt;" no="8"/><pin dir="input" nm="A_FSB&lt;23&gt;" no="24"/><pin dir="input" nm="A_FSB&lt;22&gt;" no="20"/><pin dir="input" nm="A_FSB&lt;21&gt;" no="19"/><pin dir="input" nm="A_FSB&lt;20&gt;" no="18"/><pin dir="input" nm="A_FSB&lt;19&gt;" no="17"/><pin dir="input" nm="A_FSB&lt;18&gt;" no="16"/><pin dir="input" nm="A_FSB&lt;17&gt;" no="15"/><pin dir="input" nm="A_FSB&lt;16&gt;" no="14"/><pin dir="input" nm="C16M" no="22"/><pin dir="input" nm="nWE_FSB" no="29"/><pin dir="input" nm="C8M" no="23"/><pin dir="input" nm="FCLK" no="27"/><pin dir="input" nm="nAS_FSB" no="32"/><pin dir="input" nm="nBG_IOB" no="73"/><pin dir="input" nm="nLDS_FSB" no="30"/><pin dir="input" nm="nUDS_FSB" no="33"/><pin dir="input" nm="nBERR_IOB" no="76"/><pin dir="input" nm="E" no="25"/><pin dir="input" nm="nIPL2" no="92"/><pin dir="input" nm="nDTACK_IOB" no="78"/><pin dir="input" nm="nVPA_IOB" no="77"/><pin dir="input" nm="A_FSB&lt;1&gt;" no="94"/><pin dir="input" nm="A_FSB&lt;2&gt;" no="95"/><pin dir="input" nm="A_FSB&lt;3&gt;" no="96"/><pin dir="input" nm="A_FSB&lt;4&gt;" no="97"/><pin dir="input" nm="A_FSB&lt;5&gt;" no="2"/><pin dir="input" nm="A_FSB&lt;6&gt;" no="3"/><pin dir="input" nm="A_FSB&lt;7&gt;" no="4"/><pin dir="output" nm="nVMA_IOB" no="74" sr="fast"/><pin dir="output" nm="nAS_IOB" no="81" sr="fast"/><pin dir="output" nm="nLDS_IOB" no="79" sr="fast"/><pin dir="output" nm="nUDS_IOB" no="80" sr="fast"/><pin dir="output" nm="nDTACK_FSB" no="28" sr="fast"/><pin dir="output" nm="nBERR_FSB" no="70" sr="fast"/><pin dir="output" nm="nAoutOE" no="87" sr="fast"/><pin dir="output" nm="nBR_IOB" no="72" sr="fast"/><pin dir="output" nm="RA&lt;0&gt;" no="53" sr="fast"/><pin dir="output" nm="RA&lt;1&gt;" no="50" sr="fast"/><pin dir="output" nm="RA&lt;2&gt;" no="43" sr="fast"/><pin dir="output" nm="RA&lt;3&gt;" no="41" sr="fast"/><pin dir="output" nm="RA&lt;4&gt;" no="40" sr="fast"/><pin dir="output" nm="RA&lt;5&gt;" no="42" sr="fast"/><pin dir="output" nm="RA&lt;6&gt;" no="46" sr="fast"/><pin dir="output" nm="RA&lt;7&gt;" no="52" sr="fast"/><pin dir="output" nm="RA&lt;8&gt;" no="54" sr="fast"/><pin dir="output" nm="RA&lt;9&gt;" no="56" sr="fast"/><pin dir="output" nm="nDoutOE" no="89" sr="fast"/><pin dir="output" nm="nOE" no="37" sr="fast"/><pin dir="output" nm="nROMWE" no="34" sr="fast"/><pin dir="output" nm="nVPA_FSB" no="93" sr="fast"/><pin dir="output" nm="nDinLE" no="86" sr="fast"/><pin dir="output" nm="nADoutLE0" no="85" sr="fast"/><pin dir="output" nm="nDinOE" no="90" sr="fast"/><pin dir="output" nm="nRAS" no="64" sr="fast"/><pin dir="output" nm="nCAS" no="36" sr="fast"/><pin dir="output" nm="RA&lt;11&gt;" no="63" sr="fast"/><pin dir="output" nm="RA&lt;10&gt;" no="55" sr="fast"/><pin dir="output" nm="nADoutLE1" no="82" sr="fast"/><pin dir="output" nm="nRAMLWE" no="65" sr="fast"/><pin dir="output" nm="nRAMUWE" no="66" sr="fast"/><pin dir="output" nm="nROMCS" no="35" sr="fast"/><pin dir="output" nm="C25MEN" no="58" sr="fast"/><pin dir="output" nm="C20MEN" no="59" sr="fast"/><pin dir="bidir" nm="nRES" no="91" sr="fast"/></ibis> <ibis><part arch="xc9500xl" device="XC95144XL" pkg="TQ100" spg="-10"/><pin dir="input" nm="A_FSB&lt;9&gt;" no="7"/><pin dir="input" nm="A_FSB&lt;8&gt;" no="6"/><pin dir="input" nm="A_FSB&lt;15&gt;" no="13"/><pin dir="input" nm="A_FSB&lt;14&gt;" no="12"/><pin dir="input" nm="A_FSB&lt;13&gt;" no="11"/><pin dir="input" nm="A_FSB&lt;12&gt;" no="10"/><pin dir="input" nm="A_FSB&lt;11&gt;" no="9"/><pin dir="input" nm="A_FSB&lt;10&gt;" no="8"/><pin dir="input" nm="A_FSB&lt;23&gt;" no="24"/><pin dir="input" nm="A_FSB&lt;22&gt;" no="20"/><pin dir="input" nm="A_FSB&lt;21&gt;" no="19"/><pin dir="input" nm="A_FSB&lt;20&gt;" no="18"/><pin dir="input" nm="A_FSB&lt;19&gt;" no="17"/><pin dir="input" nm="A_FSB&lt;18&gt;" no="16"/><pin dir="input" nm="A_FSB&lt;17&gt;" no="15"/><pin dir="input" nm="A_FSB&lt;16&gt;" no="14"/><pin dir="input" nm="C16M" no="22"/><pin dir="input" nm="nWE_FSB" no="29"/><pin dir="input" nm="C8M" no="23"/><pin dir="input" nm="FCLK" no="27"/><pin dir="input" nm="nAS_FSB" no="32"/><pin dir="input" nm="nLDS_FSB" no="30"/><pin dir="input" nm="nUDS_FSB" no="33"/><pin dir="input" nm="nBERR_IOB" no="76"/><pin dir="input" nm="E" no="25"/><pin dir="input" nm="nIPL2" no="92"/><pin dir="input" nm="nDTACK_IOB" no="78"/><pin dir="input" nm="nVPA_IOB" no="77"/><pin dir="input" nm="A_FSB&lt;1&gt;" no="94"/><pin dir="input" nm="A_FSB&lt;2&gt;" no="95"/><pin dir="input" nm="A_FSB&lt;3&gt;" no="96"/><pin dir="input" nm="A_FSB&lt;4&gt;" no="97"/><pin dir="input" nm="A_FSB&lt;5&gt;" no="2"/><pin dir="input" nm="A_FSB&lt;6&gt;" no="3"/><pin dir="input" nm="A_FSB&lt;7&gt;" no="4"/><pin dir="output" nm="nVMA_IOB" no="74" sr="fast"/><pin dir="output" nm="nLDS_IOB" no="79" sr="fast"/><pin dir="output" nm="nUDS_IOB" no="80" sr="fast"/><pin dir="output" nm="nAS_IOB" no="81" sr="fast"/><pin dir="output" nm="nDTACK_FSB" no="28" sr="fast"/><pin dir="output" nm="nBERR_FSB" no="70" sr="fast"/><pin dir="output" nm="nBR_IOB" no="72" sr="fast"/><pin dir="output" nm="RA&lt;0&gt;" no="53" sr="fast"/><pin dir="output" nm="RA&lt;1&gt;" no="50" sr="fast"/><pin dir="output" nm="RA&lt;2&gt;" no="43" sr="fast"/><pin dir="output" nm="RA&lt;3&gt;" no="41" sr="fast"/><pin dir="output" nm="RA&lt;4&gt;" no="40" sr="fast"/><pin dir="output" nm="RA&lt;5&gt;" no="42" sr="fast"/><pin dir="output" nm="RA&lt;6&gt;" no="46" sr="fast"/><pin dir="output" nm="RA&lt;7&gt;" no="52" sr="fast"/><pin dir="output" nm="RA&lt;8&gt;" no="54" sr="fast"/><pin dir="output" nm="RA&lt;9&gt;" no="56" sr="fast"/><pin dir="output" nm="nDoutOE" no="89" sr="fast"/><pin dir="output" nm="nOE" no="37" sr="fast"/><pin dir="output" nm="nROMWE" no="34" sr="fast"/><pin dir="output" nm="nVPA_FSB" no="93" sr="fast"/><pin dir="output" nm="nDinLE" no="86" sr="fast"/><pin dir="output" nm="nADoutLE0" no="85" sr="fast"/><pin dir="output" nm="nDinOE" no="90" sr="fast"/><pin dir="output" nm="nRAS" no="64" sr="fast"/><pin dir="output" nm="nCAS" no="36" sr="fast"/><pin dir="output" nm="RA&lt;11&gt;" no="63" sr="fast"/><pin dir="output" nm="RA&lt;10&gt;" no="55" sr="fast"/><pin dir="output" nm="nADoutLE1" no="82" sr="fast"/><pin dir="output" nm="nAoutOE" no="87" sr="fast"/><pin dir="output" nm="nRAMLWE" no="65" sr="fast"/><pin dir="output" nm="nRAMUWE" no="66" sr="fast"/><pin dir="output" nm="nROMCS" no="35" sr="fast"/><pin dir="output" nm="C25MEN" no="58" sr="fast"/><pin dir="output" nm="C20MEN" no="59" sr="fast"/><pin dir="bidir" nm="nRES" no="91" sr="fast"/></ibis>

File diff suppressed because it is too large Load Diff

View File

@ -238,7 +238,6 @@ Synthesizing Unit <IOBM>.
Found 1-bit register for signal <nVMA>. Found 1-bit register for signal <nVMA>.
Found 1-bit register for signal <BERRrf>. Found 1-bit register for signal <BERRrf>.
Found 1-bit register for signal <BERRrr>. Found 1-bit register for signal <BERRrr>.
Found 1-bit register for signal <BG>.
Found 1-bit register for signal <DoutOE>. Found 1-bit register for signal <DoutOE>.
Found 1-bit register for signal <DTACKrf>. Found 1-bit register for signal <DTACKrf>.
Found 1-bit register for signal <DTACKrr>. Found 1-bit register for signal <DTACKrr>.
@ -247,7 +246,6 @@ Synthesizing Unit <IOBM>.
Found 5-bit up counter for signal <ES>. Found 5-bit up counter for signal <ES>.
Found 1-bit register for signal <ETACK>. Found 1-bit register for signal <ETACK>.
Found 1-bit register for signal <IOREQr>. Found 1-bit register for signal <IOREQr>.
Found 1-bit register for signal <nASr>.
Found 1-bit register for signal <RESrf>. Found 1-bit register for signal <RESrf>.
Found 1-bit register for signal <RESrr>. Found 1-bit register for signal <RESrr>.
Found 1-bit register for signal <VPArf>. Found 1-bit register for signal <VPArf>.
@ -255,7 +253,7 @@ Synthesizing Unit <IOBM>.
Summary: Summary:
inferred 1 Finite State Machine(s). inferred 1 Finite State Machine(s).
inferred 1 Counter(s). inferred 1 Counter(s).
inferred 22 D-type flip-flop(s). inferred 20 D-type flip-flop(s).
Unit <IOBM> synthesized. Unit <IOBM> synthesized.
@ -304,6 +302,7 @@ Unit <FSB> synthesized.
Synthesizing Unit <WarpSE>. Synthesizing Unit <WarpSE>.
Related source file is "../WarpSE.v". Related source file is "../WarpSE.v".
WARNING:Xst:647 - Input <nBG_IOB> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:646 - Signal <SndRAMCSWR> is assigned but never used. This unconnected signal will be trimmed during the optimization process. WARNING:Xst:646 - Signal <SndRAMCSWR> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <SCSICS> is assigned but never used. This unconnected signal will be trimmed during the optimization process. WARNING:Xst:646 - Signal <SCSICS> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
Found 1-bit tristate buffer for signal <nAS_IOB>. Found 1-bit tristate buffer for signal <nAS_IOB>.
@ -324,8 +323,8 @@ Macro Statistics
14-bit up counter : 1 14-bit up counter : 1
4-bit up counter : 1 4-bit up counter : 1
5-bit up counter : 1 5-bit up counter : 1
# Registers : 63 # Registers : 61
1-bit register : 63 1-bit register : 61
# Tristates : 5 # Tristates : 5
1-bit tristate buffer : 5 1-bit tristate buffer : 5
@ -393,8 +392,8 @@ Macro Statistics
14-bit up counter : 1 14-bit up counter : 1
4-bit up counter : 1 4-bit up counter : 1
5-bit up counter : 1 5-bit up counter : 1
# Registers : 51 # Registers : 49
Flip-Flops : 51 Flip-Flops : 49
========================================================================= =========================================================================
@ -403,6 +402,7 @@ Macro Statistics
========================================================================= =========================================================================
Optimizing unit <WarpSE> ... Optimizing unit <WarpSE> ...
implementation constraint: INIT=r : cs/nOverlay0
implementation constraint: INIT=r : fsb/ASrf implementation constraint: INIT=r : fsb/ASrf
implementation constraint: INIT=r : iobm/IOREQr implementation constraint: INIT=r : iobm/IOREQr
implementation constraint: INIT=r : cnt/PORS_FSM_FFd1 implementation constraint: INIT=r : cnt/PORS_FSM_FFd1
@ -412,20 +412,18 @@ Optimizing unit <WarpSE> ...
implementation constraint: INIT=r : ram/RAMReady implementation constraint: INIT=r : ram/RAMReady
implementation constraint: INIT=r : ram/RASEL implementation constraint: INIT=r : ram/RASEL
implementation constraint: INIT=r : ram/RefRAS implementation constraint: INIT=r : ram/RefRAS
implementation constraint: INIT=r : cs/nOverlay0
implementation constraint: INIT=r : iobs/IOACTr implementation constraint: INIT=r : iobs/IOACTr
implementation constraint: INIT=r : iobs/Once implementation constraint: INIT=r : iobs/Once
implementation constraint: INIT=r : ram/RS_FSM_FFd1 implementation constraint: INIT=r : ram/RS_FSM_FFd3
implementation constraint: INIT=r : iobm/BG
implementation constraint: INIT=r : iobm/IOS_FSM_FFd3
implementation constraint: INIT=r : iobm/ETACK implementation constraint: INIT=r : iobm/ETACK
implementation constraint: INIT=r : iobm/DoutOE implementation constraint: INIT=r : iobm/DoutOE
implementation constraint: INIT=r : ram/RS_FSM_FFd3
implementation constraint: INIT=r : iobm/IOS_FSM_FFd2
implementation constraint: INIT=r : iobs/PS_FSM_FFd2
implementation constraint: INIT=r : iobs/PS_FSM_FFd1
implementation constraint: INIT=r : iobm/IOS_FSM_FFd1
implementation constraint: INIT=r : ram/RS_FSM_FFd2 implementation constraint: INIT=r : ram/RS_FSM_FFd2
implementation constraint: INIT=r : iobm/IOS_FSM_FFd2
implementation constraint: INIT=r : iobm/IOS_FSM_FFd3
implementation constraint: INIT=r : iobs/PS_FSM_FFd2
implementation constraint: INIT=r : iobm/IOS_FSM_FFd1
implementation constraint: INIT=r : iobs/PS_FSM_FFd1
implementation constraint: INIT=r : ram/RS_FSM_FFd1
implementation constraint: INIT=r : cnt/Timer_3 implementation constraint: INIT=r : cnt/Timer_3
implementation constraint: INIT=r : cnt/Timer_2 implementation constraint: INIT=r : cnt/Timer_2
implementation constraint: INIT=r : cnt/Timer_1 implementation constraint: INIT=r : cnt/Timer_1
@ -461,26 +459,24 @@ Design Statistics
# IOs : 75 # IOs : 75
Cell Usage : Cell Usage :
# BELS : 577 # BELS : 574
# AND2 : 172 # AND2 : 172
# AND3 : 20 # AND3 : 19
# AND4 : 15 # AND4 : 15
# AND5 : 3 # AND5 : 3
# AND6 : 1 # AND6 : 1
# AND8 : 4 # AND8 : 4
# GND : 1 # GND : 1
# INV : 238 # INV : 236
# OR2 : 98 # OR2 : 98
# OR3 : 4 # OR3 : 4
# VCC : 1 # VCC : 1
# XOR2 : 20 # XOR2 : 20
# FlipFlops/Latches : 96 # FlipFlops/Latches : 94
# FD : 63 # FD : 62
# FDCE : 33 # FDCE : 32
# Tri-States : 1 # IO Buffers : 71
# BUFE : 1 # IBUF : 35
# IO Buffers : 72
# IBUF : 36
# IOBUFE : 1 # IOBUFE : 1
# OBUF : 31 # OBUF : 31
# OBUFE : 4 # OBUFE : 4
@ -488,13 +484,13 @@ Cell Usage :
Total REAL time to Xst completion: 15.00 secs Total REAL time to Xst completion: 15.00 secs
Total CPU time to Xst completion: 15.04 secs Total CPU time to Xst completion: 15.07 secs
--> -->
Total memory usage is 266244 kilobytes Total memory usage is 266244 kilobytes
Number of errors : 0 ( 0 filtered) Number of errors : 0 ( 0 filtered)
Number of warnings : 4 ( 0 filtered) Number of warnings : 5 ( 0 filtered)
Number of infos : 0 ( 0 filtered) Number of infos : 0 ( 0 filtered)

View File

@ -116,17 +116,14 @@ TS_CLK_IOB:FROM:cnt/LTimer<5>.Q:TO:cnt/LTimer<12>.D:1428
TS_CLK_IOB:FROM:cnt/LTimer<6>.Q:TO:cnt/LTimer<12>.D:1428 TS_CLK_IOB:FROM:cnt/LTimer<6>.Q:TO:cnt/LTimer<12>.D:1428
TS_CLK_IOB:FROM:cnt/LTimer<7>.Q:TO:cnt/LTimer<12>.D:1428 TS_CLK_IOB:FROM:cnt/LTimer<7>.Q:TO:cnt/LTimer<12>.D:1428
TS_CLK_IOB:FROM:cnt/LTimer<8>.Q:TO:cnt/LTimer<12>.D:1428 TS_CLK_IOB:FROM:cnt/LTimer<8>.Q:TO:cnt/LTimer<12>.D:1428
TS_CLK_IOB:FROM:cnt/nBR_IOB.Q:TO:cnt/AoutOE.D:1428
TS_CLK_IOB:FROM:cnt/PORS_FSM_FFd1.Q:TO:cnt/AoutOE.D:1428
TS_CLK_IOB:FROM:cnt/PORS_FSM_FFd2.Q:TO:cnt/AoutOE.D:1428
TS_CLK_IOB:FROM:cnt/PORS_FSM_FFd1.Q:TO:cnt/nBR_IOB.D:1428 TS_CLK_IOB:FROM:cnt/PORS_FSM_FFd1.Q:TO:cnt/nBR_IOB.D:1428
TS_CLK_IOB:FROM:cnt/nBR_IOB.Q:TO:cnt/nBR_IOB.D:1428 TS_CLK_IOB:FROM:cnt/nBR_IOB.Q:TO:cnt/nBR_IOB.D:1428
TS_CLK_IOB:FROM:cnt/PORS_FSM_FFd2.Q:TO:cnt/nBR_IOB.D:1428 TS_CLK_IOB:FROM:cnt/PORS_FSM_FFd2.Q:TO:cnt/nBR_IOB.D:1428
TS_CLK_IOB:FROM:cnt/IPL2r.Q:TO:cnt/nBR_IOB.D:1428 TS_CLK_IOB:FROM:cnt/IPL2r.Q:TO:cnt/nBR_IOB.D:1428
TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd2.Q:TO:iobm/IOS_FSM_FFd3.D:666 TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd2.Q:TO:iobm/IOS_FSM_FFd3.D:666
TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd3.Q:TO:iobm/IOS_FSM_FFd3.D:666 TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd3.Q:TO:iobm/IOS_FSM_FFd3.D:666
TS_CLK_IOB:FROM:nAoutOE_OBUF.Q:TO:iobm/IOS_FSM_FFd3.D:1428
TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd1.Q:TO:iobm/IOS_FSM_FFd3.D:666 TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd1.Q:TO:iobm/IOS_FSM_FFd3.D:666
TS_CLK2X_IOB:FROM:iobm/BG.Q:TO:iobm/IOS_FSM_FFd3.D:666
TS_CLK2X_IOB:FROM:iobm/IOREQr.Q:TO:iobm/IOS_FSM_FFd3.D:666 TS_CLK2X_IOB:FROM:iobm/IOREQr.Q:TO:iobm/IOS_FSM_FFd3.D:666
TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd2.Q:TO:iobm/IOS_FSM_FFd2.D:666 TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd2.Q:TO:iobm/IOS_FSM_FFd2.D:666
TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd3.Q:TO:iobm/IOS_FSM_FFd2.D:666 TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd3.Q:TO:iobm/IOS_FSM_FFd2.D:666
@ -171,14 +168,17 @@ TS_CLK2X_IOB:FROM:iobm/RESrr.Q:TO:iobm/IOACT.D:666
TS_CLK2X_IOB:FROM:iobm/RESrf.Q:TO:iobm/IOACT.D:666 TS_CLK2X_IOB:FROM:iobm/RESrf.Q:TO:iobm/IOACT.D:666
TS_CLK2X_IOB:FROM:iobm/IOREQr.Q:TO:iobm/IOACT.D:666 TS_CLK2X_IOB:FROM:iobm/IOREQr.Q:TO:iobm/IOACT.D:666
TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd1.Q:TO:iobm/IOACT.D:666 TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd1.Q:TO:iobm/IOACT.D:666
TS_CLK_FSB:FROM:cs/nOverlay0.Q:TO:cs/nOverlay1.D:400 TS_CLK_IOB:FROM:cnt/nBR_IOB.Q:TO:nAoutOE_OBUF.D:1428
TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:cs/nOverlay1.CE:400 TS_CLK_IOB:FROM:cnt/PORS_FSM_FFd1.Q:TO:nAoutOE_OBUF.D:1428
TS_CLK_IOB:FROM:cnt/PORS_FSM_FFd2.Q:TO:nAoutOE_OBUF.D:1428
TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:iobs/Once.D:400 TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:iobs/Once.D:400
TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:iobs/Once.D:400 TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:iobs/Once.D:400
TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:iobs/Once.D:400 TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:iobs/Once.D:400
TS_CLK_FSB:FROM:iobs/PS_FSM_FFd1.Q:TO:iobs/Once.D:400 TS_CLK_FSB:FROM:iobs/PS_FSM_FFd1.Q:TO:iobs/Once.D:400
TS_CLK_FSB:FROM:iobs/PS_FSM_FFd2.Q:TO:iobs/Once.D:400 TS_CLK_FSB:FROM:iobs/PS_FSM_FFd2.Q:TO:iobs/Once.D:400
TS_CLK_FSB:FROM:iobs/Once.Q:TO:iobs/Once.D:400 TS_CLK_FSB:FROM:iobs/Once.Q:TO:iobs/Once.D:400
TS_CLK_FSB:FROM:cs/nOverlay0.Q:TO:cs/nOverlay1.D:400
TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:cs/nOverlay1.CE:400
TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:iobs/IORW0.D:400 TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:iobs/IORW0.D:400
TS_CLK_FSB:FROM:iobs/IORW1.Q:TO:iobs/IORW0.D:400 TS_CLK_FSB:FROM:iobs/IORW1.Q:TO:iobs/IORW0.D:400
TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:iobs/IORW0.D:400 TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:iobs/IORW0.D:400
@ -344,16 +344,15 @@ TS_CLK2X_IOB:FROM:iobm/VPArr.Q:TO:iobm/nVMA.D:666
TS_CLK2X_IOB:FROM:iobm/VPArf.Q:TO:iobm/nVMA.D:666 TS_CLK2X_IOB:FROM:iobm/VPArf.Q:TO:iobm/nVMA.D:666
TS_CLK2X_IOB:FROM:iobm/ES<3>.Q:TO:iobm/nVMA.D:666 TS_CLK2X_IOB:FROM:iobm/ES<3>.Q:TO:iobm/nVMA.D:666
TS_CLK2X_IOB:FROM:iobm/ES<4>.Q:TO:iobm/nVMA.D:666 TS_CLK2X_IOB:FROM:iobm/ES<4>.Q:TO:iobm/nVMA.D:666
TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd2.Q:TO:iobm/nASout.D:666
TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd1.Q:TO:iobm/nASout.D:666
TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd3.Q:TO:iobm/nASout.D:666
TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd2.Q:TO:iobm/nLDS.D:666 TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd2.Q:TO:iobm/nLDS.D:666
TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd1.Q:TO:iobm/nLDS.D:666 TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd1.Q:TO:iobm/nLDS.D:666
TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd3.Q:TO:iobm/nLDS.D:666 TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd3.Q:TO:iobm/nLDS.D:666
TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd2.Q:TO:iobm/nUDS.D:666 TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd2.Q:TO:iobm/nUDS.D:666
TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd1.Q:TO:iobm/nUDS.D:666 TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd1.Q:TO:iobm/nUDS.D:666
TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd3.Q:TO:iobm/nUDS.D:666 TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd3.Q:TO:iobm/nUDS.D:666
TS_CLK2X_IOB:FROM:iobm/nASr.Q:TO:iobm/BG.CE:666 TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd2.Q:TO:iobm/nASout.D:666
TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd1.Q:TO:iobm/nASout.D:666
TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd3.Q:TO:iobm/nASout.D:666
TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd1.Q:TO:iobm/IOS_FSM_FFd1.D:666 TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd1.Q:TO:iobm/IOS_FSM_FFd1.D:666
TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd3.Q:TO:iobm/IOS_FSM_FFd1.D:666 TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd3.Q:TO:iobm/IOS_FSM_FFd1.D:666
TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd2.Q:TO:iobm/IOS_FSM_FFd1.D:666 TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd2.Q:TO:iobm/IOS_FSM_FFd1.D:666
@ -399,6 +398,5 @@ TS_CLK2X_IOB:FROM:iobm/IOREQr.Q:TO:iobm/ALE0.D:666
TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd1.Q:TO:iobm/ALE0.D:666 TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd1.Q:TO:iobm/ALE0.D:666
TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd2.Q:TO:iobm/DoutOE.D:666 TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd2.Q:TO:iobm/DoutOE.D:666
TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd3.Q:TO:iobm/DoutOE.D:666 TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd3.Q:TO:iobm/DoutOE.D:666
TS_CLK2X_IOB:FROM:iobm/nASout.Q:TO:iobm/nASr.D:666
TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd1.Q:TO:iobm/nDinLE.D:666 TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd1.Q:TO:iobm/nDinLE.D:666
TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd2.Q:TO:iobm/nDinLE.D:666 TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd2.Q:TO:iobm/nDinLE.D:666

File diff suppressed because it is too large Load Diff

File diff suppressed because one or more lines are too long

File diff suppressed because it is too large Load Diff

View File

@ -42,6 +42,8 @@ assign C25MEN = 1'b1;
</td></tr><tr><td> </td></tr><tr><td>
</td></tr><tr><td> </td></tr><tr><td>
</td></tr><tr><td> </td></tr><tr><td>
</td></tr><tr><td>
</td></tr><tr><td>
assign RA[0] = ((A_FSB[10] && !ram/RASEL) assign RA[0] = ((A_FSB[10] && !ram/RASEL)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (ram/RASEL && A_FSB[1])); <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (ram/RASEL && A_FSB[1]));
</td></tr><tr><td> </td></tr><tr><td>
@ -266,65 +268,57 @@ FDCPE FDCPE_fsb/Ready0r (fsb/Ready0r,fsb/Ready0r_D,FCLK,1'b0,1'b0);
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; !cs/nOverlay1 && !fsb/Ready0r && !ram/RAMReady)); <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; !cs/nOverlay1 && !fsb/Ready0r && !ram/RAMReady));
</td></tr><tr><td> </td></tr><tr><td>
FDCPE FDCPE_fsb/Ready1r (fsb/Ready1r,fsb/Ready1r_D,FCLK,1'b0,1'b0); FDCPE FDCPE_fsb/Ready1r (fsb/Ready1r,fsb/Ready1r_D,FCLK,1'b0,1'b0);
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;assign fsb/Ready1r_D = ((A_FSB[13] && A_FSB[22] && A_FSB[20] && A_FSB[19] && <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;assign fsb/Ready1r_D = ((A_FSB[14] && A_FSB[21] && A_FSB[20] && A_FSB[19] &&
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && !cs/nOverlay1 && <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && cs/nOverlay1 &&
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; !fsb/Ready1r && !iobs/IOReady) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; !fsb/Ready1r && !iobs/IOReady && !nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (A_FSB[14] && !A_FSB[22] && A_FSB[21] && A_FSB[20] && <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (A_FSB[13] && A_FSB[21] && A_FSB[20] && A_FSB[19] &&
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB[19] && A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && cs/nOverlay1 &&
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; cs/nOverlay1 && !fsb/Ready1r && !iobs/IOReady && !nADoutLE1) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; !fsb/Ready1r && !iobs/IOReady && !nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (A_FSB[13] && !A_FSB[22] && A_FSB[21] && A_FSB[20] &&
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB[19] && A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB &&
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; cs/nOverlay1 && !fsb/Ready1r && !iobs/IOReady && !nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (nAS_FSB && !fsb/ASrf) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (nAS_FSB && !fsb/ASrf)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (A_FSB[23] && !fsb/Ready1r && !iobs/IOReady) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (A_FSB[23] && !fsb/Ready1r && !iobs/IOReady)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (A_FSB[22] && !A_FSB[21] && A_FSB[20] && !fsb/Ready1r && <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (A_FSB[22] && A_FSB[21] && !fsb/Ready1r &&
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; !iobs/IOReady) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; !iobs/IOReady)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (A_FSB[14] && A_FSB[22] && A_FSB[20] && A_FSB[19] && <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (A_FSB[22] && A_FSB[20] && !fsb/Ready1r &&
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && !cs/nOverlay1 && <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; !iobs/IOReady));
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; !fsb/Ready1r && !iobs/IOReady));
</td></tr><tr><td> </td></tr><tr><td>
FDCPE FDCPE_fsb/VPA (fsb/VPA,fsb/VPA_D,FCLK,1'b0,1'b0); FDCPE FDCPE_fsb/VPA (fsb/VPA,fsb/VPA_D,FCLK,1'b0,1'b0);
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;assign fsb/VPA_D = ((EXP21_.EXP) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;assign fsb/VPA_D = ((EXP15_.EXP)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (A_FSB[14] && A_FSB[21] && A_FSB[20] && A_FSB[19] &&
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && cs/nOverlay1 &&
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; !fsb/Ready1r && fsb/VPA && !iobs/IOReady && !nAS_FSB && !nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (A_FSB[14] && A_FSB[21] && A_FSB[20] && A_FSB[19] &&
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && cs/nOverlay1 &&
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; !fsb/Ready1r && fsb/VPA && !iobs/IOReady && fsb/ASrf && !nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (A_FSB[13] && A_FSB[21] && A_FSB[20] && A_FSB[19] &&
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && cs/nOverlay1 &&
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; !fsb/Ready1r && fsb/VPA && !iobs/IOReady && !nAS_FSB && !nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (A_FSB[13] && A_FSB[21] && A_FSB[20] && A_FSB[19] &&
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && cs/nOverlay1 &&
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; !fsb/Ready1r && fsb/VPA && !iobs/IOReady && fsb/ASrf && !nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (A_FSB[9] && A_FSB[8] && A_FSB[15] && A_FSB[14] &&
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB[13] && A_FSB[12] && A_FSB[11] && A_FSB[10] && A_FSB[23] &&
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB[22] && A_FSB[21] && A_FSB[20] && A_FSB[19] && A_FSB[18] &&
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB[17] && A_FSB[16] && iobs/IOReady && !nAS_FSB)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (A_FSB[22] && A_FSB[20] && !fsb/Ready1r && fsb/VPA &&
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; !iobs/IOReady && fsb/ASrf)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (!A_FSB[23] && !A_FSB[22] && cs/nOverlay1 &&
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; !fsb/Ready0r && fsb/VPA && !nAS_FSB && !ram/RAMReady)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (!A_FSB[23] && !A_FSB[22] && cs/nOverlay1 && <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (!A_FSB[23] && !A_FSB[22] && cs/nOverlay1 &&
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; !fsb/Ready0r && fsb/VPA && fsb/ASrf && !ram/RAMReady) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; !fsb/Ready0r && fsb/VPA && fsb/ASrf && !ram/RAMReady)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (!A_FSB[23] && A_FSB[22] && A_FSB[21] && <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (!A_FSB[23] && A_FSB[22] && A_FSB[21] &&
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; !cs/nOverlay1 && !fsb/Ready0r && fsb/VPA && !nAS_FSB && !ram/RAMReady) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; !cs/nOverlay1 && !fsb/Ready0r && fsb/VPA && !nAS_FSB && !ram/RAMReady)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (!A_FSB[23] && A_FSB[22] && A_FSB[21] && <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (!A_FSB[23] && A_FSB[22] && A_FSB[21] &&
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; !cs/nOverlay1 && !fsb/Ready0r && fsb/VPA && fsb/ASrf && !ram/RAMReady) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; !cs/nOverlay1 && !fsb/Ready0r && fsb/VPA && fsb/ASrf && !ram/RAMReady)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (A_FSB[14] && A_FSB[22] && A_FSB[20] && A_FSB[19] &&
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && !cs/nOverlay1 &&
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; !fsb/Ready1r && fsb/VPA && !iobs/IOReady && !nAS_FSB)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (A_FSB[13] && A_FSB[22] && A_FSB[20] && A_FSB[19] &&
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && !cs/nOverlay1 &&
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; !fsb/Ready1r && fsb/VPA && !iobs/IOReady && !nAS_FSB)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (A_FSB[14] && A_FSB[22] && A_FSB[20] && A_FSB[19] &&
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && !cs/nOverlay1 &&
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; !fsb/Ready1r && fsb/VPA && !iobs/IOReady && fsb/ASrf)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (A_FSB[13] && A_FSB[22] && A_FSB[20] && A_FSB[19] &&
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && !cs/nOverlay1 &&
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; !fsb/Ready1r && fsb/VPA && !iobs/IOReady && fsb/ASrf)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (A_FSB[14] && !A_FSB[22] && A_FSB[21] && A_FSB[20] &&
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB[19] && A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB &&
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; cs/nOverlay1 && !fsb/Ready1r && fsb/VPA && !iobs/IOReady && !nAS_FSB &&
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; !nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (A_FSB[13] && !A_FSB[22] && A_FSB[21] && A_FSB[20] &&
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB[19] && A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB &&
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; cs/nOverlay1 && !fsb/Ready1r && fsb/VPA && !iobs/IOReady && !nAS_FSB &&
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; !nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (A_FSB[13] && !A_FSB[22] && A_FSB[21] && A_FSB[20] &&
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB[19] && A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB &&
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; cs/nOverlay1 && !fsb/Ready1r && fsb/VPA && !iobs/IOReady && fsb/ASrf &&
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; !nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (A_FSB[23] && !fsb/Ready1r && fsb/VPA && <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (A_FSB[23] && !fsb/Ready1r && fsb/VPA &&
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; !iobs/IOReady && !nAS_FSB) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; !iobs/IOReady && !nAS_FSB)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (A_FSB[23] && !fsb/Ready1r && fsb/VPA && <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (A_FSB[23] && !fsb/Ready1r && fsb/VPA &&
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; !iobs/IOReady && fsb/ASrf) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; !iobs/IOReady && fsb/ASrf)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (!A_FSB[23] && !A_FSB[22] && cs/nOverlay1 && <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (A_FSB[22] && A_FSB[21] && !fsb/Ready1r && fsb/VPA &&
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; !fsb/Ready0r && fsb/VPA && !nAS_FSB && !ram/RAMReady) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; !iobs/IOReady && !nAS_FSB)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (A_FSB[22] && !A_FSB[21] && A_FSB[20] && !fsb/Ready1r && <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (A_FSB[22] && A_FSB[21] && !fsb/Ready1r && fsb/VPA &&
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; fsb/VPA && !iobs/IOReady && !nAS_FSB) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; !iobs/IOReady && fsb/ASrf)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (A_FSB[22] && !A_FSB[21] && A_FSB[20] && !fsb/Ready1r && <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (A_FSB[22] && A_FSB[20] && !fsb/Ready1r && fsb/VPA &&
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; fsb/VPA && !iobs/IOReady && fsb/ASrf)); <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; !iobs/IOReady && !nAS_FSB));
</td></tr><tr><td> </td></tr><tr><td>
FDCPE FDCPE_iobm/ALE0 (iobm/ALE0,iobm/ALE0_D,C16M,1'b0,1'b0); FDCPE FDCPE_iobm/ALE0 (iobm/ALE0,iobm/ALE0_D,C16M,1'b0,1'b0);
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;assign iobm/ALE0_D = ((iobm/IOS_FSM_FFd1 && !iobm/IOS_FSM_FFd2) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;assign iobm/ALE0_D = ((iobm/IOS_FSM_FFd1 && !iobm/IOS_FSM_FFd2)
@ -335,8 +329,6 @@ FDCPE FDCPE_iobm/BERRrf (iobm/BERRrf,!nBERR_IOB,!C16M,1'b0,1'b0);
</td></tr><tr><td> </td></tr><tr><td>
FDCPE FDCPE_iobm/BERRrr (iobm/BERRrr,!nBERR_IOB,C16M,1'b0,1'b0); FDCPE FDCPE_iobm/BERRrr (iobm/BERRrr,!nBERR_IOB,C16M,1'b0,1'b0);
</td></tr><tr><td> </td></tr><tr><td>
FDCPE FDCPE_iobm/BG (iobm/BG,!nBG_IOB,C16M,1'b0,1'b0,iobm/nASr);
</td></tr><tr><td>
FDCPE FDCPE_iobm/DTACKrf (iobm/DTACKrf,!nDTACK_IOB,!C16M,1'b0,1'b0); FDCPE FDCPE_iobm/DTACKrf (iobm/DTACKrf,!nDTACK_IOB,!C16M,1'b0,1'b0);
</td></tr><tr><td> </td></tr><tr><td>
FDCPE FDCPE_iobm/DTACKrr (iobm/DTACKrr,!nDTACK_IOB,C16M,1'b0,1'b0); FDCPE FDCPE_iobm/DTACKrr (iobm/DTACKrr,!nDTACK_IOB,C16M,1'b0,1'b0);
@ -400,10 +392,7 @@ FDCPE FDCPE_iobm/IOACT (iobm/IOACT,iobm/IOACT_D,C16M,1'b0,1'b0);
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; iobm/DTACKrf && iobm/DTACKrr)); <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; iobm/DTACKrf && iobm/DTACKrr));
</td></tr><tr><td> </td></tr><tr><td>
FTCPE FTCPE_iobm/IOBERR (iobm/IOBERR,iobm/IOBERR_T,C16M,1'b0,1'b0); FTCPE FTCPE_iobm/IOBERR (iobm/IOBERR,iobm/IOBERR_T,C16M,1'b0,1'b0);
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;assign iobm/IOBERR_T = ((C8M && nBERR_IOB && iobm/IOS_FSM_FFd3 && <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;assign iobm/IOBERR_T = ((C8M && !nBERR_IOB && iobm/IOS_FSM_FFd3 &&
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; iobm/IOS_FSM_FFd1 && iobm/IOS_FSM_FFd2 && iobm/IOBERR && iobm/RESrf &&
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; iobm/RESrr)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (C8M && !nBERR_IOB && iobm/IOS_FSM_FFd3 &&
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; iobm/IOS_FSM_FFd1 && iobm/IOS_FSM_FFd2 && !iobm/IOBERR && iobm/BERRrf && <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; iobm/IOS_FSM_FFd1 && iobm/IOS_FSM_FFd2 && !iobm/IOBERR && iobm/BERRrf &&
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; iobm/BERRrr) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; iobm/BERRrr)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (C8M && !nBERR_IOB && iobm/IOS_FSM_FFd3 && <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (C8M && !nBERR_IOB && iobm/IOS_FSM_FFd3 &&
@ -412,6 +401,9 @@ FTCPE FTCPE_iobm/IOBERR (iobm/IOBERR,iobm/IOBERR_T,C16M,1'b0,1'b0);
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (C8M && !nBERR_IOB && iobm/IOS_FSM_FFd3 && <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (C8M && !nBERR_IOB && iobm/IOS_FSM_FFd3 &&
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; iobm/IOS_FSM_FFd1 && iobm/IOS_FSM_FFd2 && !iobm/IOBERR && iobm/RESrf && <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; iobm/IOS_FSM_FFd1 && iobm/IOS_FSM_FFd2 && !iobm/IOBERR && iobm/RESrf &&
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; iobm/RESrr) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; iobm/RESrr)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (C8M && nBERR_IOB && iobm/IOS_FSM_FFd3 &&
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; iobm/IOS_FSM_FFd1 && iobm/IOS_FSM_FFd2 && iobm/IOBERR && iobm/RESrf &&
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; iobm/RESrr)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (iobm/IOS_FSM_FFd3 && !iobm/IOS_FSM_FFd1 && <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (iobm/IOS_FSM_FFd3 && !iobm/IOS_FSM_FFd1 &&
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; !iobm/IOS_FSM_FFd2 && iobm/IOBERR) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; !iobm/IOS_FSM_FFd2 && iobm/IOBERR)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (C8M && nBERR_IOB && iobm/IOS_FSM_FFd3 && <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (C8M && nBERR_IOB && iobm/IOS_FSM_FFd3 &&
@ -447,8 +439,8 @@ FDCPE FDCPE_iobm/IOS_FSM_FFd3 (iobm/IOS_FSM_FFd3,iobm/IOS_FSM_FFd3_D,C16M,1'b0,1
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;assign iobm/IOS_FSM_FFd3_D = ((iobm/IOS_FSM_FFd1 && iobm/IOS_FSM_FFd2) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;assign iobm/IOS_FSM_FFd3_D = ((iobm/IOS_FSM_FFd1 && iobm/IOS_FSM_FFd2)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (iobm/IOS_FSM_FFd3 && !iobm/IOS_FSM_FFd1 && <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (iobm/IOS_FSM_FFd3 && !iobm/IOS_FSM_FFd1 &&
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; !iobm/IOS_FSM_FFd2) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; !iobm/IOS_FSM_FFd2)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (!C8M && iobm/BG && !iobm/IOS_FSM_FFd1 && <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (!C8M && !iobm/IOS_FSM_FFd1 && !iobm/IOS_FSM_FFd2 &&
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; !iobm/IOS_FSM_FFd2 && iobm/IOREQr)); <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; iobm/IOREQr && !nAoutOE));
</td></tr><tr><td> </td></tr><tr><td>
FDCPE FDCPE_iobm/RESrf (iobm/RESrf,!nRES.PIN,!C16M,1'b0,1'b0); FDCPE FDCPE_iobm/RESrf (iobm/RESrf,!nRES.PIN,!C16M,1'b0,1'b0);
</td></tr><tr><td> </td></tr><tr><td>
@ -458,9 +450,6 @@ FDCPE FDCPE_iobm/VPArf (iobm/VPArf,!nVPA_IOB,!C16M,1'b0,1'b0);
</td></tr><tr><td> </td></tr><tr><td>
FDCPE FDCPE_iobm/VPArr (iobm/VPArr,!nVPA_IOB,C16M,1'b0,1'b0); FDCPE FDCPE_iobm/VPArr (iobm/VPArr,!nVPA_IOB,C16M,1'b0,1'b0);
</td></tr><tr><td> </td></tr><tr><td>
FDCPE FDCPE_iobm/nASr (iobm/nASr,iobm/nASr_D,C16M,1'b0,1'b0);
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;assign iobm/nASr_D = (!nAS_IOB && nAoutOE);
</td></tr><tr><td>
FDCPE FDCPE_iobs/ALE0 (iobs/ALE0,iobs/ALE0_D,FCLK,1'b0,1'b0); FDCPE FDCPE_iobs/ALE0 (iobs/ALE0,iobs/ALE0_D,FCLK,1'b0,1'b0);
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;assign iobs/ALE0_D = (iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1); <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;assign iobs/ALE0_D = (iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1);
</td></tr><tr><td> </td></tr><tr><td>
@ -477,105 +466,79 @@ FDCPE FDCPE_iobs/IOL0 (iobs/IOL0,iobs/IOL0_D,FCLK,1'b0,1'b0,iobs/IOL0_CE);
FDCPE FDCPE_iobs/IOL1 (iobs/IOL1,!nLDS_FSB,FCLK,1'b0,1'b0,iobs/Load1); FDCPE FDCPE_iobs/IOL1 (iobs/IOL1,!nLDS_FSB,FCLK,1'b0,1'b0,iobs/Load1);
</td></tr><tr><td> </td></tr><tr><td>
FDCPE FDCPE_iobs/IOREQ (iobs/IOREQ,iobs/IOREQ_D,FCLK,1'b0,1'b0); FDCPE FDCPE_iobs/IOREQ (iobs/IOREQ,iobs/IOREQ_D,FCLK,1'b0,1'b0);
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;assign iobs/IOREQ_D = ((iobs/nBERR_FSB.EXP) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;assign iobs/IOREQ_D = ((EXP16_.EXP)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (!A_FSB[23] && !A_FSB[22] && !A_FSB[21] && <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (A_FSB[23] && !iobs/Once && !nAS_FSB &&
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; !iobs/PS_FSM_FFd2 && nADoutLE1) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; !iobs/PS_FSM_FFd1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (!A_FSB[23] && !A_FSB[22] && !cs/nOverlay1 && <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (A_FSB[23] && !iobs/Once && !iobs/PS_FSM_FFd1 &&
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; !iobs/PS_FSM_FFd2 && nADoutLE1) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; fsb/ASrf)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (!A_FSB[23] && A_FSB[21] && !A_FSB[19] && <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (A_FSB[22] && A_FSB[21] && !iobs/Once && !nAS_FSB &&
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; !iobs/PS_FSM_FFd2 && nADoutLE1) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; !iobs/PS_FSM_FFd1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (!A_FSB[23] && A_FSB[21] && !A_FSB[16] && <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (A_FSB[22] && A_FSB[21] && !iobs/Once &&
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; !iobs/PS_FSM_FFd2 && nADoutLE1) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; !iobs/PS_FSM_FFd1 && fsb/ASrf)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (!A_FSB[23] && A_FSB[21] && nWE_FSB && <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (A_FSB[22] && A_FSB[20] && !iobs/Once && !nAS_FSB &&
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; !iobs/PS_FSM_FFd2 && nADoutLE1) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; !iobs/PS_FSM_FFd1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (!A_FSB[23] && A_FSB[21] && !A_FSB[18] && <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; !iobs/PS_FSM_FFd2 && nADoutLE1) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (iobs/PS_FSM_FFd2 && !iobs/IOACTr)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (!A_FSB[23] && A_FSB[21] && !A_FSB[17] && <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (!iobs/PS_FSM_FFd1 && !nADoutLE1));
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; !iobs/PS_FSM_FFd2 && nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (!A_FSB[23] && A_FSB[22] && A_FSB[21] &&
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; cs/nOverlay1 && !iobs/PS_FSM_FFd2 && nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (!iobs/PS_FSM_FFd2 && iobs/PS_FSM_FFd1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (iobs/PS_FSM_FFd1 && iobs/IOACTr)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (iobs/Once && !iobs/PS_FSM_FFd2 && nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (!A_FSB[23] && !A_FSB[20] && !iobs/PS_FSM_FFd2 &&
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (nAS_FSB && !iobs/PS_FSM_FFd2 && !fsb/ASrf &&
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; nADoutLE1));
</td></tr><tr><td> </td></tr><tr><td>
FTCPE FTCPE_iobs/IORW0 (iobs/IORW0,iobs/IORW0_T,FCLK,1'b0,1'b0); FDCPE FDCPE_iobs/IORW0 (iobs/IORW0,iobs/IORW0_D,FCLK,1'b0,1'b0);
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;assign iobs/IORW0_T = ((A_FSB[23] && nWE_FSB && !iobs/Once && !iobs/IORW0 && <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;assign iobs/IORW0_D = ((!iobs/IORW1 && !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 &&
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && fsb/ASrf && nADoutLE1) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; !nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (A_FSB[22] && !A_FSB[21] && A_FSB[20] && nWE_FSB && <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (!A_FSB[23] && !A_FSB[21] && !A_FSB[20] && !iobs/IORW0 &&
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; !iobs/Once && !iobs/IORW0 && !nAS_FSB && !iobs/PS_FSM_FFd2 && <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; !iobs/PS_FSM_FFd1 && nADoutLE1) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (A_FSB[23] && !nWE_FSB && !iobs/Once && !nAS_FSB &&
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (A_FSB[22] && !A_FSB[21] && A_FSB[20] && nWE_FSB &&
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; !iobs/Once && !iobs/IORW0 && !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 &&
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; fsb/ASrf && nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (A_FSB[22] && !A_FSB[21] && A_FSB[20] && !nWE_FSB &&
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; !iobs/Once && iobs/IORW0 && !nAS_FSB && !iobs/PS_FSM_FFd2 &&
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; !iobs/PS_FSM_FFd1 && nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (A_FSB[22] && !A_FSB[21] && A_FSB[20] && !nWE_FSB &&
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; !iobs/Once && iobs/IORW0 && !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 &&
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; fsb/ASrf && nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (nROMWE_OBUF.EXP)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (A_FSB[14] && A_FSB[22] && A_FSB[20] && A_FSB[19] &&
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && !cs/nOverlay1 &&
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; !iobs/Once && iobs/IORW0 && !nAS_FSB && !iobs/PS_FSM_FFd2 &&
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; !iobs/PS_FSM_FFd1 && nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (A_FSB[14] && A_FSB[22] && A_FSB[20] && A_FSB[19] &&
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && !cs/nOverlay1 &&
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; !iobs/Once && iobs/IORW0 && !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 &&
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; fsb/ASrf && nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (A_FSB[13] && A_FSB[22] && A_FSB[20] && A_FSB[19] &&
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && !cs/nOverlay1 &&
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; !iobs/Once && iobs/IORW0 && !nAS_FSB && !iobs/PS_FSM_FFd2 &&
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; !iobs/PS_FSM_FFd1 && nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (A_FSB[13] && A_FSB[22] && A_FSB[20] && A_FSB[19] &&
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && !cs/nOverlay1 &&
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; !iobs/Once && iobs/IORW0 && !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 &&
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; fsb/ASrf && nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (A_FSB[14] && !A_FSB[22] && A_FSB[21] && A_FSB[20] &&
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB[19] && A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB &&
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; cs/nOverlay1 && !iobs/Once && iobs/IORW0 && !nAS_FSB &&
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && nADoutLE1) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (iobs/IORW0 && !iobs/IORW1 && !iobs/PS_FSM_FFd2 && <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (A_FSB[23] && !nWE_FSB && !iobs/Once &&
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; !iobs/PS_FSM_FFd1 && !nADoutLE1) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && fsb/ASrf && nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (!iobs/IORW0 && iobs/IORW1 && !iobs/PS_FSM_FFd2 && <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (A_FSB[22] && A_FSB[21] && !nWE_FSB && !iobs/Once &&
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; !iobs/PS_FSM_FFd1 && !nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (A_FSB[23] && nWE_FSB && !iobs/Once && !iobs/IORW0 &&
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; !nAS_FSB && !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && nADoutLE1) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; !nAS_FSB && !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (A_FSB[23] && !nWE_FSB && !iobs/Once && iobs/IORW0 && <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (nROMWE_OBUF.EXP)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (A_FSB[22] && A_FSB[21] && !nWE_FSB && !iobs/Once &&
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && fsb/ASrf && nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (A_FSB[22] && A_FSB[20] && !nWE_FSB && !iobs/Once &&
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; !nAS_FSB && !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && nADoutLE1) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; !nAS_FSB && !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (A_FSB[23] && !nWE_FSB && !iobs/Once && iobs/IORW0 && <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (A_FSB[22] && A_FSB[20] && !nWE_FSB && !iobs/Once &&
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && fsb/ASrf && nADoutLE1)); <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && fsb/ASrf && nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (A_FSB[14] && A_FSB[21] && A_FSB[20] && A_FSB[19] &&
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && !iobs/Once &&
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; cs/nOverlay1 && !nAS_FSB && !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 &&
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (A_FSB[13] && A_FSB[21] && A_FSB[20] && A_FSB[19] &&
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && !iobs/Once &&
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; cs/nOverlay1 && !nAS_FSB && !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 &&
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (!iobs/IORW0 && iobs/PS_FSM_FFd2)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (!iobs/IORW0 && iobs/PS_FSM_FFd1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (iobs/Once && !iobs/IORW0 && nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (!A_FSB[23] && !A_FSB[22] && !iobs/IORW0 && nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (!iobs/IORW0 && nAS_FSB && !fsb/ASrf && nADoutLE1));
</td></tr><tr><td> </td></tr><tr><td>
FTCPE FTCPE_iobs/IORW1 (iobs/IORW1,iobs/IORW1_T,FCLK,1'b0,1'b0); FTCPE FTCPE_iobs/IORW1 (iobs/IORW1,iobs/IORW1_T,FCLK,1'b0,1'b0);
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;assign iobs/IORW1_T = ((iobs/Once) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;assign iobs/IORW1_T = ((iobs/Once)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (!nADoutLE1) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (!nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (fsb/Ready1r.EXP) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (fsb/Ready1r.EXP)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (!nWE_FSB && !iobs/IORW1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (nAS_FSB && !fsb/ASrf) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (nAS_FSB && !fsb/ASrf)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (!iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (!A_FSB[23] && !A_FSB[22] && !A_FSB[21]) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (!A_FSB[23] && !A_FSB[22] && !A_FSB[21])
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (!A_FSB[23] && !A_FSB[22] && !A_FSB[19])
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (!A_FSB[23] && !A_FSB[22] && nWE_FSB)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (!A_FSB[23] && !A_FSB[22] && !cs/nOverlay1) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (!A_FSB[23] && !A_FSB[22] && !cs/nOverlay1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (!A_FSB[23] && A_FSB[21] && !A_FSB[19]) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (!A_FSB[23] && !A_FSB[22] && !A_FSB[20])
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (!A_FSB[23] && A_FSB[21] && !A_FSB[18]) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (!A_FSB[23] && !A_FSB[22] && !A_FSB[18])
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (!A_FSB[23] && A_FSB[21] && !A_FSB[17]) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (!A_FSB[23] && !A_FSB[22] && !A_FSB[17])
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (!A_FSB[23] && A_FSB[21] && !A_FSB[16]) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (!A_FSB[23] && !A_FSB[22] && !A_FSB[16])
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (!A_FSB[23] && A_FSB[22] && A_FSB[21] && <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (!A_FSB[23] && !A_FSB[21] && !A_FSB[20])
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; cs/nOverlay1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (!A_FSB[23] && !A_FSB[20])
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (nWE_FSB && iobs/IORW1) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (nWE_FSB && iobs/IORW1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (!A_FSB[23] && A_FSB[21] && !iobs/IORW1)); <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (!nWE_FSB && !iobs/IORW1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (!iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1));
</td></tr><tr><td> </td></tr><tr><td>
FTCPE FTCPE_iobs/IOReady (iobs/IOReady,iobs/IOReady_T,FCLK,1'b0,1'b0); FTCPE FTCPE_iobs/IOReady (iobs/IOReady,iobs/IOReady_T,FCLK,1'b0,1'b0);
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;assign iobs/IOReady_T = ((iobs/Once && iobs/IOReady && !iobs/PS_FSM_FFd2 && <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;assign iobs/IOReady_T = ((iobs/IOReady && nAS_FSB && !fsb/ASrf)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (iobs/Once && iobs/IOReady && !iobs/PS_FSM_FFd2 &&
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; !iobs/IOACTr && iobm/IOBERR && nADoutLE1) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; !iobs/IOACTr && iobm/IOBERR && nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (iobs/Once && !iobs/IOReady && !nAS_FSB && <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (iobs/Once && !iobs/IOReady && !nAS_FSB &&
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; !iobs/PS_FSM_FFd2 && !iobs/IOACTr && !iobm/IOBERR && nADoutLE1) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; !iobs/PS_FSM_FFd2 && !iobs/IOACTr && !iobm/IOBERR && nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (iobs/Once && !iobs/IOReady && !iobs/PS_FSM_FFd2 && <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (iobs/Once && !iobs/IOReady && !iobs/PS_FSM_FFd2 &&
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; !iobs/IOACTr && !iobm/IOBERR && fsb/ASrf && nADoutLE1) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; !iobs/IOACTr && !iobm/IOBERR && fsb/ASrf && nADoutLE1));
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (iobs/IOReady && nAS_FSB && !fsb/ASrf));
</td></tr><tr><td> </td></tr><tr><td>
FDCPE FDCPE_iobs/IOU0 (iobs/IOU0,iobs/IOU0_D,FCLK,1'b0,1'b0,iobs/IOU0_CE); FDCPE FDCPE_iobs/IOU0 (iobs/IOU0,iobs/IOU0_D,FCLK,1'b0,1'b0,iobs/IOU0_CE);
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;assign iobs/IOU0_D = ((!nUDS_FSB && nADoutLE1) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;assign iobs/IOU0_D = ((!nUDS_FSB && nADoutLE1)
@ -588,71 +551,77 @@ FDCPE FDCPE_iobs/Load1 (iobs/Load1,iobs/Load1_D,FCLK,1'b0,1'b0);
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;assign iobs/Load1_D = ((iobs/Once) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;assign iobs/Load1_D = ((iobs/Once)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (!nADoutLE1) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (!nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (!A_FSB[23] && !A_FSB[22] && !A_FSB[21]) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (!A_FSB[23] && !A_FSB[22] && !A_FSB[21])
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (!A_FSB[23] && !A_FSB[22] && !A_FSB[19])
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (!A_FSB[23] && !A_FSB[22] && !A_FSB[17])
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (!A_FSB[23] && !A_FSB[22] && !A_FSB[16])
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (!A_FSB[23] && !A_FSB[22] && !cs/nOverlay1) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (!A_FSB[23] && !A_FSB[22] && !cs/nOverlay1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (!A_FSB[23] && A_FSB[21] && !A_FSB[19]) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (!A_FSB[23] && !A_FSB[22] && !A_FSB[20])
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (!A_FSB[23] && A_FSB[21] && nWE_FSB) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (!A_FSB[23] && !A_FSB[22] && !A_FSB[18])
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (!A_FSB[23] && A_FSB[21] && !A_FSB[18]) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (!A_FSB[23] && !A_FSB[21] && !A_FSB[20])
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (!A_FSB[23] && A_FSB[21] && !A_FSB[17]) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (!A_FSB[14] && !A_FSB[13] && !A_FSB[23] && !A_FSB[22])
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (!A_FSB[23] && A_FSB[21] && !A_FSB[16])
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (!A_FSB[14] && !A_FSB[13] && !A_FSB[23] && A_FSB[21])
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (!A_FSB[23] && A_FSB[22] && A_FSB[21] &&
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; cs/nOverlay1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (!A_FSB[23] && !A_FSB[20])
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (nAS_FSB && !fsb/ASrf) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (nAS_FSB && !fsb/ASrf)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (!iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1)); <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (!iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (!A_FSB[23] && !A_FSB[22] && nWE_FSB));
</td></tr><tr><td> </td></tr><tr><td>
FDCPE FDCPE_iobs/Once (iobs/Once,iobs/Once_D,FCLK,1'b0,1'b0); FTCPE FTCPE_iobs/Once (iobs/Once,iobs/Once_T,FCLK,1'b0,1'b0);
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;assign iobs/Once_D = ((A_FSB[23] && !iobs/Once && iobs/PS_FSM_FFd1) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;assign iobs/Once_T = ((A_FSB[13] && A_FSB[21] && A_FSB[20] && A_FSB[19] &&
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (!iobs/Once && iobs/PS_FSM_FFd2 && !nADoutLE1) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && !iobs/Once &&
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (!iobs/Once && iobs/PS_FSM_FFd1 && !nADoutLE1) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; cs/nOverlay1 && !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && fsb/ASrf)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (!A_FSB[23] && !A_FSB[22] && !A_FSB[21] && !iobs/Once) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (A_FSB[14] && !A_FSB[23] && !A_FSB[22] && A_FSB[21] &&
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (!A_FSB[23] && !A_FSB[22] && !cs/nOverlay1 && <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB[20] && A_FSB[19] && A_FSB[18] && A_FSB[17] && A_FSB[16] &&
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; !iobs/Once) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; !nWE_FSB && !iobs/Once && cs/nOverlay1 && !nAS_FSB && nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (cnt/PORS_FSM_FFd1.EXP) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (A_FSB[14] && !A_FSB[23] && !A_FSB[22] && A_FSB[21] &&
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (!A_FSB[23] && A_FSB[21] && !A_FSB[19] && !iobs/Once) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB[20] && A_FSB[19] && A_FSB[18] && A_FSB[17] && A_FSB[16] &&
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (!A_FSB[23] && A_FSB[21] && !A_FSB[18] && !iobs/Once) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; !nWE_FSB && !iobs/Once && cs/nOverlay1 && fsb/ASrf && nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (!A_FSB[23] && A_FSB[21] && !A_FSB[17] && !iobs/Once) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (A_FSB[13] && !A_FSB[23] && !A_FSB[22] && A_FSB[21] &&
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (!A_FSB[23] && A_FSB[21] && !A_FSB[16] && !iobs/Once) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB[20] && A_FSB[19] && A_FSB[18] && A_FSB[17] && A_FSB[16] &&
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (!A_FSB[23] && A_FSB[21] && nWE_FSB && !iobs/Once) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; !nWE_FSB && !iobs/Once && cs/nOverlay1 && !nAS_FSB && nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (nAS_FSB && !fsb/ASrf) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (A_FSB[13] && !A_FSB[23] && !A_FSB[22] && A_FSB[21] &&
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (A_FSB[23] && !iobs/Once && iobs/PS_FSM_FFd2) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB[20] && A_FSB[19] && A_FSB[18] && A_FSB[17] && A_FSB[16] &&
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (!A_FSB[23] && !A_FSB[20] && !iobs/Once) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; !nWE_FSB && !iobs/Once && cs/nOverlay1 && fsb/ASrf && nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (A_FSB[22] && !iobs/Once && iobs/PS_FSM_FFd2) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (A_FSB[22] && A_FSB[21] && !iobs/Once &&
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (A_FSB[22] && !iobs/Once && iobs/PS_FSM_FFd1)); <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && fsb/ASrf)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (A_FSB[22] && A_FSB[20] && !iobs/Once &&
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && fsb/ASrf)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (A_FSB[14] && A_FSB[21] && A_FSB[20] && A_FSB[19] &&
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && !iobs/Once &&
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; cs/nOverlay1 && !nAS_FSB && !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (A_FSB[14] && A_FSB[21] && A_FSB[20] && A_FSB[19] &&
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && !iobs/Once &&
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; cs/nOverlay1 && !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && fsb/ASrf)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (A_FSB[13] && A_FSB[21] && A_FSB[20] && A_FSB[19] &&
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && !iobs/Once &&
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; cs/nOverlay1 && !nAS_FSB && !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (iobs/Once && nAS_FSB && !fsb/ASrf)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (A_FSB[23] && !iobs/Once && !nAS_FSB &&
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (A_FSB[23] && !iobs/Once && !iobs/PS_FSM_FFd2 &&
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; !iobs/PS_FSM_FFd1 && fsb/ASrf)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (A_FSB[22] && A_FSB[21] && !iobs/Once && !nAS_FSB &&
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (A_FSB[22] && A_FSB[20] && !iobs/Once && !nAS_FSB &&
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1));
</td></tr><tr><td> </td></tr><tr><td>
FDCPE FDCPE_iobs/PS_FSM_FFd1 (iobs/PS_FSM_FFd1,iobs/PS_FSM_FFd1_D,FCLK,1'b0,1'b0); FDCPE FDCPE_iobs/PS_FSM_FFd1 (iobs/PS_FSM_FFd1,iobs/PS_FSM_FFd1_D,FCLK,1'b0,1'b0);
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;assign iobs/PS_FSM_FFd1_D = ((iobs/PS_FSM_FFd2) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;assign iobs/PS_FSM_FFd1_D = ((iobs/PS_FSM_FFd2)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (iobs/PS_FSM_FFd1 && iobs/IOACTr)); <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (iobs/PS_FSM_FFd1 && iobs/IOACTr));
</td></tr><tr><td> </td></tr><tr><td>
FDCPE FDCPE_iobs/PS_FSM_FFd2 (iobs/PS_FSM_FFd2,iobs/PS_FSM_FFd2_D,FCLK,1'b0,1'b0); FTCPE FTCPE_iobs/PS_FSM_FFd2 (iobs/PS_FSM_FFd2,iobs/PS_FSM_FFd2_T,FCLK,1'b0,1'b0);
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;assign iobs/PS_FSM_FFd2_D = ((!A_FSB[23] && !A_FSB[22] && !A_FSB[21] && <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;assign iobs/PS_FSM_FFd2_T = ((iobs/nBERR_FSB.EXP)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && nADoutLE1) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (A_FSB[23] && !iobs/Once && !iobs/PS_FSM_FFd2 &&
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (!A_FSB[23] && !A_FSB[22] && !cs/nOverlay1 && <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; !iobs/PS_FSM_FFd1 && fsb/ASrf)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && nADoutLE1) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (A_FSB[22] && A_FSB[21] && !iobs/Once && !nAS_FSB &&
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (!A_FSB[23] && A_FSB[21] && !A_FSB[19] && <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && nADoutLE1) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (A_FSB[22] && A_FSB[21] && !iobs/Once &&
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (!A_FSB[23] && A_FSB[21] && !A_FSB[16] && <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && fsb/ASrf)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && nADoutLE1) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (A_FSB[22] && A_FSB[20] && !iobs/Once && !nAS_FSB &&
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (!A_FSB[23] && A_FSB[21] && nWE_FSB && <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && nADoutLE1) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (A_FSB[22] && A_FSB[20] && !iobs/Once &&
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (!A_FSB[23] && A_FSB[21] && !A_FSB[18] && <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && fsb/ASrf)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && nADoutLE1) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (iobs/PS_FSM_FFd1 && iobs/IOACTr)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (!A_FSB[23] && A_FSB[21] && !A_FSB[17] && <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (!iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && !nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && nADoutLE1) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (A_FSB[23] && !iobs/Once && !nAS_FSB &&
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (!A_FSB[14] && !A_FSB[13] && !A_FSB[23] && A_FSB[21] && <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1));
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (!A_FSB[23] && A_FSB[22] && A_FSB[21] &&
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; cs/nOverlay1 && !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (iobs/PS_FSM_FFd2 && iobs/PS_FSM_FFd1 &&
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; iobs/IOACTr)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (!iobs/PS_FSM_FFd2 && iobs/PS_FSM_FFd1 &&
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; !iobs/IOACTr)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (iobs/Once && !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 &&
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (!A_FSB[23] && !A_FSB[20] && !iobs/PS_FSM_FFd2 &&
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; !iobs/PS_FSM_FFd1 && nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (nAS_FSB && !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 &&
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; !fsb/ASrf && nADoutLE1));
</td></tr><tr><td> </td></tr><tr><td>
</td></tr><tr><td> </td></tr><tr><td>
assign nADoutLE0 = (!iobm/ALE0 && !iobs/ALE0); assign nADoutLE0 = (!iobm/ALE0 && !iobs/ALE0);
@ -665,19 +634,19 @@ FDCPE FDCPE_nAS_IOB (nAS_IOB_I,nAS_IOB,!C16M,1'b0,1'b0);
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;assign nAS_IOB = ((!iobm/IOS_FSM_FFd3 && !iobm/IOS_FSM_FFd2) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;assign nAS_IOB = ((!iobm/IOS_FSM_FFd3 && !iobm/IOS_FSM_FFd2)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (iobm/IOS_FSM_FFd1 && !iobm/IOS_FSM_FFd2)); <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (iobm/IOS_FSM_FFd1 && !iobm/IOS_FSM_FFd2));
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;assign nAS_IOB = nAS_IOB_OE ? nAS_IOB_I : 1'bZ; <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;assign nAS_IOB = nAS_IOB_OE ? nAS_IOB_I : 1'bZ;
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;assign nAS_IOB_OE = nAoutOE; <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;assign nAS_IOB_OE = !nAoutOE;
</td></tr><tr><td> </td></tr><tr><td>
FDCPE FDCPE_nAoutOE (nAoutOE,nAoutOE_D,!C8M,1'b0,1'b0); FDCPE FDCPE_nAoutOE (nAoutOE,nAoutOE_D,!C8M,1'b0,1'b0);
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;assign nAoutOE_D = (!nBR_IOB && cnt/PORS_FSM_FFd1 && !cnt/PORS_FSM_FFd2); <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;assign nAoutOE_D = (!nBR_IOB && cnt/PORS_FSM_FFd1 && !cnt/PORS_FSM_FFd2);
</td></tr><tr><td> </td></tr><tr><td>
FTCPE FTCPE_nBERR_FSB (nBERR_FSB,nBERR_FSB_T,FCLK,1'b0,1'b0); FTCPE FTCPE_nBERR_FSB (nBERR_FSB,nBERR_FSB_T,FCLK,1'b0,1'b0);
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;assign nBERR_FSB_T = ((nAS_FSB && !nBERR_FSB && !fsb/ASrf) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;assign nBERR_FSB_T = ((iobs/Once && !nBERR_FSB && !iobs/PS_FSM_FFd2 &&
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (iobs/Once && !nBERR_FSB && !iobs/PS_FSM_FFd2 &&
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; !iobs/IOACTr && !iobm/IOBERR && nADoutLE1) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; !iobs/IOACTr && !iobm/IOBERR && nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (iobs/Once && !nAS_FSB && nBERR_FSB && <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (iobs/Once && !nAS_FSB && nBERR_FSB &&
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; !iobs/PS_FSM_FFd2 && !iobs/IOACTr && iobm/IOBERR && nADoutLE1) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; !iobs/PS_FSM_FFd2 && !iobs/IOACTr && iobm/IOBERR && nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (iobs/Once && nBERR_FSB && !iobs/PS_FSM_FFd2 && <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (iobs/Once && nBERR_FSB && !iobs/PS_FSM_FFd2 &&
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; !iobs/IOACTr && iobm/IOBERR && fsb/ASrf && nADoutLE1)); <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; !iobs/IOACTr && iobm/IOBERR && fsb/ASrf && nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (nAS_FSB && !nBERR_FSB && !fsb/ASrf));
</td></tr><tr><td> </td></tr><tr><td>
FTCPE FTCPE_nBR_IOB (nBR_IOB,nBR_IOB_T,!C8M,1'b0,1'b0); FTCPE FTCPE_nBR_IOB (nBR_IOB,nBR_IOB_T,!C8M,1'b0,1'b0);
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;assign nBR_IOB_T = ((nBR_IOB && !cnt/PORS_FSM_FFd1 && !cnt/PORS_FSM_FFd2) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;assign nBR_IOB_T = ((nBR_IOB && !cnt/PORS_FSM_FFd1 && !cnt/PORS_FSM_FFd2)
@ -687,38 +656,35 @@ FTCPE FTCPE_nBR_IOB (nBR_IOB,nBR_IOB_T,!C8M,1'b0,1'b0);
FDCPE FDCPE_nCAS (nCAS,!ram/RASEL,!FCLK,1'b0,1'b0); FDCPE FDCPE_nCAS (nCAS,!ram/RASEL,!FCLK,1'b0,1'b0);
</td></tr><tr><td> </td></tr><tr><td>
FDCPE FDCPE_nDTACK_FSB (nDTACK_FSB,nDTACK_FSB_D,FCLK,1'b0,1'b0); FDCPE FDCPE_nDTACK_FSB (nDTACK_FSB,nDTACK_FSB_D,FCLK,1'b0,1'b0);
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;assign nDTACK_FSB_D = ((iobs/IOReady.EXP) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;assign nDTACK_FSB_D = ((iobs/IOREQ.EXP)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (nAS_FSB && !fsb/ASrf)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (A_FSB[23] && !fsb/Ready1r && !iobs/IOReady &&
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; nDTACK_FSB)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (A_FSB[22] && A_FSB[21] && !fsb/Ready1r &&
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; !iobs/IOReady && nDTACK_FSB)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (A_FSB[22] && A_FSB[20] && !fsb/Ready1r &&
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; !iobs/IOReady && nDTACK_FSB)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (!A_FSB[23] && !A_FSB[22] && cs/nOverlay1 && <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (!A_FSB[23] && !A_FSB[22] && cs/nOverlay1 &&
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; !fsb/Ready0r && nDTACK_FSB && !ram/RAMReady) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; !fsb/Ready0r && nDTACK_FSB && !ram/RAMReady)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (A_FSB[22] && !A_FSB[21] && A_FSB[20] && !fsb/Ready1r &&
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; !iobs/IOReady && nDTACK_FSB)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (!A_FSB[23] && A_FSB[22] && A_FSB[21] && <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (!A_FSB[23] && A_FSB[22] && A_FSB[21] &&
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; !cs/nOverlay1 && !fsb/Ready0r && nDTACK_FSB && !ram/RAMReady) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; !cs/nOverlay1 && !fsb/Ready0r && nDTACK_FSB && !ram/RAMReady)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (A_FSB[14] && A_FSB[22] && A_FSB[20] && A_FSB[19] && <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (A_FSB[14] && A_FSB[21] && A_FSB[20] && A_FSB[19] &&
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && !cs/nOverlay1 && <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && cs/nOverlay1 &&
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; !fsb/Ready1r && !iobs/IOReady && nDTACK_FSB) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; !fsb/Ready1r && !iobs/IOReady && nDTACK_FSB && !nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (A_FSB[13] && A_FSB[22] && A_FSB[20] && A_FSB[19] && <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (A_FSB[13] && A_FSB[21] && A_FSB[20] && A_FSB[19] &&
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && !cs/nOverlay1 && <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && cs/nOverlay1 &&
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; !fsb/Ready1r && !iobs/IOReady && nDTACK_FSB) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; !fsb/Ready1r && !iobs/IOReady && nDTACK_FSB && !nADoutLE1));
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (A_FSB[14] && !A_FSB[22] && A_FSB[21] && A_FSB[20] &&
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB[19] && A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB &&
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; cs/nOverlay1 && !fsb/Ready1r && !iobs/IOReady && nDTACK_FSB &&
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; !nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (A_FSB[13] && !A_FSB[22] && A_FSB[21] && A_FSB[20] &&
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB[19] && A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB &&
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; cs/nOverlay1 && !fsb/Ready1r && !iobs/IOReady && nDTACK_FSB &&
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; !nADoutLE1));
</td></tr><tr><td> </td></tr><tr><td>
FDCPE FDCPE_nDinLE (nDinLE,nDinLE_D,!C16M,1'b0,1'b0); FDCPE FDCPE_nDinLE (nDinLE,nDinLE_D,!C16M,1'b0,1'b0);
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;assign nDinLE_D = (iobm/IOS_FSM_FFd1 && iobm/IOS_FSM_FFd2); <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;assign nDinLE_D = (iobm/IOS_FSM_FFd1 && iobm/IOS_FSM_FFd2);
</td></tr><tr><td> </td></tr><tr><td>
</td></tr><tr><td> </td></tr><tr><td>
assign nDinOE = ((A_FSB[23] && nWE_FSB && !nAS_FSB) assign nDinOE = ((A_FSB[23] && nWE_FSB && !nAS_FSB)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (A_FSB[22] && !A_FSB[21] && A_FSB[20] && nWE_FSB && <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (A_FSB[22] && A_FSB[21] && nWE_FSB && !nAS_FSB)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; !nAS_FSB)); <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (A_FSB[22] && A_FSB[20] && nWE_FSB && !nAS_FSB));
</td></tr><tr><td> </td></tr><tr><td>
</td></tr><tr><td> </td></tr><tr><td>
assign nDoutOE = !((!nAoutOE && iobm/DoutOE)); assign nDoutOE = !((iobm/DoutOE && !nAoutOE));
</td></tr><tr><td> </td></tr><tr><td>
FDCPE FDCPE_nLDS_IOB (nLDS_IOB_I,nLDS_IOB,!C16M,1'b0,1'b0); FDCPE FDCPE_nLDS_IOB (nLDS_IOB_I,nLDS_IOB,!C16M,1'b0,1'b0);
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;assign nLDS_IOB = ((iobs/IOL0 && !iobm/IOS_FSM_FFd3 && <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;assign nLDS_IOB = ((iobs/IOL0 && !iobm/IOS_FSM_FFd3 &&
@ -728,7 +694,7 @@ FDCPE FDCPE_nLDS_IOB (nLDS_IOB_I,nLDS_IOB,!C16M,1'b0,1'b0);
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (!iobs/IORW0 && iobs/IOL0 && iobm/IOS_FSM_FFd3 && <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (!iobs/IORW0 && iobs/IOL0 && iobm/IOS_FSM_FFd3 &&
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; !iobm/IOS_FSM_FFd1)); <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; !iobm/IOS_FSM_FFd1));
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;assign nLDS_IOB = nLDS_IOB_OE ? nLDS_IOB_I : 1'bZ; <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;assign nLDS_IOB = nLDS_IOB_OE ? nLDS_IOB_I : 1'bZ;
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;assign nLDS_IOB_OE = nAoutOE; <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;assign nLDS_IOB_OE = !nAoutOE;
</td></tr><tr><td> </td></tr><tr><td>
</td></tr><tr><td> </td></tr><tr><td>
assign nOE = !((nWE_FSB && !nAS_FSB)); assign nOE = !((nWE_FSB && !nAS_FSB));
@ -767,7 +733,7 @@ FDCPE FDCPE_nUDS_IOB (nUDS_IOB_I,nUDS_IOB,!C16M,1'b0,1'b0);
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (!iobs/IORW0 && iobs/IOU0 && iobm/IOS_FSM_FFd3 && <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (!iobs/IORW0 && iobs/IOU0 && iobm/IOS_FSM_FFd3 &&
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; !iobm/IOS_FSM_FFd1)); <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; !iobm/IOS_FSM_FFd1));
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;assign nUDS_IOB = nUDS_IOB_OE ? nUDS_IOB_I : 1'bZ; <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;assign nUDS_IOB = nUDS_IOB_OE ? nUDS_IOB_I : 1'bZ;
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;assign nUDS_IOB_OE = nAoutOE; <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;assign nUDS_IOB_OE = !nAoutOE;
</td></tr><tr><td> </td></tr><tr><td>
FTCPE FTCPE_nVMA_IOB (nVMA_IOB_I,nVMA_IOB_T,C16M,1'b0,1'b0); FTCPE FTCPE_nVMA_IOB (nVMA_IOB_I,nVMA_IOB_T,C16M,1'b0,1'b0);
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;assign nVMA_IOB_T = ((!nVMA_IOB && !iobm/ES[0] && !iobm/ES[1] && !iobm/ES[2] && <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;assign nVMA_IOB_T = ((!nVMA_IOB && !iobm/ES[0] && !iobm/ES[1] && !iobm/ES[2] &&
@ -776,7 +742,7 @@ FTCPE FTCPE_nVMA_IOB (nVMA_IOB_I,nVMA_IOB_T,C16M,1'b0,1'b0);
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; !iobm/ES[3] && !iobm/ES[4] && iobm/IOACT && iobm/VPArf && <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; !iobm/ES[3] && !iobm/ES[4] && iobm/IOACT && iobm/VPArf &&
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; iobm/VPArr)); <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; iobm/VPArr));
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;assign nVMA_IOB = nVMA_IOB_OE ? nVMA_IOB_I : 1'bZ; <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;assign nVMA_IOB = nVMA_IOB_OE ? nVMA_IOB_I : 1'bZ;
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;assign nVMA_IOB_OE = nAoutOE; <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;assign nVMA_IOB_OE = !nAoutOE;
</td></tr><tr><td> </td></tr><tr><td>
</td></tr><tr><td> </td></tr><tr><td>
assign nVPA_FSB = !((fsb/VPA && !nAS_FSB)); assign nVPA_FSB = !((fsb/VPA && !nAS_FSB));
@ -851,7 +817,11 @@ FDCPE FDCPE_ram/RASEL (ram/RASEL,ram/RASEL_D,FCLK,1'b0,1'b0);
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; !ram/RS_FSM_FFd3 && !fsb/ASrf)); <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; !ram/RS_FSM_FFd3 && !fsb/ASrf));
</td></tr><tr><td> </td></tr><tr><td>
FDCPE FDCPE_ram/RS_FSM_FFd1 (ram/RS_FSM_FFd1,ram/RS_FSM_FFd1_D,FCLK,1'b0,1'b0); FDCPE FDCPE_ram/RS_FSM_FFd1 (ram/RS_FSM_FFd1,ram/RS_FSM_FFd1_D,FCLK,1'b0,1'b0);
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;assign ram/RS_FSM_FFd1_D = ((!A_FSB[23] && !A_FSB[22] && cs/nOverlay1 && <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;assign ram/RS_FSM_FFd1_D = ((ram/RS_FSM_FFd1 && ram/RefUrgent &&
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; !ram/RS_FSM_FFd3 && fsb/ASrf)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (!A_FSB[23] && !A_FSB[22] && cs/nOverlay1 && !nAS_FSB &&
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; !ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd2 && ram/RefUrgent && !ram/RAMEN)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (!A_FSB[23] && !A_FSB[22] && cs/nOverlay1 &&
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; !ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd2 && ram/RefUrgent && !ram/RAMEN && <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; !ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd2 && ram/RefUrgent && !ram/RAMEN &&
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; fsb/ASrf) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; fsb/ASrf)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (!A_FSB[23] && A_FSB[22] && A_FSB[21] && <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (!A_FSB[23] && A_FSB[22] && A_FSB[21] &&
@ -863,11 +833,7 @@ FDCPE FDCPE_ram/RS_FSM_FFd1 (ram/RS_FSM_FFd1,ram/RS_FSM_FFd1_D,FCLK,1'b0,1'b0);
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (ram/RS_FSM_FFd1 && ram/RS_FSM_FFd2) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (ram/RS_FSM_FFd1 && ram/RS_FSM_FFd2)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (!ram/RS_FSM_FFd1 && ram/RS_FSM_FFd3) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (!ram/RS_FSM_FFd1 && ram/RS_FSM_FFd3)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (!nAS_FSB && ram/RS_FSM_FFd1 && ram/RefUrgent && <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (!nAS_FSB && ram/RS_FSM_FFd1 && ram/RefUrgent &&
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; !ram/RS_FSM_FFd3) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; !ram/RS_FSM_FFd3));
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (ram/RS_FSM_FFd1 && ram/RefUrgent &&
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; !ram/RS_FSM_FFd3 && fsb/ASrf)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (!A_FSB[23] && !A_FSB[22] && cs/nOverlay1 && !nAS_FSB &&
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; !ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd2 && ram/RefUrgent && !ram/RAMEN));
</td></tr><tr><td> </td></tr><tr><td>
FDCPE FDCPE_ram/RS_FSM_FFd2 (ram/RS_FSM_FFd2,ram/RS_FSM_FFd2_D,FCLK,1'b0,1'b0); FDCPE FDCPE_ram/RS_FSM_FFd2 (ram/RS_FSM_FFd2,ram/RS_FSM_FFd2_D,FCLK,1'b0,1'b0);
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;assign ram/RS_FSM_FFd2_D = ((nAS_FSB && !ram/RS_FSM_FFd2 && !ram/RefUrgent && <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;assign ram/RS_FSM_FFd2_D = ((nAS_FSB && !ram/RS_FSM_FFd2 && !ram/RefUrgent &&
@ -889,16 +855,13 @@ FDCPE FDCPE_ram/RS_FSM_FFd2 (ram/RS_FSM_FFd2,ram/RS_FSM_FFd2_D,FCLK,1'b0,1'b0);
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; !ram/RS_FSM_FFd3 && !ram/RefReq)); <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; !ram/RS_FSM_FFd3 && !ram/RefReq));
</td></tr><tr><td> </td></tr><tr><td>
FTCPE FTCPE_ram/RS_FSM_FFd3 (ram/RS_FSM_FFd3,ram/RS_FSM_FFd3_T,FCLK,1'b0,1'b0); FTCPE FTCPE_ram/RS_FSM_FFd3 (ram/RS_FSM_FFd3,ram/RS_FSM_FFd3_T,FCLK,1'b0,1'b0);
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;assign ram/RS_FSM_FFd3_T = ((ram/RS_FSM_FFd1 && ram/RS_FSM_FFd2 && <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;assign ram/RS_FSM_FFd3_T = ((ram/RS_FSM_FFd1.EXP)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; !ram/RS_FSM_FFd3) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (!ram/RS_FSM_FFd1 && ram/RS_FSM_FFd2 &&
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (ram/RS_FSM_FFd1 && !ram/RefUrgent && <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; ram/RS_FSM_FFd3)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; !ram/RS_FSM_FFd3)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (A_FSB[23] && !ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd2 && <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (A_FSB[23] && !ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd2 &&
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; !ram/RS_FSM_FFd3) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; !ram/RS_FSM_FFd3)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (nAS_FSB && !ram/RS_FSM_FFd2 && !ram/RS_FSM_FFd3 && <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (nAS_FSB && !ram/RS_FSM_FFd2 && !ram/RS_FSM_FFd3 &&
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; !fsb/ASrf) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; !fsb/ASrf)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (!ram/RS_FSM_FFd1 && ram/RS_FSM_FFd2 &&
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; ram/RS_FSM_FFd3)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (!ram/RS_FSM_FFd2 && !ram/RefUrgent && <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (!ram/RS_FSM_FFd2 && !ram/RefUrgent &&
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; !ram/RS_FSM_FFd3 && !ram/RAMEN) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; !ram/RS_FSM_FFd3 && !ram/RAMEN)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (A_FSB[22] && !A_FSB[21] && !ram/RS_FSM_FFd1 && <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; || (A_FSB[22] && !A_FSB[21] && !ram/RS_FSM_FFd1 &&

File diff suppressed because it is too large Load Diff

View File

@ -5,11 +5,12 @@
</head> </head>
<body class="pgBgnd" id="XC95144XL"> <body class="pgBgnd" id="XC95144XL">
<span id="error" class="pgRef"><h3 align="center">Errors and Warnings</h3> <span id="error" class="pgRef"><h3 align="center">Errors and Warnings</h3>
<b>There are 0 error(s), 4 warning(s), and 0 information.</b><br><br><table width="90%" border="1" cellpadding="0" cellspacing="0"> <b>There are 0 error(s), 5 warning(s), and 0 information.</b><br><br><table width="90%" border="1" cellpadding="0" cellspacing="0">
<tr><td>[Warning]:Cpld - Unable to retrieve the path to the iSE Project Repository. Will use the default filename of 'WarpSE.ise'.INFO:Cpld - Inferring BUFG constraint for signal 'C16M' based upon the LOC constraint 'P22'. It is recommended that you declare this BUFG explicitedly in your design. Note that for certain device families the output of a BUFG constraint can not drive a gated clock, and the BUFG constraint will be ignored.INFO:Cpld - Inferring BUFG constraint for signal 'C8M' based upon the LOC constraint 'P23'. It is recommended that you declare this BUFG explicitedly in your design. Note that for certain device families the output of a BUFG constraint can not drive a gated clock, and the BUFG constraint will be ignored.INFO:Cpld - Inferring BUFG constraint for signal 'FCLK' based upon the LOC constraint 'P27'. It is recommended that you declare this BUFG explicitedly in your design. Note that for certain device families the output of a BUFG constraint can not drive a gated clock, and the BUFG constraint will be ignored.</td></tr> <tr><td>[Warning]:Cpld - Unable to retrieve the path to the iSE Project Repository. Will use the default filename of 'WarpSE.ise'.INFO:Cpld - Inferring BUFG constraint for signal 'C16M' based upon the LOC constraint 'P22'. It is recommended that you declare this BUFG explicitedly in your design. Note that for certain device families the output of a BUFG constraint can not drive a gated clock, and the BUFG constraint will be ignored.INFO:Cpld - Inferring BUFG constraint for signal 'C8M' based upon the LOC constraint 'P23'. It is recommended that you declare this BUFG explicitedly in your design. Note that for certain device families the output of a BUFG constraint can not drive a gated clock, and the BUFG constraint will be ignored.INFO:Cpld - Inferring BUFG constraint for signal 'FCLK' based upon the LOC constraint 'P27'. It is recommended that you declare this BUFG explicitedly in your design. Note that for certain device families the output of a BUFG constraint can not drive a gated clock, and the BUFG constraint will be ignored.</td></tr>
<tr><td>[Warning]:Cpld:1007 - Removing unused input(s) 'SW&lt;1&gt;'. The input(s) are unused after optimization. Please verify functionality via simulation.</td></tr> <tr><td>[Warning]:Cpld:1007 - Removing unused input(s) 'SW&lt;1&gt;'. The input(s) are unused after optimization. Please verify functionality via simulation.</td></tr>
<tr><td>[Warning]:Cpld:1007 - Removing unused input(s) 'SW&lt;2&gt;'. The input(s) are unused after optimization. Please verify functionality via simulation.</td></tr> <tr><td>[Warning]:Cpld:1007 - Removing unused input(s) 'SW&lt;2&gt;'. The input(s) are unused after optimization. Please verify functionality via simulation.</td></tr>
<tr><td>[Warning]:Cpld:1007 - Removing unused input(s) 'SW&lt;3&gt;'. The input(s) are unused after optimization. Please verify functionality via simulation.</td></tr> <tr><td>[Warning]:Cpld:1007 - Removing unused input(s) 'SW&lt;3&gt;'. The input(s) are unused after optimization. Please verify functionality via simulation.</td></tr>
<tr><td>[Warning]:Cpld:1007 - Removing unused input(s) 'nBG_IOB'. The input(s) are unused after optimization. Please verify functionality via simulation.</td></tr>
</table></span><form><span class="pgRef"><table width="90%" align="center"><tr> </table></span><form><span class="pgRef"><table width="90%" align="center"><tr>
<td align="left"><input type="button" onclick="javascript:parent.leftnav.showTop()" onmouseover="window.status='goto top of page'; return true;" onmouseout="window.status=''" value="back to top"></td> <td align="left"><input type="button" onclick="javascript:parent.leftnav.showTop()" onmouseover="window.status='goto top of page'; return true;" onmouseout="window.status=''" value="back to top"></td>
<td align="right"><input type="button" onclick="window.print()" onmouseover="window.status='print page'; return true;" onmouseout="window.status=''" value="print page"></td> <td align="right"><input type="button" onclick="window.print()" onmouseover="window.status='print page'; return true;" onmouseout="window.status=''" value="print page"></td>

View File

@ -16,43 +16,43 @@
<tr> <tr>
<td align="center"><a href="javascript:showFBDetail('FB1');" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB1</a></td> <td align="center"><a href="javascript:showFBDetail('FB1');" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB1</a></td>
<td align="center">18 / 18</td> <td align="center">18 / 18</td>
<td align="center">25 / 54</td> <td align="center">28 / 54</td>
<td align="center">26 / 90</td> <td align="center">39 / 90</td>
<td align="center">10 / 11</td> <td align="center">10 / 11</td>
</tr> </tr>
<tr> <tr>
<td align="center"><a href="javascript:showFBDetail('FB2');" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB2</a></td> <td align="center"><a href="javascript:showFBDetail('FB2');" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB2</a></td>
<td align="center">12 / 18</td> <td align="center">10 / 18</td>
<td align="center">16 / 54</td> <td align="center">8 / 54</td>
<td align="center">15 / 90</td> <td align="center">11 / 90</td>
<td align="center">8 / 10</td> <td align="center">8 / 10</td>
</tr> </tr>
<tr> <tr>
<td align="center"><a href="javascript:showFBDetail('FB3');" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB3</a></td> <td align="center"><a href="javascript:showFBDetail('FB3');" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB3</a></td>
<td align="center">9 / 18</td> <td align="center">7 / 18</td>
<td align="center">34 / 54</td> <td align="center">33 / 54</td>
<td align="center">81 / 90</td> <td align="center">81 / 90</td>
<td align="center">9 / 10</td> <td align="center">9 / 10</td>
</tr> </tr>
<tr> <tr>
<td align="center"><a href="javascript:showFBDetail('FB4');" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB4</a></td> <td align="center"><a href="javascript:showFBDetail('FB4');" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB4</a></td>
<td align="center">18 / 18</td> <td align="center">17 / 18</td>
<td align="center">24 / 54</td> <td align="center">34 / 54</td>
<td align="center">44 / 90</td> <td align="center">40 / 90</td>
<td align="center">10 / 10</td> <td align="center">10 / 10</td>
</tr> </tr>
<tr> <tr>
<td align="center"><a href="javascript:showFBDetail('FB5');" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB5</a></td> <td align="center"><a href="javascript:showFBDetail('FB5');" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB5</a></td>
<td align="center">16 / 18</td> <td align="center">16 / 18</td>
<td align="center">30 / 54</td> <td align="center">32 / 54</td>
<td align="center">77 / 90</td> <td align="center">72 / 90</td>
<td align="center">8 / 10</td> <td align="center">8 / 10</td>
</tr> </tr>
<tr> <tr>
<td align="center"><a href="javascript:showFBDetail('FB6');" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB6</a></td> <td align="center"><a href="javascript:showFBDetail('FB6');" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB6</a></td>
<td align="center">18 / 18</td> <td align="center">18 / 18</td>
<td align="center">34 / 54</td> <td align="center">32 / 54</td>
<td align="center">61 / 90</td> <td align="center">55 / 90</td>
<td align="center">10 / 10</td> <td align="center">10 / 10</td>
</tr> </tr>
<tr> <tr>
@ -64,10 +64,10 @@
</tr> </tr>
<tr> <tr>
<td align="center"><a href="javascript:showFBDetail('FB8');" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB8</a></td> <td align="center"><a href="javascript:showFBDetail('FB8');" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB8</a></td>
<td align="center">12 / 18</td> <td align="center">15 / 18</td>
<td align="center">34 / 54</td> <td align="center">33 / 54</td>
<td align="center">81 / 90</td> <td align="center">75 / 90</td>
<td align="center">7 / 10</td> <td align="center">6 / 10</td>
</tr> </tr>
</table></span><form><span class="pgRef"><table width="90%" align="center"><tr> </table></span><form><span class="pgRef"><table width="90%" align="center"><tr>
<td align="left"><input type="button" onclick="javascript:parent.leftnav.showTop()" onmouseover="window.status='goto top of page'; return true;" onmouseout="window.status=''" value="back to top"></td> <td align="left"><input type="button" onclick="javascript:parent.leftnav.showTop()" onmouseover="window.status='goto top of page'; return true;" onmouseout="window.status=''" value="back to top"></td>

View File

@ -27,7 +27,7 @@
<th width="10%">Pin Use</th> <th width="10%">Pin Use</th>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('iobmnASr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/nASr</a></td> <td align="center" width="10%"><a href="Javascript:showEqn('ramRegUrgentSync_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RegUrgentSync</a></td>
<td align="center" width="10%">1</td> <td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB1_1_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_1</a> <td align="center" width="30%"> <a href="Javascript:showPT('FB1_1_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_1</a>
</td> </td>
@ -38,7 +38,7 @@
<td align="center" width="10%">(b)</td> <td align="center" width="10%">(b)</td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('iobmVPArr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/VPArr</a></td> <td align="center" width="10%"><a href="Javascript:showEqn('ramRefUrgent_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RefUrgent</a></td>
<td align="center" width="10%">1</td> <td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB1_2_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_1</a> <td align="center" width="30%"> <a href="Javascript:showPT('FB1_2_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_1</a>
</td> </td>
@ -49,7 +49,7 @@
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB&lt;13&gt;'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td> <td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB&lt;13&gt;'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('iobmVPArf_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/VPArf</a></td> <td align="center" width="10%"><a href="Javascript:showEqn('ramRefReqSync_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RefReqSync</a></td>
<td align="center" width="10%">1</td> <td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB1_3_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_1</a> <td align="center" width="30%"> <a href="Javascript:showPT('FB1_3_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_1</a>
</td> </td>
@ -60,7 +60,7 @@
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB&lt;14&gt;'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td> <td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB&lt;14&gt;'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('iobmRESrr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/RESrr</a></td> <td align="center" width="10%"><a href="Javascript:showEqn('ramRefReq_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RefReq</a></td>
<td align="center" width="10%">1</td> <td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB1_4_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">4_1</a> <td align="center" width="30%"> <a href="Javascript:showPT('FB1_4_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">4_1</a>
</td> </td>
@ -71,7 +71,7 @@
<td align="center" width="10%">(b)</td> <td align="center" width="10%">(b)</td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('iobmRESrf_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/RESrf</a></td> <td align="center" width="10%"><a href="Javascript:showEqn('ramRefRAS_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RefRAS</a></td>
<td align="center" width="10%">1</td> <td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB1_5_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">5_1</a> <td align="center" width="30%"> <a href="Javascript:showPT('FB1_5_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">5_1</a>
</td> </td>
@ -82,7 +82,7 @@
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB&lt;15&gt;'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td> <td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB&lt;15&gt;'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('iobmIOREQr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOREQr</a></td> <td align="center" width="10%"><a href="Javascript:showEqn('iobsIOACTr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/IOACTr</a></td>
<td align="center" width="10%">1</td> <td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB1_6_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">6_1</a> <td align="center" width="30%"> <a href="Javascript:showPT('FB1_6_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">6_1</a>
</td> </td>
@ -93,7 +93,7 @@
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB&lt;16&gt;'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td> <td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB&lt;16&gt;'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('iobmEr2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/Er2</a></td> <td align="center" width="10%"><a href="Javascript:showEqn('fsbASrf_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">fsb/ASrf</a></td>
<td align="center" width="10%">1</td> <td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB1_7_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_1</a> <td align="center" width="30%"> <a href="Javascript:showPT('FB1_7_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_1</a>
</td> </td>
@ -104,9 +104,9 @@
<td align="center" width="10%">(b)</td> <td align="center" width="10%">(b)</td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('iobmEr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/Er</a></td> <td align="center" width="10%"><a href="Javascript:showEqn('iobsIOU1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/IOU1</a></td>
<td align="center" width="10%">1</td> <td align="center" width="10%">2</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB1_8_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_1</a> <td align="center" width="30%"> <a href="Javascript:showPT('FB1_8_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_1</a> <a href="Javascript:showPT('FB1_8_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_2</a>
</td> </td>
<td align="center" width="10%">MC8</td> <td align="center" width="10%">MC8</td>
<td align="center" width="10%">STD</td> <td align="center" width="10%">STD</td>
@ -115,9 +115,9 @@
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB&lt;17&gt;'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td> <td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB&lt;17&gt;'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('iobmDTACKrr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/DTACKrr</a></td> <td align="center" width="10%"><a href="Javascript:showEqn('iobsIOL1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/IOL1</a></td>
<td align="center" width="10%">1</td> <td align="center" width="10%">2</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB1_9_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_1</a> <td align="center" width="30%"> <a href="Javascript:showPT('FB1_9_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_1</a> <a href="Javascript:showPT('FB1_9_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_2</a>
</td> </td>
<td align="center" width="10%">MC9</td> <td align="center" width="10%">MC9</td>
<td align="center" width="10%">STD</td> <td align="center" width="10%">STD</td>
@ -126,9 +126,9 @@
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB&lt;18&gt;'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td> <td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB&lt;18&gt;'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('iobmDTACKrf_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/DTACKrf</a></td> <td align="center" width="10%"><a href="Javascript:showEqn('cntTimerTC_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/TimerTC</a></td>
<td align="center" width="10%">1</td> <td align="center" width="10%">2</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB1_10_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_1</a> <td align="center" width="30%"> <a href="Javascript:showPT('FB1_10_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_1</a> <a href="Javascript:showPT('FB1_10_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_2</a>
</td> </td>
<td align="center" width="10%">MC10</td> <td align="center" width="10%">MC10</td>
<td align="center" width="10%">STD</td> <td align="center" width="10%">STD</td>
@ -137,9 +137,9 @@
<td align="center" width="10%">(b)</td> <td align="center" width="10%">(b)</td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('iobmBERRrr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/BERRrr</a></td> <td align="center" width="10%"><a href="Javascript:showEqn('iobsIOU0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/IOU0</a></td>
<td align="center" width="10%">1</td> <td align="center" width="10%">3</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB1_11_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">11_1</a> <td align="center" width="30%"> <a href="Javascript:showPT('FB1_11_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">11_1</a> <a href="Javascript:showPT('FB1_11_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">11_2</a> <a href="Javascript:showPT('FB1_11_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">11_3</a>
</td> </td>
<td align="center" width="10%">MC11</td> <td align="center" width="10%">MC11</td>
<td align="center" width="10%">STD</td> <td align="center" width="10%">STD</td>
@ -148,9 +148,9 @@
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB&lt;19&gt;'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td> <td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB&lt;19&gt;'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('iobmBERRrf_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/BERRrf</a></td> <td align="center" width="10%"><a href="Javascript:showEqn('iobsIOL0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/IOL0</a></td>
<td align="center" width="10%">1</td> <td align="center" width="10%">3</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB1_12_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_1</a> <td align="center" width="30%"> <a href="Javascript:showPT('FB1_12_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_1</a> <a href="Javascript:showPT('FB1_12_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_2</a> <a href="Javascript:showPT('FB1_12_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_3</a>
</td> </td>
<td align="center" width="10%">MC12</td> <td align="center" width="10%">MC12</td>
<td align="center" width="10%">STD</td> <td align="center" width="10%">STD</td>
@ -159,9 +159,9 @@
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB&lt;20&gt;'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td> <td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB&lt;20&gt;'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('cntIPL2r_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/IPL2r</a></td> <td align="center" width="10%"><a href="Javascript:showEqn('cntTimer3_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/Timer&lt;3&gt;</a></td>
<td align="center" width="10%">1</td> <td align="center" width="10%">3</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB1_13_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_1</a> <td align="center" width="30%"> <a href="Javascript:showPT('FB1_13_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_1</a> <a href="Javascript:showPT('FB1_13_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_2</a> <a href="Javascript:showPT('FB1_13_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_3</a>
</td> </td>
<td align="center" width="10%">MC13</td> <td align="center" width="10%">MC13</td>
<td align="center" width="10%">STD</td> <td align="center" width="10%">STD</td>
@ -170,9 +170,9 @@
<td align="center" width="10%">(b)</td> <td align="center" width="10%">(b)</td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('iobmIOS_FSM_FFd1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOS_FSM_FFd1</a></td> <td align="center" width="10%"><a href="Javascript:showEqn('cntTimer2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/Timer&lt;2&gt;</a></td>
<td align="center" width="10%">2</td> <td align="center" width="10%">3</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB1_14_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_1</a> <a href="Javascript:showPT('FB1_14_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_2</a> <td align="center" width="30%"> <a href="Javascript:showPT('FB1_14_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_1</a> <a href="Javascript:showPT('FB1_14_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_2</a> <a href="Javascript:showPT('FB1_14_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_3</a>
</td> </td>
<td align="center" width="10%">MC14</td> <td align="center" width="10%">MC14</td>
<td align="center" width="10%">STD</td> <td align="center" width="10%">STD</td>
@ -181,9 +181,9 @@
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB&lt;21&gt;'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td> <td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB&lt;21&gt;'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('iobmBG_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/BG</a></td> <td align="center" width="10%"><a href="Javascript:showEqn('cntTimer1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/Timer&lt;1&gt;</a></td>
<td align="center" width="10%">2</td> <td align="center" width="10%">3</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB1_15_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_1</a> <a href="Javascript:showPT('FB1_15_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_2</a> <td align="center" width="30%"> <a href="Javascript:showPT('FB1_15_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_1</a> <a href="Javascript:showPT('FB1_15_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_2</a> <a href="Javascript:showPT('FB1_15_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_3</a>
</td> </td>
<td align="center" width="10%">MC15</td> <td align="center" width="10%">MC15</td>
<td align="center" width="10%">STD</td> <td align="center" width="10%">STD</td>
@ -192,7 +192,7 @@
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB&lt;22&gt;'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td> <td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB&lt;22&gt;'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('iobsIOU0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/IOU0</a></td> <td align="center" width="10%"><a href="Javascript:showEqn('cntRefUrgent_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/RefUrgent</a></td>
<td align="center" width="10%">3</td> <td align="center" width="10%">3</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB1_16_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_1</a> <a href="Javascript:showPT('FB1_16_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_2</a> <a href="Javascript:showPT('FB1_16_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_3</a> <td align="center" width="30%"> <a href="Javascript:showPT('FB1_16_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_1</a> <a href="Javascript:showPT('FB1_16_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_2</a> <a href="Javascript:showPT('FB1_16_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_3</a>
</td> </td>
@ -203,9 +203,9 @@
<td align="center" width="10%">(b)</td> <td align="center" width="10%">(b)</td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('iobsIOL0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/IOL0</a></td> <td align="center" width="10%"><a href="Javascript:showEqn('iobsIOReady_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/IOReady</a></td>
<td align="center" width="10%">3</td> <td align="center" width="10%">4</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB1_17_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_1</a> <a href="Javascript:showPT('FB1_17_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_2</a> <a href="Javascript:showPT('FB1_17_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_3</a> <td align="center" width="30%"> <a href="Javascript:showPT('FB1_17_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_1</a> <a href="Javascript:showPT('FB1_17_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_2</a> <a href="Javascript:showPT('FB1_17_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_3</a> <a href="Javascript:showPT('FB1_17_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_4</a>
</td> </td>
<td align="center" width="10%">MC17</td> <td align="center" width="10%">MC17</td>
<td align="center" width="10%">STD</td> <td align="center" width="10%">STD</td>
@ -214,9 +214,9 @@
<td align="center" width="10%">GCK</td> <td align="center" width="10%">GCK</td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('iobmIOS_FSM_FFd3_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOS_FSM_FFd3</a></td> <td align="center" width="10%"><a href="Javascript:showEqn('cntRefReq_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/RefReq</a></td>
<td align="center" width="10%">3</td> <td align="center" width="10%">4</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB1_18_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_1</a> <a href="Javascript:showPT('FB1_18_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_2</a> <a href="Javascript:showPT('FB1_18_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_3</a> <td align="center" width="30%"> <a href="Javascript:showPT('FB1_18_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_1</a> <a href="Javascript:showPT('FB1_18_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_2</a> <a href="Javascript:showPT('FB1_18_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_3</a> <a href="Javascript:showPT('FB1_18_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_4</a>
</td> </td>
<td align="center" width="10%">MC18</td> <td align="center" width="10%">MC18</td>
<td align="center" width="10%">STD</td> <td align="center" width="10%">STD</td>
@ -227,31 +227,34 @@
</table></span></div> </table></span></div>
<div id="tipBox"></div> <div id="tipBox"></div>
<br><span id="fbsiguse" class="pgRef"><b>Signals Used By Logic in Function Block</b><br><ol> <br><span id="fbsiguse" class="pgRef"><b>Signals Used By Logic in Function Block</b><br><ol>
<li>C8M</li>
<li>E</li> <li>E</li>
<li>nRES.PIN</li> <li><a href="Javascript:showEqn('cntRefReq_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/RefReq</a></li>
<li><a href="Javascript:showEqn('iobmBG_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/BG</a></li> <li><a href="Javascript:showEqn('cntRefUrgent_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/RefUrgent</a></li>
<li><a href="Javascript:showEqn('iobmEr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/Er</a></li> <li><a href="Javascript:showEqn('cntTimer0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/Timer&lt;0&gt;</a></li>
<li><a href="Javascript:showEqn('iobmIOREQr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOREQr</a></li> <li><a href="Javascript:showEqn('cntTimer1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/Timer&lt;1&gt;</a></li>
<li><a href="Javascript:showEqn('iobmIOS_FSM_FFd1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOS_FSM_FFd1</a></li> <li><a href="Javascript:showEqn('cntTimer2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/Timer&lt;2&gt;</a></li>
<li><a href="Javascript:showEqn('iobmIOS_FSM_FFd2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOS_FSM_FFd2</a></li> <li><a href="Javascript:showEqn('cntTimer3_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/Timer&lt;3&gt;</a></li>
<li><a href="Javascript:showEqn('iobmIOS_FSM_FFd3_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOS_FSM_FFd3</a></li> <li><a href="Javascript:showEqn('cntTimerTC_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/TimerTC</a></li>
<li><a href="Javascript:showEqn('iobmnASr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/nASr</a></li> <li><a href="Javascript:showEqn('fsbASrf_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">fsb/ASrf</a></li>
<li><a href="Javascript:showEqn('iobmIOACT_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOACT</a></li>
<li><a href="Javascript:showEqn('iobmIOBERR_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOBERR</a></li>
<li><a href="Javascript:showEqn('iobsIOACTr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/IOACTr</a></li>
<li><a href="Javascript:showEqn('iobsIOL1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/IOL1</a></li> <li><a href="Javascript:showEqn('iobsIOL1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/IOL1</a></li>
<li><a href="Javascript:showEqn('iobsIOREQ_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/IOREQ</a></li> <li><a href="Javascript:showEqn('iobsIOReady_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/IOReady</a></li>
<li><a href="Javascript:showEqn('iobsIOU1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/IOU1</a></li> <li><a href="Javascript:showEqn('iobsIOU1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/IOU1</a></li>
<li><a href="Javascript:showEqn('iobsLoad1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/Load1</a></li>
<li><a href="Javascript:showEqn('iobsOnce_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/Once</a></li>
<li><a href="Javascript:showEqn('iobsPS_FSM_FFd1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/PS_FSM_FFd1</a></li> <li><a href="Javascript:showEqn('iobsPS_FSM_FFd1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/PS_FSM_FFd1</a></li>
<li><a href="Javascript:showEqn('iobsPS_FSM_FFd2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/PS_FSM_FFd2</a></li> <li><a href="Javascript:showEqn('iobsPS_FSM_FFd2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/PS_FSM_FFd2</a></li>
<li><a href="Javascript:showEqn('nADoutLE1')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nADoutLE1</a></li> <li><a href="Javascript:showEqn('nADoutLE1')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nADoutLE1</a></li>
<li><a href="Javascript:showEqn('nAS_IOB')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nAS_IOB</a></li> <li>nAS_FSB</li>
<li><a href="Javascript:showEqn('nAoutOE')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nAoutOE</a></li>
<li>nBERR_IOB</li>
<li>nBG_IOB</li>
<li>nDTACK_IOB</li>
<li>nIPL2</li>
<li>nLDS_FSB</li> <li>nLDS_FSB</li>
<li>nUDS_FSB</li> <li>nUDS_FSB</li>
<li>nVPA_IOB</li> <li><a href="Javascript:showEqn('ramRS_FSM_FFd1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RS_FSM_FFd1</a></li>
<li><a href="Javascript:showEqn('ramRS_FSM_FFd2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RS_FSM_FFd2</a></li>
<li><a href="Javascript:showEqn('ramRefDone_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RefDone</a></li>
<li><a href="Javascript:showEqn('ramRefReqSync_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RefReqSync</a></li>
<li><a href="Javascript:showEqn('ramRegUrgentSync_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RegUrgentSync</a></li>
</ol></span><form><span class="pgRef"><table width="90%" align="center"><tr> </ol></span><form><span class="pgRef"><table width="90%" align="center"><tr>
<td align="left"><input type="button" onclick="javascript:parent.leftnav.showTop()" onmouseover="window.status='goto top of page'; return true;" onmouseout="window.status=''" value="back to top"></td> <td align="left"><input type="button" onclick="javascript:parent.leftnav.showTop()" onmouseover="window.status='goto top of page'; return true;" onmouseout="window.status=''" value="back to top"></td>
<td align="center"><table align="center" width="90%" border="0" cellpadding="0" cellspacing="0"><tr><td width="100%" align="center"><input type="button" onclick="javascript:showFB('FB2')" onmouseover="window.status='show next Function Block'; return true;" onmouseout="window.status=''" value="next"></td></tr></table></td> <td align="center"><table align="center" width="90%" border="0" cellpadding="0" cellspacing="0"><tr><td width="100%" align="center"><input type="button" onclick="javascript:showFB('FB2')" onmouseover="window.status='show next Function Block'; return true;" onmouseout="window.status=''" value="next"></td></tr></table></td>

View File

@ -87,29 +87,27 @@
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB&lt;5&gt;'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td> <td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB&lt;5&gt;'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('ramRegUrgentSync_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RegUrgentSync</a></td> <td align="center" width="10%">(unused)</td>
<td align="center" width="10%">1</td> <td align="center" width="10%">0</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB2_7_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_1</a> <td align="center" width="30%"> </td>
</td>
<td align="center" width="10%">MC7</td> <td align="center" width="10%">MC7</td>
<td align="center" width="10%">STD</td> <td align="center" width="10%"> </td>
<td align="center" width="10%"> </td> <td align="center" width="10%"> </td>
<td align="center" width="10%">(b)</td> <td align="center" width="10%">(b)</td>
<td align="center" width="10%">(b)</td> <td align="center" width="10%"> </td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('ramRefUrgent_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RefUrgent</a></td> <td align="center" width="10%">(unused)</td>
<td align="center" width="10%">1</td> <td align="center" width="10%">0</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB2_8_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_1</a> <td align="center" width="30%"> </td>
</td>
<td align="center" width="10%">MC8</td> <td align="center" width="10%">MC8</td>
<td align="center" width="10%">STD</td> <td align="center" width="10%"> </td>
<td align="center" width="10%">3</td> <td align="center" width="10%">3</td>
<td width="8%" align="center">I/O/GTS1</td> <td width="8%" align="center">I/O/GTS1</td>
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB&lt;6&gt;'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td> <td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB&lt;6&gt;'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('ramRefReqSync_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RefReqSync</a></td> <td align="center" width="10%"><a href="Javascript:showEqn('iobmVPArr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/VPArr</a></td>
<td align="center" width="10%">1</td> <td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB2_9_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_1</a> <td align="center" width="30%"> <a href="Javascript:showPT('FB2_9_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_1</a>
</td> </td>
@ -120,7 +118,7 @@
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB&lt;7&gt;'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td> <td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB&lt;7&gt;'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('ramRefReq_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RefReq</a></td> <td align="center" width="10%"><a href="Javascript:showEqn('iobmVPArf_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/VPArf</a></td>
<td align="center" width="10%">1</td> <td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB2_10_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_1</a> <td align="center" width="30%"> <a href="Javascript:showPT('FB2_10_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_1</a>
</td> </td>
@ -131,7 +129,7 @@
<td align="center" width="10%">(b)</td> <td align="center" width="10%">(b)</td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('ramRefRAS_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RefRAS</a></td> <td align="center" width="10%"><a href="Javascript:showEqn('iobmRESrr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/RESrr</a></td>
<td align="center" width="10%">1</td> <td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB2_11_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">11_1</a> <td align="center" width="30%"> <a href="Javascript:showPT('FB2_11_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">11_1</a>
</td> </td>
@ -142,7 +140,7 @@
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB&lt;8&gt;'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td> <td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB&lt;8&gt;'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('ramBACTr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/BACTr</a></td> <td align="center" width="10%"><a href="Javascript:showEqn('iobmRESrf_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/RESrf</a></td>
<td align="center" width="10%">1</td> <td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB2_12_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_1</a> <td align="center" width="30%"> <a href="Javascript:showPT('FB2_12_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_1</a>
</td> </td>
@ -153,7 +151,7 @@
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB&lt;9&gt;'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td> <td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB&lt;9&gt;'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('iobsIOACTr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/IOACTr</a></td> <td align="center" width="10%"><a href="Javascript:showEqn('iobmIOREQr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOREQr</a></td>
<td align="center" width="10%">1</td> <td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB2_13_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_1</a> <td align="center" width="30%"> <a href="Javascript:showPT('FB2_13_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_1</a>
</td> </td>
@ -164,7 +162,7 @@
<td align="center" width="10%">(b)</td> <td align="center" width="10%">(b)</td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('iobsALE0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/ALE0</a></td> <td align="center" width="10%"><a href="Javascript:showEqn('iobmEr2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/Er2</a></td>
<td align="center" width="10%">1</td> <td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB2_14_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_1</a> <td align="center" width="30%"> <a href="Javascript:showPT('FB2_14_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_1</a>
</td> </td>
@ -175,7 +173,7 @@
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB&lt;10&gt;'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td> <td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB&lt;10&gt;'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('fsbASrf_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">fsb/ASrf</a></td> <td align="center" width="10%"><a href="Javascript:showEqn('iobmEr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/Er</a></td>
<td align="center" width="10%">1</td> <td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB2_15_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_1</a> <td align="center" width="30%"> <a href="Javascript:showPT('FB2_15_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_1</a>
</td> </td>
@ -186,9 +184,9 @@
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB&lt;11&gt;'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td> <td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB&lt;11&gt;'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('iobsPS_FSM_FFd1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/PS_FSM_FFd1</a></td> <td align="center" width="10%"><a href="Javascript:showEqn('iobmDTACKrr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/DTACKrr</a></td>
<td align="center" width="10%">2</td> <td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB2_16_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_1</a> <a href="Javascript:showPT('FB2_16_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_2</a> <td align="center" width="30%"> <a href="Javascript:showPT('FB2_16_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_1</a>
</td> </td>
<td align="center" width="10%">MC16</td> <td align="center" width="10%">MC16</td>
<td align="center" width="10%">STD</td> <td align="center" width="10%">STD</td>
@ -197,9 +195,9 @@
<td align="center" width="10%">(b)</td> <td align="center" width="10%">(b)</td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('iobsIOU1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/IOU1</a></td> <td align="center" width="10%"><a href="Javascript:showEqn('iobmDTACKrf_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/DTACKrf</a></td>
<td align="center" width="10%">2</td> <td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB2_17_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_1</a> <a href="Javascript:showPT('FB2_17_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_2</a> <td align="center" width="30%"> <a href="Javascript:showPT('FB2_17_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_1</a>
</td> </td>
<td align="center" width="10%">MC17</td> <td align="center" width="10%">MC17</td>
<td align="center" width="10%">STD</td> <td align="center" width="10%">STD</td>
@ -208,7 +206,7 @@
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB&lt;12&gt;'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td> <td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB&lt;12&gt;'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('iobsIOL1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/IOL1</a></td> <td align="center" width="10%"><a href="Javascript:showEqn('cntTimer0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/Timer&lt;0&gt;</a></td>
<td align="center" width="10%">2</td> <td align="center" width="10%">2</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB2_18_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_1</a> <a href="Javascript:showPT('FB2_18_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_2</a> <td align="center" width="30%"> <a href="Javascript:showPT('FB2_18_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_1</a> <a href="Javascript:showPT('FB2_18_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_2</a>
</td> </td>
@ -221,22 +219,14 @@
</table></span></div> </table></span></div>
<div id="tipBox"></div> <div id="tipBox"></div>
<br><span id="fbsiguse" class="pgRef"><b>Signals Used By Logic in Function Block</b><br><ol> <br><span id="fbsiguse" class="pgRef"><b>Signals Used By Logic in Function Block</b><br><ol>
<li><a href="Javascript:showEqn('cntRefReq_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/RefReq</a></li> <li>E</li>
<li><a href="Javascript:showEqn('cntRefUrgent_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/RefUrgent</a></li> <li>nRES.PIN</li>
<li><a href="Javascript:showEqn('fsbASrf_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">fsb/ASrf</a></li> <li><a href="Javascript:showEqn('cntTimer0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/Timer&lt;0&gt;</a></li>
<li><a href="Javascript:showEqn('iobmIOACT_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOACT</a></li> <li><a href="Javascript:showEqn('cntTimerTC_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/TimerTC</a></li>
<li><a href="Javascript:showEqn('iobsIOACTr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/IOACTr</a></li> <li><a href="Javascript:showEqn('iobmEr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/Er</a></li>
<li><a href="Javascript:showEqn('iobsLoad1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/Load1</a></li> <li><a href="Javascript:showEqn('iobsIOREQ_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/IOREQ</a></li>
<li><a href="Javascript:showEqn('iobsPS_FSM_FFd1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/PS_FSM_FFd1</a></li> <li>nDTACK_IOB</li>
<li><a href="Javascript:showEqn('iobsPS_FSM_FFd2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/PS_FSM_FFd2</a></li> <li>nVPA_IOB</li>
<li>nAS_FSB</li>
<li>nLDS_FSB</li>
<li>nUDS_FSB</li>
<li><a href="Javascript:showEqn('ramRS_FSM_FFd1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RS_FSM_FFd1</a></li>
<li><a href="Javascript:showEqn('ramRS_FSM_FFd2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RS_FSM_FFd2</a></li>
<li><a href="Javascript:showEqn('ramRefDone_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RefDone</a></li>
<li><a href="Javascript:showEqn('ramRefReqSync_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RefReqSync</a></li>
<li><a href="Javascript:showEqn('ramRegUrgentSync_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RegUrgentSync</a></li>
</ol></span><form><span class="pgRef"><table width="90%" align="center"><tr> </ol></span><form><span class="pgRef"><table width="90%" align="center"><tr>
<td align="left"><input type="button" onclick="javascript:parent.leftnav.showTop()" onmouseover="window.status='goto top of page'; return true;" onmouseout="window.status=''" value="back to top"></td> <td align="left"><input type="button" onclick="javascript:parent.leftnav.showTop()" onmouseover="window.status='goto top of page'; return true;" onmouseout="window.status=''" value="back to top"></td>
<td align="center"><table align="center" width="90%" border="0" cellpadding="0" cellspacing="0"><tr><td width="100%" align="center"> <td align="center"><table align="center" width="90%" border="0" cellpadding="0" cellspacing="0"><tr><td width="100%" align="center">

View File

@ -27,22 +27,22 @@
<th width="10%">Pin Use</th> <th width="10%">Pin Use</th>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%">(unused)</td> <td align="center" width="10%"><a href="Javascript:showEqn('fsbVPA_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">fsb/VPA</a></td>
<td align="center" width="10%">0</td> <td align="center" width="10%">18</td>
<td align="center" width="30%"> </td> <td align="center" width="30%"> <a href="Javascript:showPT('FB3_18_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_1</a> <a href="Javascript:showPT('FB3_18_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_2</a> <a href="Javascript:showPT('FB3_18_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_3</a> <a href="Javascript:showPT('FB3_18_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_4</a> <a href="Javascript:showPT('FB3_18_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_5</a> <a href="Javascript:showPT('FB3_1_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_1</a> <a href="Javascript:showPT('FB3_1_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_2</a> <a href="Javascript:showPT('FB3_1_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_3</a> <a href="Javascript:showPT('FB3_1_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_4</a> <a href="Javascript:showPT('FB3_1_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_5</a> <a href="Javascript:showPT('FB3_2_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_1</a> <a href="Javascript:showPT('FB3_2_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_2</a> <a href="Javascript:showPT('FB3_2_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_3</a> <a href="Javascript:showPT('FB3_2_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_4</a> <a href="Javascript:showPT('FB3_2_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_5</a> <a href="Javascript:showPT('FB3_3_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_1</a> <a href="Javascript:showPT('FB3_3_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_2</a> <a href="Javascript:showPT('FB3_3_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_3</a>
</td>
<td align="center" width="10%">MC1</td> <td align="center" width="10%">MC1</td>
<td align="center" width="10%"> </td> <td align="center" width="10%">STD</td>
<td align="center" width="10%"> </td> <td align="center" width="10%"> </td>
<td align="center" width="10%">(b)</td> <td align="center" width="10%">(b)</td>
<td align="center" width="10%">(b)</td> <td align="center" width="10%">(b)</td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('fsbVPA_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">fsb/VPA</a></td> <td align="center" width="10%">(unused)</td>
<td align="center" width="10%">20</td> <td align="center" width="10%">0</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB3_18_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_1</a> <a href="Javascript:showPT('FB3_18_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_2</a> <a href="Javascript:showPT('FB3_18_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_3</a> <a href="Javascript:showPT('FB3_18_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_4</a> <a href="Javascript:showPT('FB3_18_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_5</a> <a href="Javascript:showPT('FB3_1_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_1</a> <a href="Javascript:showPT('FB3_1_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_2</a> <a href="Javascript:showPT('FB3_1_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_3</a> <a href="Javascript:showPT('FB3_1_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_4</a> <a href="Javascript:showPT('FB3_1_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_5</a> <a href="Javascript:showPT('FB3_2_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_1</a> <a href="Javascript:showPT('FB3_2_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_2</a> <a href="Javascript:showPT('FB3_2_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_3</a> <a href="Javascript:showPT('FB3_2_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_4</a> <a href="Javascript:showPT('FB3_2_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_5</a> <a href="Javascript:showPT('FB3_3_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_1</a> <a href="Javascript:showPT('FB3_3_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_2</a> <a href="Javascript:showPT('FB3_3_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_3</a> <a href="Javascript:showPT('FB3_3_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_4</a> <a href="Javascript:showPT('FB3_3_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_5</a> <td align="center" width="30%"> </td>
</td>
<td align="center" width="10%">MC2</td> <td align="center" width="10%">MC2</td>
<td align="center" width="10%">STD</td> <td align="center" width="10%"> </td>
<td align="center" width="10%">23</td> <td align="center" width="10%">23</td>
<td width="8%" align="center">I/O/GCK2</td> <td width="8%" align="center">I/O/GCK2</td>
<td align="center" width="10%">GCK/I</td> <td align="center" width="10%">GCK/I</td>
@ -68,31 +68,29 @@
<td align="center" width="10%"> </td> <td align="center" width="10%"> </td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('iobsClear1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/Clear1</a></td> <td align="center" width="10%">(unused)</td>
<td align="center" width="10%">1</td> <td align="center" width="10%">0</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB3_5_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">5_1</a> <td align="center" width="30%"> </td>
</td>
<td align="center" width="10%">MC5</td> <td align="center" width="10%">MC5</td>
<td align="center" width="10%">STD</td> <td align="center" width="10%"> </td>
<td align="center" width="10%">24</td> <td align="center" width="10%">24</td>
<td width="8%" align="center">I/O</td> <td width="8%" align="center">I/O</td>
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB&lt;23&gt;'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td> <td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB&lt;23&gt;'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('fsbReady0r_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">fsb/Ready0r</a></td> <td align="center" width="10%">(unused)</td>
<td align="center" width="10%">3</td> <td align="center" width="10%">0</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB3_5_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">5_2</a> <a href="Javascript:showPT('FB3_6_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">6_1</a> <a href="Javascript:showPT('FB3_6_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">6_2</a> <td align="center" width="30%"> </td>
</td>
<td align="center" width="10%">MC6</td> <td align="center" width="10%">MC6</td>
<td align="center" width="10%">STD</td> <td align="center" width="10%"> </td>
<td align="center" width="10%">25</td> <td align="center" width="10%">25</td>
<td width="8%" align="center">I/O</td> <td width="8%" align="center">I/O</td>
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'E'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td> <td align="center" width="10%"><a href="#" onmouseover="this._tip = 'E'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('iobsIOReady_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/IOReady</a></td> <td align="center" width="10%"><a href="Javascript:showEqn('iobsIOREQ_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/IOREQ</a></td>
<td align="center" width="10%">4</td> <td align="center" width="10%">13</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB3_6_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">6_3</a> <a href="Javascript:showPT('FB3_6_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">6_4</a> <a href="Javascript:showPT('FB3_6_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">6_5</a> <a href="Javascript:showPT('FB3_7_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_1</a> <td align="center" width="30%"> <a href="Javascript:showPT('FB3_5_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">5_1</a> <a href="Javascript:showPT('FB3_5_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">5_2</a> <a href="Javascript:showPT('FB3_5_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">5_3</a> <a href="Javascript:showPT('FB3_5_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">5_4</a> <a href="Javascript:showPT('FB3_5_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">5_5</a> <a href="Javascript:showPT('FB3_6_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">6_1</a> <a href="Javascript:showPT('FB3_6_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">6_2</a> <a href="Javascript:showPT('FB3_6_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">6_3</a> <a href="Javascript:showPT('FB3_6_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">6_4</a> <a href="Javascript:showPT('FB3_6_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">6_5</a> <a href="Javascript:showPT('FB3_7_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_1</a> <a href="Javascript:showPT('FB3_7_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_2</a> <a href="Javascript:showPT('FB3_7_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_3</a>
</td> </td>
<td align="center" width="10%">MC7</td> <td align="center" width="10%">MC7</td>
<td align="center" width="10%">STD</td> <td align="center" width="10%">STD</td>
@ -112,8 +110,8 @@
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('nDTACK_FSB')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nDTACK_FSB</a></td> <td align="center" width="10%"><a href="Javascript:showEqn('nDTACK_FSB')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nDTACK_FSB</a></td>
<td align="center" width="10%">11</td> <td align="center" width="10%">10</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB3_7_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_2</a> <a href="Javascript:showPT('FB3_7_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_3</a> <a href="Javascript:showPT('FB3_7_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_4</a> <a href="Javascript:showPT('FB3_7_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_5</a> <a href="Javascript:showPT('FB3_8_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_1</a> <a href="Javascript:showPT('FB3_8_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_2</a> <a href="Javascript:showPT('FB3_8_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_3</a> <a href="Javascript:showPT('FB3_8_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_4</a> <a href="Javascript:showPT('FB3_8_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_5</a> <a href="Javascript:showPT('FB3_9_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_1</a> <a href="Javascript:showPT('FB3_9_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_2</a> <td align="center" width="30%"> <a href="Javascript:showPT('FB3_7_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_4</a> <a href="Javascript:showPT('FB3_7_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_5</a> <a href="Javascript:showPT('FB3_8_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_1</a> <a href="Javascript:showPT('FB3_8_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_2</a> <a href="Javascript:showPT('FB3_8_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_3</a> <a href="Javascript:showPT('FB3_8_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_4</a> <a href="Javascript:showPT('FB3_8_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_5</a> <a href="Javascript:showPT('FB3_9_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_1</a> <a href="Javascript:showPT('FB3_9_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_2</a> <a href="Javascript:showPT('FB3_9_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_3</a>
</td> </td>
<td align="center" width="10%">MC9</td> <td align="center" width="10%">MC9</td>
<td align="center" width="10%">STD</td> <td align="center" width="10%">STD</td>
@ -123,8 +121,8 @@
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('fsbReady1r_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">fsb/Ready1r</a></td> <td align="center" width="10%"><a href="Javascript:showEqn('fsbReady1r_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">fsb/Ready1r</a></td>
<td align="center" width="10%">7</td> <td align="center" width="10%">6</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB3_10_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_1</a> <a href="Javascript:showPT('FB3_10_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_2</a> <a href="Javascript:showPT('FB3_10_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_3</a> <a href="Javascript:showPT('FB3_10_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_4</a> <a href="Javascript:showPT('FB3_9_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_3</a> <a href="Javascript:showPT('FB3_9_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_4</a> <a href="Javascript:showPT('FB3_9_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_5</a> <td align="center" width="30%"> <a href="Javascript:showPT('FB3_10_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_1</a> <a href="Javascript:showPT('FB3_10_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_2</a> <a href="Javascript:showPT('FB3_10_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_3</a> <a href="Javascript:showPT('FB3_10_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_4</a> <a href="Javascript:showPT('FB3_9_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_4</a> <a href="Javascript:showPT('FB3_9_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_5</a>
</td> </td>
<td align="center" width="10%">MC10</td> <td align="center" width="10%">MC10</td>
<td align="center" width="10%">STD</td> <td align="center" width="10%">STD</td>
@ -175,8 +173,8 @@
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('iobsIORW0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/IORW0</a></td> <td align="center" width="10%"><a href="Javascript:showEqn('iobsIORW0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/IORW0</a></td>
<td align="center" width="10%">18</td> <td align="center" width="10%">17</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB3_14_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_1</a> <a href="Javascript:showPT('FB3_14_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_2</a> <a href="Javascript:showPT('FB3_14_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_3</a> <a href="Javascript:showPT('FB3_14_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_4</a> <a href="Javascript:showPT('FB3_14_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_5</a> <a href="Javascript:showPT('FB3_15_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_1</a> <a href="Javascript:showPT('FB3_15_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_2</a> <a href="Javascript:showPT('FB3_15_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_3</a> <a href="Javascript:showPT('FB3_15_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_4</a> <a href="Javascript:showPT('FB3_15_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_5</a> <a href="Javascript:showPT('FB3_16_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_1</a> <a href="Javascript:showPT('FB3_16_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_2</a> <a href="Javascript:showPT('FB3_16_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_3</a> <a href="Javascript:showPT('FB3_16_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_4</a> <a href="Javascript:showPT('FB3_16_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_5</a> <a href="Javascript:showPT('FB3_17_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_2</a> <a href="Javascript:showPT('FB3_17_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_3</a> <a href="Javascript:showPT('FB3_17_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_4</a> <td align="center" width="30%"> <a href="Javascript:showPT('FB3_14_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_1</a> <a href="Javascript:showPT('FB3_14_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_2</a> <a href="Javascript:showPT('FB3_14_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_3</a> <a href="Javascript:showPT('FB3_14_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_4</a> <a href="Javascript:showPT('FB3_14_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_5</a> <a href="Javascript:showPT('FB3_15_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_1</a> <a href="Javascript:showPT('FB3_15_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_2</a> <a href="Javascript:showPT('FB3_15_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_3</a> <a href="Javascript:showPT('FB3_15_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_4</a> <a href="Javascript:showPT('FB3_15_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_5</a> <a href="Javascript:showPT('FB3_16_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_1</a> <a href="Javascript:showPT('FB3_16_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_2</a> <a href="Javascript:showPT('FB3_16_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_3</a> <a href="Javascript:showPT('FB3_16_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_4</a> <a href="Javascript:showPT('FB3_16_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_5</a> <a href="Javascript:showPT('FB3_17_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_2</a> <a href="Javascript:showPT('FB3_17_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_3</a>
</td> </td>
<td align="center" width="10%">MC15</td> <td align="center" width="10%">MC15</td>
<td align="center" width="10%">STD</td> <td align="center" width="10%">STD</td>
@ -239,7 +237,6 @@
<li><a href="Javascript:showEqn('fsbReady0r_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">fsb/Ready0r</a></li> <li><a href="Javascript:showEqn('fsbReady0r_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">fsb/Ready0r</a></li>
<li><a href="Javascript:showEqn('fsbReady1r_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">fsb/Ready1r</a></li> <li><a href="Javascript:showEqn('fsbReady1r_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">fsb/Ready1r</a></li>
<li><a href="Javascript:showEqn('fsbVPA_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">fsb/VPA</a></li> <li><a href="Javascript:showEqn('fsbVPA_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">fsb/VPA</a></li>
<li><a href="Javascript:showEqn('iobmIOBERR_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOBERR</a></li>
<li><a href="Javascript:showEqn('iobsIOACTr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/IOACTr</a></li> <li><a href="Javascript:showEqn('iobsIOACTr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/IOACTr</a></li>
<li><a href="Javascript:showEqn('iobsIORW0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/IORW0</a></li> <li><a href="Javascript:showEqn('iobsIORW0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/IORW0</a></li>
<li><a href="Javascript:showEqn('iobsIORW1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/IORW1</a></li> <li><a href="Javascript:showEqn('iobsIORW1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/IORW1</a></li>

View File

@ -27,12 +27,11 @@
<th width="10%">Pin Use</th> <th width="10%">Pin Use</th>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('cntnRESout_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/nRESout</a></td> <td align="center" width="10%">(unused)</td>
<td align="center" width="10%">2</td> <td align="center" width="10%">0</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB4_1_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_1</a> <a href="Javascript:showPT('FB4_1_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_2</a> <td align="center" width="30%"> </td>
</td>
<td align="center" width="10%">MC1</td> <td align="center" width="10%">MC1</td>
<td align="center" width="10%">STD</td> <td align="center" width="10%"> </td>
<td align="center" width="10%"> </td> <td align="center" width="10%"> </td>
<td align="center" width="10%">(b)</td> <td align="center" width="10%">(b)</td>
<td align="center" width="10%">(b)</td> <td align="center" width="10%">(b)</td>
@ -49,9 +48,9 @@
<td align="center" width="10%">O</td> <td align="center" width="10%">O</td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('cntTimerTC_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/TimerTC</a></td> <td align="center" width="10%"><a href="Javascript:showEqn('iobmBERRrr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/BERRrr</a></td>
<td align="center" width="10%">2</td> <td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB4_3_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_1</a> <a href="Javascript:showPT('FB4_3_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_2</a> <td align="center" width="30%"> <a href="Javascript:showPT('FB4_3_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_1</a>
</td> </td>
<td align="center" width="10%">MC3</td> <td align="center" width="10%">MC3</td>
<td align="center" width="10%">STD</td> <td align="center" width="10%">STD</td>
@ -60,9 +59,9 @@
<td align="center" width="10%">(b)</td> <td align="center" width="10%">(b)</td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('cntTimer0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/Timer&lt;0&gt;</a></td> <td align="center" width="10%"><a href="Javascript:showEqn('iobmBERRrf_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/BERRrf</a></td>
<td align="center" width="10%">2</td> <td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB4_4_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">4_1</a> <a href="Javascript:showPT('FB4_4_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">4_2</a> <td align="center" width="30%"> <a href="Javascript:showPT('FB4_4_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">4_1</a>
</td> </td>
<td align="center" width="10%">MC4</td> <td align="center" width="10%">MC4</td>
<td align="center" width="10%">STD</td> <td align="center" width="10%">STD</td>
@ -83,8 +82,8 @@
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('nDinOE')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nDinOE</a></td> <td align="center" width="10%"><a href="Javascript:showEqn('nDinOE')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nDinOE</a></td>
<td align="center" width="10%">2</td> <td align="center" width="10%">3</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB4_6_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">6_1</a> <a href="Javascript:showPT('FB4_6_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">6_2</a> <td align="center" width="30%"> <a href="Javascript:showPT('FB4_6_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">6_1</a> <a href="Javascript:showPT('FB4_6_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">6_2</a> <a href="Javascript:showPT('FB4_6_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">6_3</a>
</td> </td>
<td align="center" width="10%">MC6</td> <td align="center" width="10%">MC6</td>
<td align="center" width="10%">STD</td> <td align="center" width="10%">STD</td>
@ -93,9 +92,9 @@
<td align="center" width="10%">O</td> <td align="center" width="10%">O</td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('cntPORS_FSM_FFd2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/PORS_FSM_FFd2</a></td> <td align="center" width="10%"><a href="Javascript:showEqn('cntPORS_FSM_FFd1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/PORS_FSM_FFd1</a></td>
<td align="center" width="10%">2</td> <td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB4_7_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_1</a> <a href="Javascript:showPT('FB4_7_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_2</a> <td align="center" width="30%"> <a href="Javascript:showPT('FB4_7_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_1</a>
</td> </td>
<td align="center" width="10%">MC7</td> <td align="center" width="10%">MC7</td>
<td align="center" width="10%">STD</td> <td align="center" width="10%">STD</td>
@ -115,9 +114,9 @@
<td align="center" width="10%">I/O</td> <td align="center" width="10%">I/O</td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('cntLTimer0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;0&gt;</a></td> <td align="center" width="10%"><a href="Javascript:showEqn('cntIPL2r_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/IPL2r</a></td>
<td align="center" width="10%">2</td> <td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB4_9_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_1</a> <a href="Javascript:showPT('FB4_9_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_2</a> <td align="center" width="30%"> <a href="Javascript:showPT('FB4_9_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_1</a>
</td> </td>
<td align="center" width="10%">MC9</td> <td align="center" width="10%">MC9</td>
<td align="center" width="10%">STD</td> <td align="center" width="10%">STD</td>
@ -126,9 +125,9 @@
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'nIPL2'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td> <td align="center" width="10%"><a href="#" onmouseover="this._tip = 'nIPL2'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('cntTimer3_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/Timer&lt;3&gt;</a></td> <td align="center" width="10%"><a href="Javascript:showEqn('iobmIOS_FSM_FFd1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOS_FSM_FFd1</a></td>
<td align="center" width="10%">3</td> <td align="center" width="10%">2</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB4_10_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_1</a> <a href="Javascript:showPT('FB4_10_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_2</a> <a href="Javascript:showPT('FB4_10_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_3</a> <td align="center" width="30%"> <a href="Javascript:showPT('FB4_10_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_1</a> <a href="Javascript:showPT('FB4_10_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_2</a>
</td> </td>
<td align="center" width="10%">MC10</td> <td align="center" width="10%">MC10</td>
<td align="center" width="10%">STD</td> <td align="center" width="10%">STD</td>
@ -148,9 +147,9 @@
<td align="center" width="10%">O</td> <td align="center" width="10%">O</td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('cntTimer2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/Timer&lt;2&gt;</a></td> <td align="center" width="10%"><a href="Javascript:showEqn('cntnRESout_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/nRESout</a></td>
<td align="center" width="10%">3</td> <td align="center" width="10%">2</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB4_12_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_1</a> <a href="Javascript:showPT('FB4_12_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_2</a> <a href="Javascript:showPT('FB4_12_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_3</a> <td align="center" width="30%"> <a href="Javascript:showPT('FB4_12_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_1</a> <a href="Javascript:showPT('FB4_12_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_2</a>
</td> </td>
<td align="center" width="10%">MC12</td> <td align="center" width="10%">MC12</td>
<td align="center" width="10%">STD</td> <td align="center" width="10%">STD</td>
@ -159,9 +158,9 @@
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB&lt;1&gt;'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td> <td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB&lt;1&gt;'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('cntTimer1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/Timer&lt;1&gt;</a></td> <td align="center" width="10%"><a href="Javascript:showEqn('cntPORS_FSM_FFd2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/PORS_FSM_FFd2</a></td>
<td align="center" width="10%">3</td> <td align="center" width="10%">2</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB4_13_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_1</a> <a href="Javascript:showPT('FB4_13_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_2</a> <a href="Javascript:showPT('FB4_13_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_3</a> <td align="center" width="30%"> <a href="Javascript:showPT('FB4_13_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_1</a> <a href="Javascript:showPT('FB4_13_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_2</a>
</td> </td>
<td align="center" width="10%">MC13</td> <td align="center" width="10%">MC13</td>
<td align="center" width="10%">STD</td> <td align="center" width="10%">STD</td>
@ -170,9 +169,9 @@
<td align="center" width="10%">(b)</td> <td align="center" width="10%">(b)</td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('cntRefUrgent_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/RefUrgent</a></td> <td align="center" width="10%"><a href="Javascript:showEqn('cntLTimer0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;0&gt;</a></td>
<td align="center" width="10%">3</td> <td align="center" width="10%">2</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB4_14_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_1</a> <a href="Javascript:showPT('FB4_14_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_2</a> <a href="Javascript:showPT('FB4_14_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_3</a> <td align="center" width="30%"> <a href="Javascript:showPT('FB4_14_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_1</a> <a href="Javascript:showPT('FB4_14_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_2</a>
</td> </td>
<td align="center" width="10%">MC14</td> <td align="center" width="10%">MC14</td>
<td align="center" width="10%">STD</td> <td align="center" width="10%">STD</td>
@ -181,7 +180,7 @@
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB&lt;2&gt;'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td> <td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB&lt;2&gt;'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('cntRefReq_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/RefReq</a></td> <td align="center" width="10%"><a href="Javascript:showEqn('cntLTimer3_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;3&gt;</a></td>
<td align="center" width="10%">4</td> <td align="center" width="10%">4</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB4_15_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_1</a> <a href="Javascript:showPT('FB4_15_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_2</a> <a href="Javascript:showPT('FB4_15_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_3</a> <a href="Javascript:showPT('FB4_15_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_4</a> <td align="center" width="30%"> <a href="Javascript:showPT('FB4_15_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_1</a> <a href="Javascript:showPT('FB4_15_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_2</a> <a href="Javascript:showPT('FB4_15_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_3</a> <a href="Javascript:showPT('FB4_15_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_4</a>
</td> </td>
@ -192,7 +191,7 @@
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB&lt;3&gt;'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td> <td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB&lt;3&gt;'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('cntLTimer3_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;3&gt;</a></td> <td align="center" width="10%"><a href="Javascript:showEqn('cntLTimer2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;2&gt;</a></td>
<td align="center" width="10%">4</td> <td align="center" width="10%">4</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB4_16_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_1</a> <a href="Javascript:showPT('FB4_16_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_2</a> <a href="Javascript:showPT('FB4_16_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_3</a> <a href="Javascript:showPT('FB4_16_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_4</a> <td align="center" width="30%"> <a href="Javascript:showPT('FB4_16_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_1</a> <a href="Javascript:showPT('FB4_16_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_2</a> <a href="Javascript:showPT('FB4_16_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_3</a> <a href="Javascript:showPT('FB4_16_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_4</a>
</td> </td>
@ -203,7 +202,7 @@
<td align="center" width="10%">(b)</td> <td align="center" width="10%">(b)</td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('cntLTimer2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;2&gt;</a></td> <td align="center" width="10%"><a href="Javascript:showEqn('cntLTimer1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;1&gt;</a></td>
<td align="center" width="10%">4</td> <td align="center" width="10%">4</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB4_17_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_1</a> <a href="Javascript:showPT('FB4_17_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_2</a> <a href="Javascript:showPT('FB4_17_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_3</a> <a href="Javascript:showPT('FB4_17_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_4</a> <td align="center" width="30%"> <a href="Javascript:showPT('FB4_17_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_1</a> <a href="Javascript:showPT('FB4_17_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_2</a> <a href="Javascript:showPT('FB4_17_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_3</a> <a href="Javascript:showPT('FB4_17_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_4</a>
</td> </td>
@ -214,9 +213,9 @@
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB&lt;4&gt;'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td> <td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB&lt;4&gt;'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('cntLTimer1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;1&gt;</a></td> <td align="center" width="10%"><a href="Javascript:showEqn('iobmIOBERR_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOBERR</a></td>
<td align="center" width="10%">4</td> <td align="center" width="10%">9</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB4_18_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_1</a> <a href="Javascript:showPT('FB4_18_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_2</a> <a href="Javascript:showPT('FB4_18_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_3</a> <a href="Javascript:showPT('FB4_18_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_4</a> <td align="center" width="30%"> <a href="Javascript:showPT('FB4_17_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_5</a> <a href="Javascript:showPT('FB4_18_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_1</a> <a href="Javascript:showPT('FB4_18_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_2</a> <a href="Javascript:showPT('FB4_18_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_3</a> <a href="Javascript:showPT('FB4_18_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_4</a> <a href="Javascript:showPT('FB4_18_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_5</a> <a href="Javascript:showPT('FB4_1_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_1</a> <a href="Javascript:showPT('FB4_1_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_2</a> <a href="Javascript:showPT('FB4_1_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_3</a>
</td> </td>
<td align="center" width="10%">MC18</td> <td align="center" width="10%">MC18</td>
<td align="center" width="10%">STD</td> <td align="center" width="10%">STD</td>
@ -231,7 +230,8 @@
<li>A_FSB&lt;21&gt;</li> <li>A_FSB&lt;21&gt;</li>
<li>A_FSB&lt;22&gt;</li> <li>A_FSB&lt;22&gt;</li>
<li>A_FSB&lt;23&gt;</li> <li>A_FSB&lt;23&gt;</li>
<li>E</li> <li>C8M</li>
<li><a href="Javascript:showEqn('cntIPL2r_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/IPL2r</a></li>
<li><a href="Javascript:showEqn('cntLTimer0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;0&gt;</a></li> <li><a href="Javascript:showEqn('cntLTimer0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;0&gt;</a></li>
<li><a href="Javascript:showEqn('cntLTimer13_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;13&gt;</a></li> <li><a href="Javascript:showEqn('cntLTimer13_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;13&gt;</a></li>
<li><a href="Javascript:showEqn('cntLTimer1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;1&gt;</a></li> <li><a href="Javascript:showEqn('cntLTimer1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;1&gt;</a></li>
@ -239,17 +239,26 @@
<li><a href="Javascript:showEqn('cntLTimer3_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;3&gt;</a></li> <li><a href="Javascript:showEqn('cntLTimer3_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;3&gt;</a></li>
<li><a href="Javascript:showEqn('cntPORS_FSM_FFd1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/PORS_FSM_FFd1</a></li> <li><a href="Javascript:showEqn('cntPORS_FSM_FFd1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/PORS_FSM_FFd1</a></li>
<li><a href="Javascript:showEqn('cntPORS_FSM_FFd2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/PORS_FSM_FFd2</a></li> <li><a href="Javascript:showEqn('cntPORS_FSM_FFd2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/PORS_FSM_FFd2</a></li>
<li><a href="Javascript:showEqn('cntTimer0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/Timer&lt;0&gt;</a></li>
<li><a href="Javascript:showEqn('cntTimer1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/Timer&lt;1&gt;</a></li>
<li><a href="Javascript:showEqn('cntTimer2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/Timer&lt;2&gt;</a></li>
<li><a href="Javascript:showEqn('cntTimer3_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/Timer&lt;3&gt;</a></li>
<li><a href="Javascript:showEqn('cntTimerTC_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/TimerTC</a></li> <li><a href="Javascript:showEqn('cntTimerTC_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/TimerTC</a></li>
<li><a href="Javascript:showEqn('cntnRESout_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/nRESout</a></li> <li><a href="Javascript:showEqn('cntnRESout_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/nRESout</a></li>
<li><a href="Javascript:showEqn('fsbVPA_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">fsb/VPA</a></li> <li><a href="Javascript:showEqn('fsbVPA_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">fsb/VPA</a></li>
<li><a href="Javascript:showEqn('iobmBERRrf_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/BERRrf</a></li>
<li><a href="Javascript:showEqn('iobmBERRrr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/BERRrr</a></li>
<li><a href="Javascript:showEqn('iobmDTACKrf_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/DTACKrf</a></li>
<li><a href="Javascript:showEqn('iobmDTACKrr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/DTACKrr</a></li>
<li><a href="Javascript:showEqn('iobmDoutOE_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/DoutOE</a></li> <li><a href="Javascript:showEqn('iobmDoutOE_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/DoutOE</a></li>
<li><a href="Javascript:showEqn('iobmETACK_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/ETACK</a></li>
<li><a href="Javascript:showEqn('iobmIOBERR_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOBERR</a></li>
<li><a href="Javascript:showEqn('iobmIOS_FSM_FFd1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOS_FSM_FFd1</a></li>
<li><a href="Javascript:showEqn('iobmIOS_FSM_FFd2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOS_FSM_FFd2</a></li>
<li><a href="Javascript:showEqn('iobmIOS_FSM_FFd3_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOS_FSM_FFd3</a></li>
<li><a href="Javascript:showEqn('iobmRESrf_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/RESrf</a></li>
<li><a href="Javascript:showEqn('iobmRESrr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/RESrr</a></li>
<li>nAS_FSB</li> <li>nAS_FSB</li>
<li><a href="Javascript:showEqn('nAoutOE')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nAoutOE</a></li> <li><a href="Javascript:showEqn('nAoutOE')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nAoutOE</a></li>
<li>nBERR_IOB</li>
<li><a href="Javascript:showEqn('nBR_IOB')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nBR_IOB</a></li> <li><a href="Javascript:showEqn('nBR_IOB')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nBR_IOB</a></li>
<li>nIPL2</li>
<li>nWE_FSB</li> <li>nWE_FSB</li>
</ol></span><form><span class="pgRef"><table width="90%" align="center"><tr> </ol></span><form><span class="pgRef"><table width="90%" align="center"><tr>
<td align="left"><input type="button" onclick="javascript:parent.leftnav.showTop()" onmouseover="window.status='goto top of page'; return true;" onmouseout="window.status=''" value="back to top"></td> <td align="left"><input type="button" onclick="javascript:parent.leftnav.showTop()" onmouseover="window.status='goto top of page'; return true;" onmouseout="window.status=''" value="back to top"></td>

View File

@ -93,9 +93,9 @@
<td align="center" width="10%">O</td> <td align="center" width="10%">O</td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('ramRS_FSM_FFd1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RS_FSM_FFd1</a></td> <td align="center" width="10%"><a href="Javascript:showEqn('fsbReady0r_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">fsb/Ready0r</a></td>
<td align="center" width="10%">8</td> <td align="center" width="10%">3</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB5_6_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">6_2</a> <a href="Javascript:showPT('FB5_6_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">6_3</a> <a href="Javascript:showPT('FB5_6_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">6_4</a> <a href="Javascript:showPT('FB5_7_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_1</a> <a href="Javascript:showPT('FB5_7_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_2</a> <a href="Javascript:showPT('FB5_7_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_3</a> <a href="Javascript:showPT('FB5_7_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_4</a> <a href="Javascript:showPT('FB5_7_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_5</a> <td align="center" width="30%"> <a href="Javascript:showPT('FB5_7_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_1</a> <a href="Javascript:showPT('FB5_7_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_2</a> <a href="Javascript:showPT('FB5_7_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_3</a>
</td> </td>
<td align="center" width="10%">MC7</td> <td align="center" width="10%">MC7</td>
<td align="center" width="10%">STD</td> <td align="center" width="10%">STD</td>
@ -243,10 +243,12 @@
<li><a href="Javascript:showEqn('csnOverlay0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cs/nOverlay0</a></li> <li><a href="Javascript:showEqn('csnOverlay0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cs/nOverlay0</a></li>
<li><a href="Javascript:showEqn('csnOverlay1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cs/nOverlay1</a></li> <li><a href="Javascript:showEqn('csnOverlay1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cs/nOverlay1</a></li>
<li><a href="Javascript:showEqn('fsbASrf_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">fsb/ASrf</a></li> <li><a href="Javascript:showEqn('fsbASrf_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">fsb/ASrf</a></li>
<li><a href="Javascript:showEqn('fsbReady0r_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">fsb/Ready0r</a></li>
<li>nAS_FSB</li> <li>nAS_FSB</li>
<li>nWE_FSB</li> <li>nWE_FSB</li>
<li><a href="Javascript:showEqn('ramBACTr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/BACTr</a></li> <li><a href="Javascript:showEqn('ramBACTr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/BACTr</a></li>
<li><a href="Javascript:showEqn('ramRAMEN_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RAMEN</a></li> <li><a href="Javascript:showEqn('ramRAMEN_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RAMEN</a></li>
<li><a href="Javascript:showEqn('ramRAMReady_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RAMReady</a></li>
<li><a href="Javascript:showEqn('ramRASEL_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RASEL</a></li> <li><a href="Javascript:showEqn('ramRASEL_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RASEL</a></li>
<li><a href="Javascript:showEqn('ramRS_FSM_FFd1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RS_FSM_FFd1</a></li> <li><a href="Javascript:showEqn('ramRS_FSM_FFd1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RS_FSM_FFd1</a></li>
<li><a href="Javascript:showEqn('ramRS_FSM_FFd2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RS_FSM_FFd2</a></li> <li><a href="Javascript:showEqn('ramRS_FSM_FFd2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RS_FSM_FFd2</a></li>

View File

@ -71,7 +71,7 @@
<td align="center" width="10%">(b)</td> <td align="center" width="10%">(b)</td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('iobmES3_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/ES&lt;3&gt;</a></td> <td align="center" width="10%"><a href="Javascript:showEqn('iobmIOS_FSM_FFd3_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOS_FSM_FFd3</a></td>
<td align="center" width="10%">3</td> <td align="center" width="10%">3</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB6_5_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">5_1</a> <a href="Javascript:showPT('FB6_5_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">5_2</a> <a href="Javascript:showPT('FB6_5_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">5_3</a> <td align="center" width="30%"> <a href="Javascript:showPT('FB6_5_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">5_1</a> <a href="Javascript:showPT('FB6_5_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">5_2</a> <a href="Javascript:showPT('FB6_5_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">5_3</a>
</td> </td>
@ -82,7 +82,7 @@
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'nBERR_IOB'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td> <td align="center" width="10%"><a href="#" onmouseover="this._tip = 'nBERR_IOB'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('iobmES1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/ES&lt;1&gt;</a></td> <td align="center" width="10%"><a href="Javascript:showEqn('iobmES3_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/ES&lt;3&gt;</a></td>
<td align="center" width="10%">3</td> <td align="center" width="10%">3</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB6_6_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">6_1</a> <a href="Javascript:showPT('FB6_6_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">6_2</a> <a href="Javascript:showPT('FB6_6_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">6_3</a> <td align="center" width="30%"> <a href="Javascript:showPT('FB6_6_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">6_1</a> <a href="Javascript:showPT('FB6_6_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">6_2</a> <a href="Javascript:showPT('FB6_6_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">6_3</a>
</td> </td>
@ -93,7 +93,7 @@
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'nVPA_IOB'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td> <td align="center" width="10%"><a href="#" onmouseover="this._tip = 'nVPA_IOB'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('iobmES0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/ES&lt;0&gt;</a></td> <td align="center" width="10%"><a href="Javascript:showEqn('iobmES1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/ES&lt;1&gt;</a></td>
<td align="center" width="10%">3</td> <td align="center" width="10%">3</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB6_7_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_1</a> <a href="Javascript:showPT('FB6_7_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_2</a> <a href="Javascript:showPT('FB6_7_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_3</a> <td align="center" width="30%"> <a href="Javascript:showPT('FB6_7_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_1</a> <a href="Javascript:showPT('FB6_7_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_2</a> <a href="Javascript:showPT('FB6_7_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_3</a>
</td> </td>
@ -104,9 +104,9 @@
<td align="center" width="10%">(b)</td> <td align="center" width="10%">(b)</td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('iobmES4_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/ES&lt;4&gt;</a></td> <td align="center" width="10%"><a href="Javascript:showEqn('iobmES0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/ES&lt;0&gt;</a></td>
<td align="center" width="10%">4</td> <td align="center" width="10%">3</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB6_8_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_1</a> <a href="Javascript:showPT('FB6_8_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_2</a> <a href="Javascript:showPT('FB6_8_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_3</a> <a href="Javascript:showPT('FB6_8_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_4</a> <td align="center" width="30%"> <a href="Javascript:showPT('FB6_8_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_1</a> <a href="Javascript:showPT('FB6_8_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_2</a> <a href="Javascript:showPT('FB6_8_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_3</a>
</td> </td>
<td align="center" width="10%">MC8</td> <td align="center" width="10%">MC8</td>
<td align="center" width="10%">STD</td> <td align="center" width="10%">STD</td>
@ -126,9 +126,9 @@
<td align="center" width="10%">O</td> <td align="center" width="10%">O</td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('iobmIOS_FSM_FFd2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOS_FSM_FFd2</a></td> <td align="center" width="10%"><a href="Javascript:showEqn('iobmES4_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/ES&lt;4&gt;</a></td>
<td align="center" width="10%">5</td> <td align="center" width="10%">4</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB6_10_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_1</a> <a href="Javascript:showPT('FB6_10_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_2</a> <a href="Javascript:showPT('FB6_10_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_3</a> <a href="Javascript:showPT('FB6_10_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_4</a> <a href="Javascript:showPT('FB6_10_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_5</a> <td align="center" width="30%"> <a href="Javascript:showPT('FB6_10_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_1</a> <a href="Javascript:showPT('FB6_10_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_2</a> <a href="Javascript:showPT('FB6_10_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_3</a> <a href="Javascript:showPT('FB6_10_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_4</a>
</td> </td>
<td align="center" width="10%">MC10</td> <td align="center" width="10%">MC10</td>
<td align="center" width="10%">STD</td> <td align="center" width="10%">STD</td>
@ -159,7 +159,7 @@
<td align="center" width="10%">O</td> <td align="center" width="10%">O</td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('iobmES2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/ES&lt;2&gt;</a></td> <td align="center" width="10%"><a href="Javascript:showEqn('iobmIOS_FSM_FFd2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOS_FSM_FFd2</a></td>
<td align="center" width="10%">5</td> <td align="center" width="10%">5</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB6_13_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_1</a> <a href="Javascript:showPT('FB6_13_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_2</a> <a href="Javascript:showPT('FB6_13_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_3</a> <a href="Javascript:showPT('FB6_13_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_4</a> <a href="Javascript:showPT('FB6_13_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_5</a> <td align="center" width="30%"> <a href="Javascript:showPT('FB6_13_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_1</a> <a href="Javascript:showPT('FB6_13_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_2</a> <a href="Javascript:showPT('FB6_13_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_3</a> <a href="Javascript:showPT('FB6_13_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_4</a> <a href="Javascript:showPT('FB6_13_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_5</a>
</td> </td>
@ -192,9 +192,9 @@
<td align="center" width="10%">O</td> <td align="center" width="10%">O</td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('iobmIOACT_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOACT</a></td> <td align="center" width="10%"><a href="Javascript:showEqn('iobmES2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/ES&lt;2&gt;</a></td>
<td align="center" width="10%">6</td> <td align="center" width="10%">5</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB6_15_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_2</a> <a href="Javascript:showPT('FB6_16_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_1</a> <a href="Javascript:showPT('FB6_16_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_2</a> <a href="Javascript:showPT('FB6_16_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_3</a> <a href="Javascript:showPT('FB6_16_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_4</a> <a href="Javascript:showPT('FB6_16_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_5</a> <td align="center" width="30%"> <a href="Javascript:showPT('FB6_16_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_1</a> <a href="Javascript:showPT('FB6_16_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_2</a> <a href="Javascript:showPT('FB6_16_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_3</a> <a href="Javascript:showPT('FB6_16_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_4</a> <a href="Javascript:showPT('FB6_16_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_5</a>
</td> </td>
<td align="center" width="10%">MC16</td> <td align="center" width="10%">MC16</td>
<td align="center" width="10%">STD</td> <td align="center" width="10%">STD</td>
@ -214,9 +214,9 @@
<td align="center" width="10%">O</td> <td align="center" width="10%">O</td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('iobmIOBERR_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOBERR</a></td> <td align="center" width="10%"><a href="Javascript:showEqn('iobmIOACT_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOACT</a></td>
<td align="center" width="10%">9</td> <td align="center" width="10%">6</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB6_17_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_2</a> <a href="Javascript:showPT('FB6_17_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_3</a> <a href="Javascript:showPT('FB6_17_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_4</a> <a href="Javascript:showPT('FB6_17_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_5</a> <a href="Javascript:showPT('FB6_18_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_1</a> <a href="Javascript:showPT('FB6_18_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_2</a> <a href="Javascript:showPT('FB6_18_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_3</a> <a href="Javascript:showPT('FB6_18_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_4</a> <a href="Javascript:showPT('FB6_18_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_5</a> <td align="center" width="30%"> <a href="Javascript:showPT('FB6_17_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_2</a> <a href="Javascript:showPT('FB6_18_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_1</a> <a href="Javascript:showPT('FB6_18_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_2</a> <a href="Javascript:showPT('FB6_18_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_3</a> <a href="Javascript:showPT('FB6_18_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_4</a> <a href="Javascript:showPT('FB6_18_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_5</a>
</td> </td>
<td align="center" width="10%">MC18</td> <td align="center" width="10%">MC18</td>
<td align="center" width="10%">STD</td> <td align="center" width="10%">STD</td>
@ -242,7 +242,6 @@
<li><a href="Javascript:showEqn('iobmEr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/Er</a></li> <li><a href="Javascript:showEqn('iobmEr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/Er</a></li>
<li><a href="Javascript:showEqn('iobmEr2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/Er2</a></li> <li><a href="Javascript:showEqn('iobmEr2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/Er2</a></li>
<li><a href="Javascript:showEqn('iobmIOACT_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOACT</a></li> <li><a href="Javascript:showEqn('iobmIOACT_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOACT</a></li>
<li><a href="Javascript:showEqn('iobmIOBERR_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOBERR</a></li>
<li><a href="Javascript:showEqn('iobmIOREQr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOREQr</a></li> <li><a href="Javascript:showEqn('iobmIOREQr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOREQr</a></li>
<li><a href="Javascript:showEqn('iobmIOS_FSM_FFd1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOS_FSM_FFd1</a></li> <li><a href="Javascript:showEqn('iobmIOS_FSM_FFd1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOS_FSM_FFd1</a></li>
<li><a href="Javascript:showEqn('iobmIOS_FSM_FFd2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOS_FSM_FFd2</a></li> <li><a href="Javascript:showEqn('iobmIOS_FSM_FFd2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOS_FSM_FFd2</a></li>
@ -259,7 +258,6 @@
<li><a href="Javascript:showEqn('iobsLoad1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/Load1</a></li> <li><a href="Javascript:showEqn('iobsLoad1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/Load1</a></li>
<li><a href="Javascript:showEqn('nADoutLE1')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nADoutLE1</a></li> <li><a href="Javascript:showEqn('nADoutLE1')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nADoutLE1</a></li>
<li><a href="Javascript:showEqn('nAoutOE')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nAoutOE</a></li> <li><a href="Javascript:showEqn('nAoutOE')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nAoutOE</a></li>
<li>nBERR_IOB</li>
<li><a href="Javascript:showEqn('nVMA_IOB')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nVMA_IOB</a></li> <li><a href="Javascript:showEqn('nVMA_IOB')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nVMA_IOB</a></li>
</ol></span><form><span class="pgRef"><table width="90%" align="center"><tr> </ol></span><form><span class="pgRef"><table width="90%" align="center"><tr>
<td align="left"><input type="button" onclick="javascript:parent.leftnav.showTop()" onmouseover="window.status='goto top of page'; return true;" onmouseout="window.status=''" value="back to top"></td> <td align="left"><input type="button" onclick="javascript:parent.leftnav.showTop()" onmouseover="window.status='goto top of page'; return true;" onmouseout="window.status=''" value="back to top"></td>

View File

@ -27,9 +27,9 @@
<th width="10%">Pin Use</th> <th width="10%">Pin Use</th>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('cntPORS_FSM_FFd1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/PORS_FSM_FFd1</a></td> <td align="center" width="10%"><a href="Javascript:showEqn('iobsOnce_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/Once</a></td>
<td align="center" width="10%">1</td> <td align="center" width="10%">15</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB8_1_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_1</a> <td align="center" width="30%"> <a href="Javascript:showPT('FB8_18_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_1</a> <a href="Javascript:showPT('FB8_18_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_2</a> <a href="Javascript:showPT('FB8_18_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_3</a> <a href="Javascript:showPT('FB8_18_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_4</a> <a href="Javascript:showPT('FB8_18_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_5</a> <a href="Javascript:showPT('FB8_1_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_1</a> <a href="Javascript:showPT('FB8_1_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_2</a> <a href="Javascript:showPT('FB8_1_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_3</a> <a href="Javascript:showPT('FB8_1_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_4</a> <a href="Javascript:showPT('FB8_1_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_5</a> <a href="Javascript:showPT('FB8_2_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_1</a> <a href="Javascript:showPT('FB8_2_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_2</a> <a href="Javascript:showPT('FB8_2_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_3</a> <a href="Javascript:showPT('FB8_2_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_4</a> <a href="Javascript:showPT('FB8_2_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_5</a>
</td> </td>
<td align="center" width="10%">MC1</td> <td align="center" width="10%">MC1</td>
<td align="center" width="10%">STD</td> <td align="center" width="10%">STD</td>
@ -40,7 +40,7 @@
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('RA11_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">RA&lt;11&gt;</a></td> <td align="center" width="10%"><a href="Javascript:showEqn('RA11_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">RA&lt;11&gt;</a></td>
<td align="center" width="10%">1</td> <td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB8_2_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_1</a> <td align="center" width="30%"> <a href="Javascript:showPT('FB8_3_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_2</a>
</td> </td>
<td align="center" width="10%">MC2</td> <td align="center" width="10%">MC2</td>
<td align="center" width="10%">STD</td> <td align="center" width="10%">STD</td>
@ -49,9 +49,9 @@
<td align="center" width="10%">O</td> <td align="center" width="10%">O</td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('ramRS_FSM_FFd3_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RS_FSM_FFd3</a></td> <td align="center" width="10%"><a href="Javascript:showEqn('iobsClear1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/Clear1</a></td>
<td align="center" width="10%">9</td> <td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB8_2_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_2</a> <a href="Javascript:showPT('FB8_2_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_3</a> <a href="Javascript:showPT('FB8_2_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_4</a> <a href="Javascript:showPT('FB8_2_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_5</a> <a href="Javascript:showPT('FB8_3_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_1</a> <a href="Javascript:showPT('FB8_3_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_2</a> <a href="Javascript:showPT('FB8_3_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_3</a> <a href="Javascript:showPT('FB8_3_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_4</a> <a href="Javascript:showPT('FB8_3_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_5</a> <td align="center" width="30%"> <a href="Javascript:showPT('FB8_3_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_1</a>
</td> </td>
<td align="center" width="10%">MC3</td> <td align="center" width="10%">MC3</td>
<td align="center" width="10%">STD</td> <td align="center" width="10%">STD</td>
@ -60,14 +60,15 @@
<td align="center" width="10%">(b)</td> <td align="center" width="10%">(b)</td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%">(unused)</td> <td align="center" width="10%"><a href="Javascript:showEqn('iobsALE0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/ALE0</a></td>
<td align="center" width="10%">0</td> <td align="center" width="10%">1</td>
<td align="center" width="30%"> </td> <td align="center" width="30%"> <a href="Javascript:showPT('FB8_4_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">4_1</a>
</td>
<td align="center" width="10%">MC4</td> <td align="center" width="10%">MC4</td>
<td align="center" width="10%"> </td> <td align="center" width="10%">STD</td>
<td align="center" width="10%"> </td> <td align="center" width="10%"> </td>
<td align="center" width="10%">(b)</td> <td align="center" width="10%">(b)</td>
<td align="center" width="10%"> </td> <td align="center" width="10%">(b)</td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('nRAS')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nRAS</a></td> <td align="center" width="10%"><a href="Javascript:showEqn('nRAS')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nRAS</a></td>
@ -83,7 +84,7 @@
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('nRAMLWE')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nRAMLWE</a></td> <td align="center" width="10%"><a href="Javascript:showEqn('nRAMLWE')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nRAMLWE</a></td>
<td align="center" width="10%">1</td> <td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB8_5_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">5_4</a> <td align="center" width="30%"> <a href="Javascript:showPT('FB8_6_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">6_1</a>
</td> </td>
<td align="center" width="10%">MC6</td> <td align="center" width="10%">MC6</td>
<td align="center" width="10%">STD</td> <td align="center" width="10%">STD</td>
@ -92,9 +93,9 @@
<td align="center" width="10%">O</td> <td align="center" width="10%">O</td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('iobsPS_FSM_FFd2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/PS_FSM_FFd2</a></td> <td align="center" width="10%"><a href="Javascript:showEqn('iobsPS_FSM_FFd1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/PS_FSM_FFd1</a></td>
<td align="center" width="10%">14</td> <td align="center" width="10%">2</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB8_6_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">6_1</a> <a href="Javascript:showPT('FB8_6_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">6_2</a> <a href="Javascript:showPT('FB8_6_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">6_3</a> <a href="Javascript:showPT('FB8_6_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">6_4</a> <a href="Javascript:showPT('FB8_6_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">6_5</a> <a href="Javascript:showPT('FB8_7_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_1</a> <a href="Javascript:showPT('FB8_7_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_2</a> <a href="Javascript:showPT('FB8_7_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_3</a> <a href="Javascript:showPT('FB8_7_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_4</a> <a href="Javascript:showPT('FB8_7_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_5</a> <a href="Javascript:showPT('FB8_8_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_2</a> <a href="Javascript:showPT('FB8_8_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_3</a> <a href="Javascript:showPT('FB8_8_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_4</a> <a href="Javascript:showPT('FB8_8_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_5</a> <td align="center" width="30%"> <a href="Javascript:showPT('FB8_7_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_1</a> <a href="Javascript:showPT('FB8_7_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_2</a>
</td> </td>
<td align="center" width="10%">MC7</td> <td align="center" width="10%">MC7</td>
<td align="center" width="10%">STD</td> <td align="center" width="10%">STD</td>
@ -105,7 +106,7 @@
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('nRAMUWE')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nRAMUWE</a></td> <td align="center" width="10%"><a href="Javascript:showEqn('nRAMUWE')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nRAMUWE</a></td>
<td align="center" width="10%">1</td> <td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB8_8_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_1</a> <td align="center" width="30%"> <a href="Javascript:showPT('FB8_7_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_3</a>
</td> </td>
<td align="center" width="10%">MC8</td> <td align="center" width="10%">MC8</td>
<td align="center" width="10%">STD</td> <td align="center" width="10%">STD</td>
@ -114,32 +115,33 @@
<td align="center" width="10%">O</td> <td align="center" width="10%">O</td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%">(unused)</td> <td align="center" width="10%"><a href="Javascript:showEqn('ramRS_FSM_FFd1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RS_FSM_FFd1</a></td>
<td align="center" width="10%">0</td> <td align="center" width="10%">8</td>
<td align="center" width="30%"> </td> <td align="center" width="30%"> <a href="Javascript:showPT('FB8_8_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_1</a> <a href="Javascript:showPT('FB8_8_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_2</a> <a href="Javascript:showPT('FB8_8_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_3</a> <a href="Javascript:showPT('FB8_8_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_4</a> <a href="Javascript:showPT('FB8_8_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_5</a> <a href="Javascript:showPT('FB8_9_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_1</a> <a href="Javascript:showPT('FB8_9_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_2</a> <a href="Javascript:showPT('FB8_9_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_3</a>
</td>
<td align="center" width="10%">MC9</td> <td align="center" width="10%">MC9</td>
<td align="center" width="10%"> </td> <td align="center" width="10%">STD</td>
<td align="center" width="10%">67</td> <td align="center" width="10%">67</td>
<td width="8%" align="center">I/O</td> <td width="8%" align="center">I/O</td>
<td align="center" width="10%">(b)</td> <td align="center" width="10%">(b)</td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('iobsLoad1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/Load1</a></td> <td align="center" width="10%">(unused)</td>
<td align="center" width="10%">14</td> <td align="center" width="10%">0</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB8_10_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_1</a> <a href="Javascript:showPT('FB8_10_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_2</a> <a href="Javascript:showPT('FB8_10_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_3</a> <a href="Javascript:showPT('FB8_10_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_4</a> <a href="Javascript:showPT('FB8_10_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_5</a> <a href="Javascript:showPT('FB8_11_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">11_1</a> <a href="Javascript:showPT('FB8_11_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">11_2</a> <a href="Javascript:showPT('FB8_11_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">11_3</a> <a href="Javascript:showPT('FB8_11_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">11_4</a> <a href="Javascript:showPT('FB8_11_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">11_5</a> <a href="Javascript:showPT('FB8_9_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_1</a> <a href="Javascript:showPT('FB8_9_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_2</a> <a href="Javascript:showPT('FB8_9_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_3</a> <a href="Javascript:showPT('FB8_9_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_4</a> <td align="center" width="30%"> </td>
</td>
<td align="center" width="10%">MC10</td> <td align="center" width="10%">MC10</td>
<td align="center" width="10%">STD</td> <td align="center" width="10%"> </td>
<td align="center" width="10%"> </td> <td align="center" width="10%"> </td>
<td align="center" width="10%">(b)</td> <td align="center" width="10%">(b)</td>
<td align="center" width="10%">(b)</td> <td align="center" width="10%">(b)</td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%">(unused)</td> <td align="center" width="10%"><a href="Javascript:showEqn('ramRS_FSM_FFd3_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RS_FSM_FFd3</a></td>
<td align="center" width="10%">0</td> <td align="center" width="10%">9</td>
<td align="center" width="30%"> </td> <td align="center" width="30%"> <a href="Javascript:showPT('FB8_10_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_1</a> <a href="Javascript:showPT('FB8_10_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_2</a> <a href="Javascript:showPT('FB8_10_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_3</a> <a href="Javascript:showPT('FB8_10_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_4</a> <a href="Javascript:showPT('FB8_10_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_5</a> <a href="Javascript:showPT('FB8_11_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">11_1</a> <a href="Javascript:showPT('FB8_11_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">11_2</a> <a href="Javascript:showPT('FB8_9_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_4</a> <a href="Javascript:showPT('FB8_9_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_5</a>
</td>
<td align="center" width="10%">MC11</td> <td align="center" width="10%">MC11</td>
<td align="center" width="10%"> </td> <td align="center" width="10%">STD</td>
<td align="center" width="10%">68</td> <td align="center" width="10%">68</td>
<td width="8%" align="center">I/O</td> <td width="8%" align="center">I/O</td>
<td align="center" width="10%">(b)</td> <td align="center" width="10%">(b)</td>
@ -147,7 +149,7 @@
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('nBERR_FSB')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nBERR_FSB</a></td> <td align="center" width="10%"><a href="Javascript:showEqn('nBERR_FSB')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nBERR_FSB</a></td>
<td align="center" width="10%">4</td> <td align="center" width="10%">4</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB8_12_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_1</a> <a href="Javascript:showPT('FB8_12_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_2</a> <a href="Javascript:showPT('FB8_12_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_3</a> <a href="Javascript:showPT('FB8_12_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_4</a> <td align="center" width="30%"> <a href="Javascript:showPT('FB8_11_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">11_3</a> <a href="Javascript:showPT('FB8_11_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">11_4</a> <a href="Javascript:showPT('FB8_11_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">11_5</a> <a href="Javascript:showPT('FB8_12_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_1</a>
</td> </td>
<td align="center" width="10%">MC12</td> <td align="center" width="10%">MC12</td>
<td align="center" width="10%">STD</td> <td align="center" width="10%">STD</td>
@ -166,9 +168,9 @@
<td align="center" width="10%">(b)</td> <td align="center" width="10%">(b)</td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('iobsIOREQ_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/IOREQ</a></td> <td align="center" width="10%"><a href="Javascript:showEqn('iobsPS_FSM_FFd2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/PS_FSM_FFd2</a></td>
<td align="center" width="10%">14</td> <td align="center" width="10%">12</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB8_12_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_5</a> <a href="Javascript:showPT('FB8_13_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_1</a> <a href="Javascript:showPT('FB8_13_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_2</a> <a href="Javascript:showPT('FB8_13_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_3</a> <a href="Javascript:showPT('FB8_13_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_4</a> <a href="Javascript:showPT('FB8_13_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_5</a> <a href="Javascript:showPT('FB8_14_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_1</a> <a href="Javascript:showPT('FB8_14_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_2</a> <a href="Javascript:showPT('FB8_14_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_3</a> <a href="Javascript:showPT('FB8_14_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_4</a> <a href="Javascript:showPT('FB8_14_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_5</a> <a href="Javascript:showPT('FB8_15_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_3</a> <a href="Javascript:showPT('FB8_15_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_4</a> <a href="Javascript:showPT('FB8_15_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_5</a> <td align="center" width="30%"> <a href="Javascript:showPT('FB8_12_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_2</a> <a href="Javascript:showPT('FB8_12_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_3</a> <a href="Javascript:showPT('FB8_12_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_4</a> <a href="Javascript:showPT('FB8_12_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_5</a> <a href="Javascript:showPT('FB8_13_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_1</a> <a href="Javascript:showPT('FB8_13_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_2</a> <a href="Javascript:showPT('FB8_13_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_3</a> <a href="Javascript:showPT('FB8_13_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_4</a> <a href="Javascript:showPT('FB8_13_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_5</a> <a href="Javascript:showPT('FB8_14_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_1</a> <a href="Javascript:showPT('FB8_14_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_2</a> <a href="Javascript:showPT('FB8_14_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_3</a>
</td> </td>
<td align="center" width="10%">MC14</td> <td align="center" width="10%">MC14</td>
<td align="center" width="10%">STD</td> <td align="center" width="10%">STD</td>
@ -179,7 +181,7 @@
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('nBR_IOB')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nBR_IOB</a></td> <td align="center" width="10%"><a href="Javascript:showEqn('nBR_IOB')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nBR_IOB</a></td>
<td align="center" width="10%">2</td> <td align="center" width="10%">2</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB8_15_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_1</a> <a href="Javascript:showPT('FB8_15_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_2</a> <td align="center" width="30%"> <a href="Javascript:showPT('FB8_14_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_4</a> <a href="Javascript:showPT('FB8_14_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_5</a>
</td> </td>
<td align="center" width="10%">MC15</td> <td align="center" width="10%">MC15</td>
<td align="center" width="10%">STD</td> <td align="center" width="10%">STD</td>
@ -188,25 +190,26 @@
<td align="center" width="10%">O</td> <td align="center" width="10%">O</td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%">(unused)</td> <td align="center" width="10%"><a href="Javascript:showEqn('iobsLoad1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/Load1</a></td>
<td align="center" width="10%">0</td> <td align="center" width="10%">14</td>
<td align="center" width="30%"> </td> <td align="center" width="30%"> <a href="Javascript:showPT('FB8_15_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_1</a> <a href="Javascript:showPT('FB8_15_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_2</a> <a href="Javascript:showPT('FB8_15_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_3</a> <a href="Javascript:showPT('FB8_15_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_4</a> <a href="Javascript:showPT('FB8_15_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_5</a> <a href="Javascript:showPT('FB8_16_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_1</a> <a href="Javascript:showPT('FB8_16_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_2</a> <a href="Javascript:showPT('FB8_16_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_3</a> <a href="Javascript:showPT('FB8_16_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_4</a> <a href="Javascript:showPT('FB8_16_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_5</a> <a href="Javascript:showPT('FB8_17_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_2</a> <a href="Javascript:showPT('FB8_17_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_3</a> <a href="Javascript:showPT('FB8_17_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_4</a> <a href="Javascript:showPT('FB8_17_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_5</a>
</td>
<td align="center" width="10%">MC16</td> <td align="center" width="10%">MC16</td>
<td align="center" width="10%"> </td> <td align="center" width="10%">STD</td>
<td align="center" width="10%"> </td> <td align="center" width="10%"> </td>
<td align="center" width="10%">(b)</td> <td align="center" width="10%">(b)</td>
<td align="center" width="10%">(b)</td> <td align="center" width="10%">(b)</td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('iobsOnce_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/Once</a></td> <td align="center" width="10%"><a href="Javascript:showEqn('ramBACTr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/BACTr</a></td>
<td align="center" width="10%">17</td> <td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB8_16_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_1</a> <a href="Javascript:showPT('FB8_16_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_2</a> <a href="Javascript:showPT('FB8_16_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_3</a> <a href="Javascript:showPT('FB8_16_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_4</a> <a href="Javascript:showPT('FB8_16_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_5</a> <a href="Javascript:showPT('FB8_17_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_1</a> <a href="Javascript:showPT('FB8_17_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_2</a> <a href="Javascript:showPT('FB8_17_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_3</a> <a href="Javascript:showPT('FB8_17_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_4</a> <a href="Javascript:showPT('FB8_17_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_5</a> <a href="Javascript:showPT('FB8_18_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_1</a> <a href="Javascript:showPT('FB8_18_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_2</a> <a href="Javascript:showPT('FB8_18_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_3</a> <a href="Javascript:showPT('FB8_18_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_4</a> <a href="Javascript:showPT('FB8_18_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_5</a> <a href="Javascript:showPT('FB8_1_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_2</a> <a href="Javascript:showPT('FB8_1_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_3</a> <td align="center" width="30%"> <a href="Javascript:showPT('FB8_17_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_1</a>
</td> </td>
<td align="center" width="10%">MC17</td> <td align="center" width="10%">MC17</td>
<td align="center" width="10%">STD</td> <td align="center" width="10%">STD</td>
<td align="center" width="10%">73</td> <td align="center" width="10%">73</td>
<td width="8%" align="center">I/O</td> <td width="8%" align="center">I/O</td>
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'nBG_IOB'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td> <td align="center" width="10%">(b)</td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%">(unused)</td> <td align="center" width="10%">(unused)</td>
@ -232,7 +235,6 @@
<li>A_FSB&lt;22&gt;</li> <li>A_FSB&lt;22&gt;</li>
<li>A_FSB&lt;23&gt;</li> <li>A_FSB&lt;23&gt;</li>
<li><a href="Javascript:showEqn('cntIPL2r_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/IPL2r</a></li> <li><a href="Javascript:showEqn('cntIPL2r_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/IPL2r</a></li>
<li><a href="Javascript:showEqn('cntLTimer13_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;13&gt;</a></li>
<li><a href="Javascript:showEqn('cntPORS_FSM_FFd1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/PORS_FSM_FFd1</a></li> <li><a href="Javascript:showEqn('cntPORS_FSM_FFd1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/PORS_FSM_FFd1</a></li>
<li><a href="Javascript:showEqn('cntPORS_FSM_FFd2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/PORS_FSM_FFd2</a></li> <li><a href="Javascript:showEqn('cntPORS_FSM_FFd2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/PORS_FSM_FFd2</a></li>
<li><a href="Javascript:showEqn('csnOverlay1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cs/nOverlay1</a></li> <li><a href="Javascript:showEqn('csnOverlay1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cs/nOverlay1</a></li>

View File

@ -247,14 +247,6 @@
<td align="center">I</td> <td align="center">I</td>
</tr> </tr>
<tr> <tr>
<td width="60%">nBG_IOB</td>
<td align="center"><a href="javascript:showFB('FB8')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB8</a></td>
<td align="center">MC17</td>
<td align="center">73</td>
<td align="center">I/O</td>
<td align="center">I</td>
</tr>
<tr>
<td width="60%">nDTACK_IOB</td> <td width="60%">nDTACK_IOB</td>
<td align="center"><a href="javascript:showFB('FB6')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB6</a></td> <td align="center"><a href="javascript:showFB('FB6')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB6</a></td>
<td align="center">MC8</td> <td align="center">MC8</td>

View File

@ -294,14 +294,6 @@
<td align="center">I/O</td> <td align="center">I/O</td>
<td align="center">I</td> <td align="center">I</td>
</tr> </tr>
<tr>
<td width="60%">nBG_IOB</td>
<td align="center"><a href="javascript:showFB('FB8')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB8</a></td>
<td align="center">MC17</td>
<td align="center">73</td>
<td align="center">I/O</td>
<td align="center">I</td>
</tr>
</table></span><form><span class="pgRef"><table width="90%" align="center"><tr> </table></span><form><span class="pgRef"><table width="90%" align="center"><tr>
<td align="left"><input type="button" onclick="javascript:parent.leftnav.showTop()" onmouseover="window.status='goto top of page'; return true;" onmouseout="window.status=''" value="back to top"></td> <td align="left"><input type="button" onclick="javascript:parent.leftnav.showTop()" onmouseover="window.status='goto top of page'; return true;" onmouseout="window.status=''" value="back to top"></td>
<td align="right"> <td align="right">

View File

@ -231,14 +231,6 @@
<td align="center">I</td> <td align="center">I</td>
</tr> </tr>
<tr> <tr>
<td width="60%">nBG_IOB</td>
<td align="center"><a href="javascript:showFB('FB8')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB8</a></td>
<td align="center">MC17</td>
<td align="center">73</td>
<td align="center">I/O</td>
<td align="center">I</td>
</tr>
<tr>
<td width="60%">nBERR_IOB</td> <td width="60%">nBERR_IOB</td>
<td align="center"><a href="javascript:showFB('FB6')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB6</a></td> <td align="center"><a href="javascript:showFB('FB6')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB6</a></td>
<td align="center">MC5</td> <td align="center">MC5</td>

File diff suppressed because it is too large Load Diff

View File

@ -20,9 +20,9 @@
<th align="center">Reg Init State</th> <th align="center">Reg Init State</th>
</tr> </tr>
<tr> <tr>
<td width="28%"><a href="javascript:showEqn('iobmnASr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/nASr</a></td> <td width="28%"><a href="javascript:showEqn('ramRegUrgentSync_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RegUrgentSync</a></td>
<td align="center">1</td>
<td align="center">1</td> <td align="center">1</td>
<td align="center">2</td>
<td align="center"><a href="javascript:showFB('FB1')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB1</a></td> <td align="center"><a href="javascript:showFB('FB1')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB1</a></td>
<td align="center">MC1</td> <td align="center">MC1</td>
<td align="center">STD</td> <td align="center">STD</td>
@ -33,9 +33,9 @@
<td align="center">RESET</td> <td align="center">RESET</td>
</tr> </tr>
<tr> <tr>
<td width="28%"><a href="javascript:showEqn('iobmVPArr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/VPArr</a></td> <td width="28%"><a href="javascript:showEqn('ramRefUrgent_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RefUrgent</a></td>
<td align="center">1</td>
<td align="center">1</td> <td align="center">1</td>
<td align="center">2</td>
<td align="center"><a href="javascript:showFB('FB1')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB1</a></td> <td align="center"><a href="javascript:showFB('FB1')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB1</a></td>
<td align="center">MC2</td> <td align="center">MC2</td>
<td align="center">STD</td> <td align="center">STD</td>
@ -46,7 +46,7 @@
<td align="center">RESET</td> <td align="center">RESET</td>
</tr> </tr>
<tr> <tr>
<td width="28%"><a href="javascript:showEqn('iobmVPArf_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/VPArf</a></td> <td width="28%"><a href="javascript:showEqn('ramRefReqSync_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RefReqSync</a></td>
<td align="center">1</td> <td align="center">1</td>
<td align="center">1</td> <td align="center">1</td>
<td align="center"><a href="javascript:showFB('FB1')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB1</a></td> <td align="center"><a href="javascript:showFB('FB1')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB1</a></td>
@ -59,9 +59,9 @@
<td align="center">RESET</td> <td align="center">RESET</td>
</tr> </tr>
<tr> <tr>
<td width="28%"><a href="javascript:showEqn('iobmRESrr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/RESrr</a></td> <td width="28%"><a href="javascript:showEqn('ramRefReq_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RefReq</a></td>
<td align="center">1</td>
<td align="center">1</td> <td align="center">1</td>
<td align="center">2</td>
<td align="center"><a href="javascript:showFB('FB1')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB1</a></td> <td align="center"><a href="javascript:showFB('FB1')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB1</a></td>
<td align="center">MC4</td> <td align="center">MC4</td>
<td align="center">STD</td> <td align="center">STD</td>
@ -72,9 +72,9 @@
<td align="center">RESET</td> <td align="center">RESET</td>
</tr> </tr>
<tr> <tr>
<td width="28%"><a href="javascript:showEqn('iobmRESrf_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/RESrf</a></td> <td width="28%"><a href="javascript:showEqn('ramRefRAS_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RefRAS</a></td>
<td align="center">1</td>
<td align="center">1</td> <td align="center">1</td>
<td align="center">2</td>
<td align="center"><a href="javascript:showFB('FB1')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB1</a></td> <td align="center"><a href="javascript:showFB('FB1')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB1</a></td>
<td align="center">MC5</td> <td align="center">MC5</td>
<td align="center">STD</td> <td align="center">STD</td>
@ -85,7 +85,7 @@
<td align="center">RESET</td> <td align="center">RESET</td>
</tr> </tr>
<tr> <tr>
<td width="28%"><a href="javascript:showEqn('iobmIOREQr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOREQr</a></td> <td width="28%"><a href="javascript:showEqn('iobsIOACTr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/IOACTr</a></td>
<td align="center">1</td> <td align="center">1</td>
<td align="center">1</td> <td align="center">1</td>
<td align="center"><a href="javascript:showFB('FB1')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB1</a></td> <td align="center"><a href="javascript:showFB('FB1')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB1</a></td>
@ -98,7 +98,7 @@
<td align="center">RESET</td> <td align="center">RESET</td>
</tr> </tr>
<tr> <tr>
<td width="28%"><a href="javascript:showEqn('iobmEr2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/Er2</a></td> <td width="28%"><a href="javascript:showEqn('fsbASrf_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">fsb/ASrf</a></td>
<td align="center">1</td> <td align="center">1</td>
<td align="center">1</td> <td align="center">1</td>
<td align="center"><a href="javascript:showFB('FB1')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB1</a></td> <td align="center"><a href="javascript:showFB('FB1')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB1</a></td>
@ -111,9 +111,9 @@
<td align="center">RESET</td> <td align="center">RESET</td>
</tr> </tr>
<tr> <tr>
<td width="28%"><a href="javascript:showEqn('iobmEr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/Er</a></td> <td width="28%"><a href="javascript:showEqn('iobsIOU1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/IOU1</a></td>
<td align="center">1</td> <td align="center">2</td>
<td align="center">1</td> <td align="center">2</td>
<td align="center"><a href="javascript:showFB('FB1')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB1</a></td> <td align="center"><a href="javascript:showFB('FB1')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB1</a></td>
<td align="center">MC8</td> <td align="center">MC8</td>
<td align="center">STD</td> <td align="center">STD</td>
@ -124,9 +124,9 @@
<td align="center">RESET</td> <td align="center">RESET</td>
</tr> </tr>
<tr> <tr>
<td width="28%"><a href="javascript:showEqn('iobmDTACKrr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/DTACKrr</a></td> <td width="28%"><a href="javascript:showEqn('iobsIOL1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/IOL1</a></td>
<td align="center">1</td> <td align="center">2</td>
<td align="center">1</td> <td align="center">2</td>
<td align="center"><a href="javascript:showFB('FB1')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB1</a></td> <td align="center"><a href="javascript:showFB('FB1')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB1</a></td>
<td align="center">MC9</td> <td align="center">MC9</td>
<td align="center">STD</td> <td align="center">STD</td>
@ -137,9 +137,9 @@
<td align="center">RESET</td> <td align="center">RESET</td>
</tr> </tr>
<tr> <tr>
<td width="28%"><a href="javascript:showEqn('iobmDTACKrf_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/DTACKrf</a></td> <td width="28%"><a href="javascript:showEqn('cntTimerTC_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/TimerTC</a></td>
<td align="center">1</td> <td align="center">2</td>
<td align="center">1</td> <td align="center">5</td>
<td align="center"><a href="javascript:showFB('FB1')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB1</a></td> <td align="center"><a href="javascript:showFB('FB1')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB1</a></td>
<td align="center">MC10</td> <td align="center">MC10</td>
<td align="center">STD</td> <td align="center">STD</td>
@ -150,9 +150,9 @@
<td align="center">RESET</td> <td align="center">RESET</td>
</tr> </tr>
<tr> <tr>
<td width="28%"><a href="javascript:showEqn('iobmBERRrr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/BERRrr</a></td> <td width="28%"><a href="javascript:showEqn('iobsIOU0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/IOU0</a></td>
<td align="center">1</td> <td align="center">3</td>
<td align="center">1</td> <td align="center">5</td>
<td align="center"><a href="javascript:showFB('FB1')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB1</a></td> <td align="center"><a href="javascript:showFB('FB1')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB1</a></td>
<td align="center">MC11</td> <td align="center">MC11</td>
<td align="center">STD</td> <td align="center">STD</td>
@ -163,9 +163,9 @@
<td align="center">RESET</td> <td align="center">RESET</td>
</tr> </tr>
<tr> <tr>
<td width="28%"><a href="javascript:showEqn('iobmBERRrf_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/BERRrf</a></td> <td width="28%"><a href="javascript:showEqn('iobsIOL0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/IOL0</a></td>
<td align="center">1</td> <td align="center">3</td>
<td align="center">1</td> <td align="center">5</td>
<td align="center"><a href="javascript:showFB('FB1')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB1</a></td> <td align="center"><a href="javascript:showFB('FB1')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB1</a></td>
<td align="center">MC12</td> <td align="center">MC12</td>
<td align="center">STD</td> <td align="center">STD</td>
@ -176,9 +176,9 @@
<td align="center">RESET</td> <td align="center">RESET</td>
</tr> </tr>
<tr> <tr>
<td width="28%"><a href="javascript:showEqn('cntIPL2r_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/IPL2r</a></td> <td width="28%"><a href="javascript:showEqn('cntTimer3_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/Timer&lt;3&gt;</a></td>
<td align="center">1</td> <td align="center">3</td>
<td align="center">1</td> <td align="center">6</td>
<td align="center"><a href="javascript:showFB('FB1')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB1</a></td> <td align="center"><a href="javascript:showFB('FB1')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB1</a></td>
<td align="center">MC13</td> <td align="center">MC13</td>
<td align="center">STD</td> <td align="center">STD</td>
@ -189,9 +189,9 @@
<td align="center">RESET</td> <td align="center">RESET</td>
</tr> </tr>
<tr> <tr>
<td width="28%"><a href="javascript:showEqn('iobmIOS_FSM_FFd1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOS_FSM_FFd1</a></td> <td width="28%"><a href="javascript:showEqn('cntTimer2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/Timer&lt;2&gt;</a></td>
<td align="center">2</td>
<td align="center">3</td> <td align="center">3</td>
<td align="center">5</td>
<td align="center"><a href="javascript:showFB('FB1')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB1</a></td> <td align="center"><a href="javascript:showFB('FB1')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB1</a></td>
<td align="center">MC14</td> <td align="center">MC14</td>
<td align="center">STD</td> <td align="center">STD</td>
@ -202,9 +202,9 @@
<td align="center">RESET</td> <td align="center">RESET</td>
</tr> </tr>
<tr> <tr>
<td width="28%"><a href="javascript:showEqn('iobmBG_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/BG</a></td> <td width="28%"><a href="javascript:showEqn('cntTimer1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/Timer&lt;1&gt;</a></td>
<td align="center">2</td> <td align="center">3</td>
<td align="center">2</td> <td align="center">4</td>
<td align="center"><a href="javascript:showFB('FB1')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB1</a></td> <td align="center"><a href="javascript:showFB('FB1')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB1</a></td>
<td align="center">MC15</td> <td align="center">MC15</td>
<td align="center">STD</td> <td align="center">STD</td>
@ -215,7 +215,7 @@
<td align="center">RESET</td> <td align="center">RESET</td>
</tr> </tr>
<tr> <tr>
<td width="28%"><a href="javascript:showEqn('iobsIOU0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/IOU0</a></td> <td width="28%"><a href="javascript:showEqn('cntRefUrgent_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/RefUrgent</a></td>
<td align="center">3</td> <td align="center">3</td>
<td align="center">5</td> <td align="center">5</td>
<td align="center"><a href="javascript:showFB('FB1')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB1</a></td> <td align="center"><a href="javascript:showFB('FB1')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB1</a></td>
@ -228,9 +228,9 @@
<td align="center">RESET</td> <td align="center">RESET</td>
</tr> </tr>
<tr> <tr>
<td width="28%"><a href="javascript:showEqn('iobsIOL0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/IOL0</a></td> <td width="28%"><a href="javascript:showEqn('iobsIOReady_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/IOReady</a></td>
<td align="center">3</td> <td align="center">4</td>
<td align="center">5</td> <td align="center">8</td>
<td align="center"><a href="javascript:showFB('FB1')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB1</a></td> <td align="center"><a href="javascript:showFB('FB1')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB1</a></td>
<td align="center">MC17</td> <td align="center">MC17</td>
<td align="center">STD</td> <td align="center">STD</td>
@ -241,9 +241,9 @@
<td align="center">RESET</td> <td align="center">RESET</td>
</tr> </tr>
<tr> <tr>
<td width="28%"><a href="javascript:showEqn('iobmIOS_FSM_FFd3_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOS_FSM_FFd3</a></td> <td width="28%"><a href="javascript:showEqn('cntRefReq_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/RefReq</a></td>
<td align="center">3</td> <td align="center">4</td>
<td align="center">6</td> <td align="center">5</td>
<td align="center"><a href="javascript:showFB('FB1')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB1</a></td> <td align="center"><a href="javascript:showFB('FB1')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB1</a></td>
<td align="center">MC18</td> <td align="center">MC18</td>
<td align="center">STD</td> <td align="center">STD</td>
@ -254,33 +254,7 @@
<td align="center">RESET</td> <td align="center">RESET</td>
</tr> </tr>
<tr> <tr>
<td width="28%"><a href="javascript:showEqn('ramRegUrgentSync_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RegUrgentSync</a></td> <td width="28%"><a href="javascript:showEqn('iobmVPArr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/VPArr</a></td>
<td align="center">1</td>
<td align="center">1</td>
<td align="center"><a href="javascript:showFB('FB2')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB2</a></td>
<td align="center">MC7</td>
<td align="center">STD</td>
<td align="center"> </td>
<td align="center"> </td>
<td align="center">(b)</td>
<td align="center">(b)</td>
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('ramRefUrgent_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RefUrgent</a></td>
<td align="center">1</td>
<td align="center">2</td>
<td align="center"><a href="javascript:showFB('FB2')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB2</a></td>
<td align="center">MC8</td>
<td align="center">STD</td>
<td align="center"> </td>
<td align="center">3</td>
<td align="center">I/O/GTS1</td>
<td align="center">I</td>
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('ramRefReqSync_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RefReqSync</a></td>
<td align="center">1</td> <td align="center">1</td>
<td align="center">1</td> <td align="center">1</td>
<td align="center"><a href="javascript:showFB('FB2')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB2</a></td> <td align="center"><a href="javascript:showFB('FB2')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB2</a></td>
@ -293,9 +267,9 @@
<td align="center">RESET</td> <td align="center">RESET</td>
</tr> </tr>
<tr> <tr>
<td width="28%"><a href="javascript:showEqn('ramRefReq_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RefReq</a></td> <td width="28%"><a href="javascript:showEqn('iobmVPArf_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/VPArf</a></td>
<td align="center">1</td>
<td align="center">1</td> <td align="center">1</td>
<td align="center">2</td>
<td align="center"><a href="javascript:showFB('FB2')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB2</a></td> <td align="center"><a href="javascript:showFB('FB2')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB2</a></td>
<td align="center">MC10</td> <td align="center">MC10</td>
<td align="center">STD</td> <td align="center">STD</td>
@ -306,9 +280,9 @@
<td align="center">RESET</td> <td align="center">RESET</td>
</tr> </tr>
<tr> <tr>
<td width="28%"><a href="javascript:showEqn('ramRefRAS_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RefRAS</a></td> <td width="28%"><a href="javascript:showEqn('iobmRESrr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/RESrr</a></td>
<td align="center">1</td>
<td align="center">1</td> <td align="center">1</td>
<td align="center">2</td>
<td align="center"><a href="javascript:showFB('FB2')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB2</a></td> <td align="center"><a href="javascript:showFB('FB2')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB2</a></td>
<td align="center">MC11</td> <td align="center">MC11</td>
<td align="center">STD</td> <td align="center">STD</td>
@ -319,9 +293,9 @@
<td align="center">RESET</td> <td align="center">RESET</td>
</tr> </tr>
<tr> <tr>
<td width="28%"><a href="javascript:showEqn('ramBACTr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/BACTr</a></td> <td width="28%"><a href="javascript:showEqn('iobmRESrf_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/RESrf</a></td>
<td align="center">1</td>
<td align="center">1</td> <td align="center">1</td>
<td align="center">2</td>
<td align="center"><a href="javascript:showFB('FB2')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB2</a></td> <td align="center"><a href="javascript:showFB('FB2')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB2</a></td>
<td align="center">MC12</td> <td align="center">MC12</td>
<td align="center">STD</td> <td align="center">STD</td>
@ -332,7 +306,7 @@
<td align="center">RESET</td> <td align="center">RESET</td>
</tr> </tr>
<tr> <tr>
<td width="28%"><a href="javascript:showEqn('iobsIOACTr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/IOACTr</a></td> <td width="28%"><a href="javascript:showEqn('iobmIOREQr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOREQr</a></td>
<td align="center">1</td> <td align="center">1</td>
<td align="center">1</td> <td align="center">1</td>
<td align="center"><a href="javascript:showFB('FB2')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB2</a></td> <td align="center"><a href="javascript:showFB('FB2')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB2</a></td>
@ -345,9 +319,9 @@
<td align="center">RESET</td> <td align="center">RESET</td>
</tr> </tr>
<tr> <tr>
<td width="28%"><a href="javascript:showEqn('iobsALE0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/ALE0</a></td> <td width="28%"><a href="javascript:showEqn('iobmEr2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/Er2</a></td>
<td align="center">1</td>
<td align="center">1</td> <td align="center">1</td>
<td align="center">2</td>
<td align="center"><a href="javascript:showFB('FB2')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB2</a></td> <td align="center"><a href="javascript:showFB('FB2')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB2</a></td>
<td align="center">MC14</td> <td align="center">MC14</td>
<td align="center">STD</td> <td align="center">STD</td>
@ -358,7 +332,7 @@
<td align="center">RESET</td> <td align="center">RESET</td>
</tr> </tr>
<tr> <tr>
<td width="28%"><a href="javascript:showEqn('fsbASrf_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">fsb/ASrf</a></td> <td width="28%"><a href="javascript:showEqn('iobmEr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/Er</a></td>
<td align="center">1</td> <td align="center">1</td>
<td align="center">1</td> <td align="center">1</td>
<td align="center"><a href="javascript:showFB('FB2')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB2</a></td> <td align="center"><a href="javascript:showFB('FB2')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB2</a></td>
@ -371,9 +345,9 @@
<td align="center">RESET</td> <td align="center">RESET</td>
</tr> </tr>
<tr> <tr>
<td width="28%"><a href="javascript:showEqn('iobsPS_FSM_FFd1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/PS_FSM_FFd1</a></td> <td width="28%"><a href="javascript:showEqn('iobmDTACKrr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/DTACKrr</a></td>
<td align="center">2</td> <td align="center">1</td>
<td align="center">3</td> <td align="center">1</td>
<td align="center"><a href="javascript:showFB('FB2')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB2</a></td> <td align="center"><a href="javascript:showFB('FB2')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB2</a></td>
<td align="center">MC16</td> <td align="center">MC16</td>
<td align="center">STD</td> <td align="center">STD</td>
@ -384,9 +358,9 @@
<td align="center">RESET</td> <td align="center">RESET</td>
</tr> </tr>
<tr> <tr>
<td width="28%"><a href="javascript:showEqn('iobsIOU1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/IOU1</a></td> <td width="28%"><a href="javascript:showEqn('iobmDTACKrf_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/DTACKrf</a></td>
<td align="center">2</td> <td align="center">1</td>
<td align="center">2</td> <td align="center">1</td>
<td align="center"><a href="javascript:showFB('FB2')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB2</a></td> <td align="center"><a href="javascript:showFB('FB2')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB2</a></td>
<td align="center">MC17</td> <td align="center">MC17</td>
<td align="center">STD</td> <td align="center">STD</td>
@ -397,9 +371,9 @@
<td align="center">RESET</td> <td align="center">RESET</td>
</tr> </tr>
<tr> <tr>
<td width="28%"><a href="javascript:showEqn('iobsIOL1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/IOL1</a></td> <td width="28%"><a href="javascript:showEqn('cntTimer0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/Timer&lt;0&gt;</a></td>
<td align="center">2</td>
<td align="center">2</td> <td align="center">2</td>
<td align="center">3</td>
<td align="center"><a href="javascript:showFB('FB2')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB2</a></td> <td align="center"><a href="javascript:showFB('FB2')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB2</a></td>
<td align="center">MC18</td> <td align="center">MC18</td>
<td align="center">STD</td> <td align="center">STD</td>
@ -411,47 +385,21 @@
</tr> </tr>
<tr> <tr>
<td width="28%"><a href="javascript:showEqn('fsbVPA_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">fsb/VPA</a></td> <td width="28%"><a href="javascript:showEqn('fsbVPA_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">fsb/VPA</a></td>
<td align="center">20</td> <td align="center">18</td>
<td align="center">26</td> <td align="center">26</td>
<td align="center"><a href="javascript:showFB('FB3')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB3</a></td> <td align="center"><a href="javascript:showFB('FB3')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB3</a></td>
<td align="center">MC2</td> <td align="center">MC1</td>
<td align="center">STD</td> <td align="center">STD</td>
<td align="center"> </td> <td align="center"> </td>
<td align="center">23</td> <td align="center"> </td>
<td align="center">I/O/GCK2</td> <td align="center">(b)</td>
<td align="center">GCK/I</td> <td align="center">(b)</td>
<td align="center">RESET</td> <td align="center">RESET</td>
</tr> </tr>
<tr> <tr>
<td width="28%"><a href="javascript:showEqn('iobsClear1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/Clear1</a></td> <td width="28%"><a href="javascript:showEqn('iobsIOREQ_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/IOREQ</a></td>
<td align="center">1</td> <td align="center">13</td>
<td align="center">3</td> <td align="center">19</td>
<td align="center"><a href="javascript:showFB('FB3')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB3</a></td>
<td align="center">MC5</td>
<td align="center">STD</td>
<td align="center"> </td>
<td align="center">24</td>
<td align="center">I/O</td>
<td align="center">I</td>
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('fsbReady0r_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">fsb/Ready0r</a></td>
<td align="center">3</td>
<td align="center">8</td>
<td align="center"><a href="javascript:showFB('FB3')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB3</a></td>
<td align="center">MC6</td>
<td align="center">STD</td>
<td align="center"> </td>
<td align="center">25</td>
<td align="center">I/O</td>
<td align="center">I</td>
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('iobsIOReady_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/IOReady</a></td>
<td align="center">4</td>
<td align="center">8</td>
<td align="center"><a href="javascript:showFB('FB3')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB3</a></td> <td align="center"><a href="javascript:showFB('FB3')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB3</a></td>
<td align="center">MC7</td> <td align="center">MC7</td>
<td align="center">STD</td> <td align="center">STD</td>
@ -463,7 +411,7 @@
</tr> </tr>
<tr> <tr>
<td width="28%"><a href="javascript:showEqn('nDTACK_FSB')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nDTACK_FSB</a></td> <td width="28%"><a href="javascript:showEqn('nDTACK_FSB')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nDTACK_FSB</a></td>
<td align="center">11</td> <td align="center">10</td>
<td align="center">26</td> <td align="center">26</td>
<td align="center"><a href="javascript:showFB('FB3')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB3</a></td> <td align="center"><a href="javascript:showFB('FB3')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB3</a></td>
<td align="center">MC9</td> <td align="center">MC9</td>
@ -476,7 +424,7 @@
</tr> </tr>
<tr> <tr>
<td width="28%"><a href="javascript:showEqn('fsbReady1r_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">fsb/Ready1r</a></td> <td width="28%"><a href="javascript:showEqn('fsbReady1r_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">fsb/Ready1r</a></td>
<td align="center">7</td> <td align="center">6</td>
<td align="center">17</td> <td align="center">17</td>
<td align="center"><a href="javascript:showFB('FB3')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB3</a></td> <td align="center"><a href="javascript:showFB('FB3')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB3</a></td>
<td align="center">MC10</td> <td align="center">MC10</td>
@ -502,7 +450,7 @@
</tr> </tr>
<tr> <tr>
<td width="28%"><a href="javascript:showEqn('iobsIORW0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/IORW0</a></td> <td width="28%"><a href="javascript:showEqn('iobsIORW0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/IORW0</a></td>
<td align="center">18</td> <td align="center">17</td>
<td align="center">20</td> <td align="center">20</td>
<td align="center"><a href="javascript:showFB('FB3')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB3</a></td> <td align="center"><a href="javascript:showFB('FB3')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB3</a></td>
<td align="center">MC15</td> <td align="center">MC15</td>
@ -527,19 +475,6 @@
<td align="center"> </td> <td align="center"> </td>
</tr> </tr>
<tr> <tr>
<td width="28%"><a href="javascript:showEqn('cntnRESout_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/nRESout</a></td>
<td align="center">2</td>
<td align="center">4</td>
<td align="center"><a href="javascript:showFB('FB4')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB4</a></td>
<td align="center">MC1</td>
<td align="center">STD</td>
<td align="center"> </td>
<td align="center"> </td>
<td align="center">(b)</td>
<td align="center">(b)</td>
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('nAoutOE')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nAoutOE</a></td> <td width="28%"><a href="javascript:showEqn('nAoutOE')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nAoutOE</a></td>
<td align="center">1</td> <td align="center">1</td>
<td align="center">3</td> <td align="center">3</td>
@ -550,12 +485,12 @@
<td align="center">87</td> <td align="center">87</td>
<td align="center">I/O</td> <td align="center">I/O</td>
<td align="center">O</td> <td align="center">O</td>
<td align="center">RESET</td> <td align="center">SET</td>
</tr> </tr>
<tr> <tr>
<td width="28%"><a href="javascript:showEqn('cntTimerTC_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/TimerTC</a></td> <td width="28%"><a href="javascript:showEqn('iobmBERRrr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/BERRrr</a></td>
<td align="center">2</td> <td align="center">1</td>
<td align="center">5</td> <td align="center">1</td>
<td align="center"><a href="javascript:showFB('FB4')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB4</a></td> <td align="center"><a href="javascript:showFB('FB4')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB4</a></td>
<td align="center">MC3</td> <td align="center">MC3</td>
<td align="center">STD</td> <td align="center">STD</td>
@ -566,9 +501,9 @@
<td align="center">RESET</td> <td align="center">RESET</td>
</tr> </tr>
<tr> <tr>
<td width="28%"><a href="javascript:showEqn('cntTimer0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/Timer&lt;0&gt;</a></td> <td width="28%"><a href="javascript:showEqn('iobmBERRrf_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/BERRrf</a></td>
<td align="center">2</td> <td align="center">1</td>
<td align="center">3</td> <td align="center">1</td>
<td align="center"><a href="javascript:showFB('FB4')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB4</a></td> <td align="center"><a href="javascript:showFB('FB4')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB4</a></td>
<td align="center">MC4</td> <td align="center">MC4</td>
<td align="center">STD</td> <td align="center">STD</td>
@ -593,7 +528,7 @@
</tr> </tr>
<tr> <tr>
<td width="28%"><a href="javascript:showEqn('nDinOE')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nDinOE</a></td> <td width="28%"><a href="javascript:showEqn('nDinOE')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nDinOE</a></td>
<td align="center">2</td> <td align="center">3</td>
<td align="center">6</td> <td align="center">6</td>
<td align="center"><a href="javascript:showFB('FB4')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB4</a></td> <td align="center"><a href="javascript:showFB('FB4')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB4</a></td>
<td align="center">MC6</td> <td align="center">MC6</td>
@ -605,9 +540,9 @@
<td align="center"> </td> <td align="center"> </td>
</tr> </tr>
<tr> <tr>
<td width="28%"><a href="javascript:showEqn('cntPORS_FSM_FFd2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/PORS_FSM_FFd2</a></td> <td width="28%"><a href="javascript:showEqn('cntPORS_FSM_FFd1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/PORS_FSM_FFd1</a></td>
<td align="center">2</td> <td align="center">1</td>
<td align="center">3</td> <td align="center">4</td>
<td align="center"><a href="javascript:showFB('FB4')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB4</a></td> <td align="center"><a href="javascript:showFB('FB4')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB4</a></td>
<td align="center">MC7</td> <td align="center">MC7</td>
<td align="center">STD</td> <td align="center">STD</td>
@ -631,9 +566,9 @@
<td align="center"> </td> <td align="center"> </td>
</tr> </tr>
<tr> <tr>
<td width="28%"><a href="javascript:showEqn('cntLTimer0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;0&gt;</a></td> <td width="28%"><a href="javascript:showEqn('cntIPL2r_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/IPL2r</a></td>
<td align="center">2</td> <td align="center">1</td>
<td align="center">3</td> <td align="center">1</td>
<td align="center"><a href="javascript:showFB('FB4')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB4</a></td> <td align="center"><a href="javascript:showFB('FB4')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB4</a></td>
<td align="center">MC9</td> <td align="center">MC9</td>
<td align="center">STD</td> <td align="center">STD</td>
@ -644,9 +579,9 @@
<td align="center">RESET</td> <td align="center">RESET</td>
</tr> </tr>
<tr> <tr>
<td width="28%"><a href="javascript:showEqn('cntTimer3_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/Timer&lt;3&gt;</a></td> <td width="28%"><a href="javascript:showEqn('iobmIOS_FSM_FFd1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOS_FSM_FFd1</a></td>
<td align="center">2</td>
<td align="center">3</td> <td align="center">3</td>
<td align="center">6</td>
<td align="center"><a href="javascript:showFB('FB4')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB4</a></td> <td align="center"><a href="javascript:showFB('FB4')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB4</a></td>
<td align="center">MC10</td> <td align="center">MC10</td>
<td align="center">STD</td> <td align="center">STD</td>
@ -670,9 +605,9 @@
<td align="center"> </td> <td align="center"> </td>
</tr> </tr>
<tr> <tr>
<td width="28%"><a href="javascript:showEqn('cntTimer2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/Timer&lt;2&gt;</a></td> <td width="28%"><a href="javascript:showEqn('cntnRESout_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/nRESout</a></td>
<td align="center">3</td> <td align="center">2</td>
<td align="center">5</td> <td align="center">4</td>
<td align="center"><a href="javascript:showFB('FB4')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB4</a></td> <td align="center"><a href="javascript:showFB('FB4')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB4</a></td>
<td align="center">MC12</td> <td align="center">MC12</td>
<td align="center">STD</td> <td align="center">STD</td>
@ -683,9 +618,9 @@
<td align="center">RESET</td> <td align="center">RESET</td>
</tr> </tr>
<tr> <tr>
<td width="28%"><a href="javascript:showEqn('cntTimer1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/Timer&lt;1&gt;</a></td> <td width="28%"><a href="javascript:showEqn('cntPORS_FSM_FFd2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/PORS_FSM_FFd2</a></td>
<td align="center">2</td>
<td align="center">3</td> <td align="center">3</td>
<td align="center">4</td>
<td align="center"><a href="javascript:showFB('FB4')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB4</a></td> <td align="center"><a href="javascript:showFB('FB4')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB4</a></td>
<td align="center">MC13</td> <td align="center">MC13</td>
<td align="center">STD</td> <td align="center">STD</td>
@ -696,9 +631,9 @@
<td align="center">RESET</td> <td align="center">RESET</td>
</tr> </tr>
<tr> <tr>
<td width="28%"><a href="javascript:showEqn('cntRefUrgent_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/RefUrgent</a></td> <td width="28%"><a href="javascript:showEqn('cntLTimer0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;0&gt;</a></td>
<td align="center">2</td>
<td align="center">3</td> <td align="center">3</td>
<td align="center">5</td>
<td align="center"><a href="javascript:showFB('FB4')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB4</a></td> <td align="center"><a href="javascript:showFB('FB4')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB4</a></td>
<td align="center">MC14</td> <td align="center">MC14</td>
<td align="center">STD</td> <td align="center">STD</td>
@ -709,9 +644,9 @@
<td align="center">RESET</td> <td align="center">RESET</td>
</tr> </tr>
<tr> <tr>
<td width="28%"><a href="javascript:showEqn('cntRefReq_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/RefReq</a></td> <td width="28%"><a href="javascript:showEqn('cntLTimer3_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;3&gt;</a></td>
<td align="center">4</td> <td align="center">4</td>
<td align="center">5</td> <td align="center">6</td>
<td align="center"><a href="javascript:showFB('FB4')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB4</a></td> <td align="center"><a href="javascript:showFB('FB4')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB4</a></td>
<td align="center">MC15</td> <td align="center">MC15</td>
<td align="center">STD</td> <td align="center">STD</td>
@ -722,9 +657,9 @@
<td align="center">RESET</td> <td align="center">RESET</td>
</tr> </tr>
<tr> <tr>
<td width="28%"><a href="javascript:showEqn('cntLTimer3_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;3&gt;</a></td> <td width="28%"><a href="javascript:showEqn('cntLTimer2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;2&gt;</a></td>
<td align="center">4</td> <td align="center">4</td>
<td align="center">6</td> <td align="center">5</td>
<td align="center"><a href="javascript:showFB('FB4')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB4</a></td> <td align="center"><a href="javascript:showFB('FB4')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB4</a></td>
<td align="center">MC16</td> <td align="center">MC16</td>
<td align="center">STD</td> <td align="center">STD</td>
@ -735,9 +670,9 @@
<td align="center">RESET</td> <td align="center">RESET</td>
</tr> </tr>
<tr> <tr>
<td width="28%"><a href="javascript:showEqn('cntLTimer2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;2&gt;</a></td> <td width="28%"><a href="javascript:showEqn('cntLTimer1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;1&gt;</a></td>
<td align="center">4</td>
<td align="center">4</td> <td align="center">4</td>
<td align="center">5</td>
<td align="center"><a href="javascript:showFB('FB4')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB4</a></td> <td align="center"><a href="javascript:showFB('FB4')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB4</a></td>
<td align="center">MC17</td> <td align="center">MC17</td>
<td align="center">STD</td> <td align="center">STD</td>
@ -748,9 +683,9 @@
<td align="center">RESET</td> <td align="center">RESET</td>
</tr> </tr>
<tr> <tr>
<td width="28%"><a href="javascript:showEqn('cntLTimer1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;1&gt;</a></td> <td width="28%"><a href="javascript:showEqn('iobmIOBERR_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOBERR</a></td>
<td align="center">4</td> <td align="center">9</td>
<td align="center">4</td> <td align="center">13</td>
<td align="center"><a href="javascript:showFB('FB4')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB4</a></td> <td align="center"><a href="javascript:showFB('FB4')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB4</a></td>
<td align="center">MC18</td> <td align="center">MC18</td>
<td align="center">STD</td> <td align="center">STD</td>
@ -839,9 +774,9 @@
<td align="center"> </td> <td align="center"> </td>
</tr> </tr>
<tr> <tr>
<td width="28%"><a href="javascript:showEqn('ramRS_FSM_FFd1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RS_FSM_FFd1</a></td> <td width="28%"><a href="javascript:showEqn('fsbReady0r_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">fsb/Ready0r</a></td>
<td align="center">3</td>
<td align="center">8</td> <td align="center">8</td>
<td align="center">11</td>
<td align="center"><a href="javascript:showFB('FB5')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB5</a></td> <td align="center"><a href="javascript:showFB('FB5')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB5</a></td>
<td align="center">MC7</td> <td align="center">MC7</td>
<td align="center">STD</td> <td align="center">STD</td>
@ -1021,7 +956,7 @@
<td align="center">RESET</td> <td align="center">RESET</td>
</tr> </tr>
<tr> <tr>
<td width="28%"><a href="javascript:showEqn('iobmES3_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/ES&lt;3&gt;</a></td> <td width="28%"><a href="javascript:showEqn('iobmIOS_FSM_FFd3_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOS_FSM_FFd3</a></td>
<td align="center">3</td> <td align="center">3</td>
<td align="center">6</td> <td align="center">6</td>
<td align="center"><a href="javascript:showFB('FB6')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB6</a></td> <td align="center"><a href="javascript:showFB('FB6')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB6</a></td>
@ -1034,9 +969,9 @@
<td align="center">RESET</td> <td align="center">RESET</td>
</tr> </tr>
<tr> <tr>
<td width="28%"><a href="javascript:showEqn('iobmES1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/ES&lt;1&gt;</a></td> <td width="28%"><a href="javascript:showEqn('iobmES3_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/ES&lt;3&gt;</a></td>
<td align="center">3</td> <td align="center">3</td>
<td align="center">4</td> <td align="center">6</td>
<td align="center"><a href="javascript:showFB('FB6')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB6</a></td> <td align="center"><a href="javascript:showFB('FB6')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB6</a></td>
<td align="center">MC6</td> <td align="center">MC6</td>
<td align="center">STD</td> <td align="center">STD</td>
@ -1047,9 +982,9 @@
<td align="center">RESET</td> <td align="center">RESET</td>
</tr> </tr>
<tr> <tr>
<td width="28%"><a href="javascript:showEqn('iobmES0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/ES&lt;0&gt;</a></td> <td width="28%"><a href="javascript:showEqn('iobmES1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/ES&lt;1&gt;</a></td>
<td align="center">3</td> <td align="center">3</td>
<td align="center">7</td> <td align="center">4</td>
<td align="center"><a href="javascript:showFB('FB6')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB6</a></td> <td align="center"><a href="javascript:showFB('FB6')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB6</a></td>
<td align="center">MC7</td> <td align="center">MC7</td>
<td align="center">STD</td> <td align="center">STD</td>
@ -1060,8 +995,8 @@
<td align="center">RESET</td> <td align="center">RESET</td>
</tr> </tr>
<tr> <tr>
<td width="28%"><a href="javascript:showEqn('iobmES4_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/ES&lt;4&gt;</a></td> <td width="28%"><a href="javascript:showEqn('iobmES0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/ES&lt;0&gt;</a></td>
<td align="center">4</td> <td align="center">3</td>
<td align="center">7</td> <td align="center">7</td>
<td align="center"><a href="javascript:showFB('FB6')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB6</a></td> <td align="center"><a href="javascript:showFB('FB6')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB6</a></td>
<td align="center">MC8</td> <td align="center">MC8</td>
@ -1086,9 +1021,9 @@
<td align="center">RESET</td> <td align="center">RESET</td>
</tr> </tr>
<tr> <tr>
<td width="28%"><a href="javascript:showEqn('iobmIOS_FSM_FFd2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOS_FSM_FFd2</a></td> <td width="28%"><a href="javascript:showEqn('iobmES4_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/ES&lt;4&gt;</a></td>
<td align="center">5</td> <td align="center">4</td>
<td align="center">11</td> <td align="center">7</td>
<td align="center"><a href="javascript:showFB('FB6')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB6</a></td> <td align="center"><a href="javascript:showFB('FB6')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB6</a></td>
<td align="center">MC10</td> <td align="center">MC10</td>
<td align="center">STD</td> <td align="center">STD</td>
@ -1125,9 +1060,9 @@
<td align="center">RESET</td> <td align="center">RESET</td>
</tr> </tr>
<tr> <tr>
<td width="28%"><a href="javascript:showEqn('iobmES2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/ES&lt;2&gt;</a></td> <td width="28%"><a href="javascript:showEqn('iobmIOS_FSM_FFd2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOS_FSM_FFd2</a></td>
<td align="center">5</td> <td align="center">5</td>
<td align="center">7</td> <td align="center">11</td>
<td align="center"><a href="javascript:showFB('FB6')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB6</a></td> <td align="center"><a href="javascript:showFB('FB6')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB6</a></td>
<td align="center">MC13</td> <td align="center">MC13</td>
<td align="center">STD</td> <td align="center">STD</td>
@ -1164,9 +1099,9 @@
<td align="center"> </td> <td align="center"> </td>
</tr> </tr>
<tr> <tr>
<td width="28%"><a href="javascript:showEqn('iobmIOACT_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOACT</a></td> <td width="28%"><a href="javascript:showEqn('iobmES2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/ES&lt;2&gt;</a></td>
<td align="center">6</td> <td align="center">5</td>
<td align="center">12</td> <td align="center">7</td>
<td align="center"><a href="javascript:showFB('FB6')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB6</a></td> <td align="center"><a href="javascript:showFB('FB6')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB6</a></td>
<td align="center">MC16</td> <td align="center">MC16</td>
<td align="center">STD</td> <td align="center">STD</td>
@ -1190,9 +1125,9 @@
<td align="center">RESET</td> <td align="center">RESET</td>
</tr> </tr>
<tr> <tr>
<td width="28%"><a href="javascript:showEqn('iobmIOBERR_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOBERR</a></td> <td width="28%"><a href="javascript:showEqn('iobmIOACT_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOACT</a></td>
<td align="center">9</td> <td align="center">6</td>
<td align="center">13</td> <td align="center">12</td>
<td align="center"><a href="javascript:showFB('FB6')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB6</a></td> <td align="center"><a href="javascript:showFB('FB6')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB6</a></td>
<td align="center">MC18</td> <td align="center">MC18</td>
<td align="center">STD</td> <td align="center">STD</td>
@ -1437,9 +1372,9 @@
<td align="center">RESET</td> <td align="center">RESET</td>
</tr> </tr>
<tr> <tr>
<td width="28%"><a href="javascript:showEqn('cntPORS_FSM_FFd1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/PORS_FSM_FFd1</a></td> <td width="28%"><a href="javascript:showEqn('iobsOnce_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/Once</a></td>
<td align="center">1</td> <td align="center">15</td>
<td align="center">4</td> <td align="center">18</td>
<td align="center"><a href="javascript:showFB('FB8')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB8</a></td> <td align="center"><a href="javascript:showFB('FB8')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB8</a></td>
<td align="center">MC1</td> <td align="center">MC1</td>
<td align="center">STD</td> <td align="center">STD</td>
@ -1463,9 +1398,9 @@
<td align="center"> </td> <td align="center"> </td>
</tr> </tr>
<tr> <tr>
<td width="28%"><a href="javascript:showEqn('ramRS_FSM_FFd3_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RS_FSM_FFd3</a></td> <td width="28%"><a href="javascript:showEqn('iobsClear1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/Clear1</a></td>
<td align="center">9</td> <td align="center">1</td>
<td align="center">11</td> <td align="center">3</td>
<td align="center"><a href="javascript:showFB('FB8')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB8</a></td> <td align="center"><a href="javascript:showFB('FB8')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB8</a></td>
<td align="center">MC3</td> <td align="center">MC3</td>
<td align="center">STD</td> <td align="center">STD</td>
@ -1476,6 +1411,19 @@
<td align="center">RESET</td> <td align="center">RESET</td>
</tr> </tr>
<tr> <tr>
<td width="28%"><a href="javascript:showEqn('iobsALE0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/ALE0</a></td>
<td align="center">1</td>
<td align="center">2</td>
<td align="center"><a href="javascript:showFB('FB8')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB8</a></td>
<td align="center">MC4</td>
<td align="center">STD</td>
<td align="center"> </td>
<td align="center"> </td>
<td align="center">(b)</td>
<td align="center">(b)</td>
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('nRAS')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nRAS</a></td> <td width="28%"><a href="javascript:showEqn('nRAS')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nRAS</a></td>
<td align="center">3</td> <td align="center">3</td>
<td align="center">7</td> <td align="center">7</td>
@ -1502,9 +1450,9 @@
<td align="center"> </td> <td align="center"> </td>
</tr> </tr>
<tr> <tr>
<td width="28%"><a href="javascript:showEqn('iobsPS_FSM_FFd2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/PS_FSM_FFd2</a></td> <td width="28%"><a href="javascript:showEqn('iobsPS_FSM_FFd1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/PS_FSM_FFd1</a></td>
<td align="center">14</td> <td align="center">2</td>
<td align="center">19</td> <td align="center">3</td>
<td align="center"><a href="javascript:showFB('FB8')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB8</a></td> <td align="center"><a href="javascript:showFB('FB8')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB8</a></td>
<td align="center">MC7</td> <td align="center">MC7</td>
<td align="center">STD</td> <td align="center">STD</td>
@ -1528,15 +1476,28 @@
<td align="center"> </td> <td align="center"> </td>
</tr> </tr>
<tr> <tr>
<td width="28%"><a href="javascript:showEqn('iobsLoad1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/Load1</a></td> <td width="28%"><a href="javascript:showEqn('ramRS_FSM_FFd1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RS_FSM_FFd1</a></td>
<td align="center">14</td> <td align="center">8</td>
<td align="center">18</td> <td align="center">11</td>
<td align="center"><a href="javascript:showFB('FB8')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB8</a></td> <td align="center"><a href="javascript:showFB('FB8')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB8</a></td>
<td align="center">MC10</td> <td align="center">MC9</td>
<td align="center">STD</td> <td align="center">STD</td>
<td align="center"> </td> <td align="center"> </td>
<td align="center"> </td> <td align="center">67</td>
<td align="center">I/O</td>
<td align="center">(b)</td> <td align="center">(b)</td>
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('ramRS_FSM_FFd3_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RS_FSM_FFd3</a></td>
<td align="center">9</td>
<td align="center">11</td>
<td align="center"><a href="javascript:showFB('FB8')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB8</a></td>
<td align="center">MC11</td>
<td align="center">STD</td>
<td align="center"> </td>
<td align="center">68</td>
<td align="center">I/O</td>
<td align="center">(b)</td> <td align="center">(b)</td>
<td align="center">RESET</td> <td align="center">RESET</td>
</tr> </tr>
@ -1554,8 +1515,8 @@
<td align="center">RESET</td> <td align="center">RESET</td>
</tr> </tr>
<tr> <tr>
<td width="28%"><a href="javascript:showEqn('iobsIOREQ_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/IOREQ</a></td> <td width="28%"><a href="javascript:showEqn('iobsPS_FSM_FFd2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/PS_FSM_FFd2</a></td>
<td align="center">14</td> <td align="center">12</td>
<td align="center">19</td> <td align="center">19</td>
<td align="center"><a href="javascript:showFB('FB8')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB8</a></td> <td align="center"><a href="javascript:showFB('FB8')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB8</a></td>
<td align="center">MC14</td> <td align="center">MC14</td>
@ -1580,16 +1541,29 @@
<td align="center">RESET</td> <td align="center">RESET</td>
</tr> </tr>
<tr> <tr>
<td width="28%"><a href="javascript:showEqn('iobsOnce_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/Once</a></td> <td width="28%"><a href="javascript:showEqn('iobsLoad1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/Load1</a></td>
<td align="center">17</td> <td align="center">14</td>
<td align="center">18</td> <td align="center">18</td>
<td align="center"><a href="javascript:showFB('FB8')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB8</a></td> <td align="center"><a href="javascript:showFB('FB8')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB8</a></td>
<td align="center">MC16</td>
<td align="center">STD</td>
<td align="center"> </td>
<td align="center"> </td>
<td align="center">(b)</td>
<td align="center">(b)</td>
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('ramBACTr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/BACTr</a></td>
<td align="center">1</td>
<td align="center">2</td>
<td align="center"><a href="javascript:showFB('FB8')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB8</a></td>
<td align="center">MC17</td> <td align="center">MC17</td>
<td align="center">STD</td> <td align="center">STD</td>
<td align="center"> </td> <td align="center"> </td>
<td align="center">73</td> <td align="center">73</td>
<td align="center">I/O</td> <td align="center">I/O</td>
<td align="center">I</td> <td align="center">(b)</td>
<td align="center">RESET</td> <td align="center">RESET</td>
</tr> </tr>
</table></span><form><span class="pgRef"><table width="90%" align="center"><tr> </table></span><form><span class="pgRef"><table width="90%" align="center"><tr>

File diff suppressed because it is too large Load Diff

View File

@ -374,7 +374,7 @@
<tr> <tr>
<td width="10%" align="center">73</td> <td width="10%" align="center">73</td>
<td width="20%" align="center">I/O</td> <td width="20%" align="center">I/O</td>
<td width="70%" align="center">nBG_IOB</td> <td width="70%" align="center">KPR</td>
</tr> </tr>
<tr> <tr>
<td width="10%" align="center">74</td> <td width="10%" align="center">74</td>

View File

@ -30,7 +30,7 @@
<tr> <tr>
<td width="40%"> <b>Date</b> <td width="40%"> <b>Date</b>
</td> </td>
<td width="60%">  3-20-2023, 11:54PM</td> <td width="60%">  3-25-2023, 0:52AM</td>
</tr> </tr>
</table></span><br><span id="sumres" class="pgRef"><h5 align="center">RESOURCES SUMMARY</h5> </table></span><br><span id="sumres" class="pgRef"><h5 align="center">RESOURCES SUMMARY</h5>
<table align="center" width="90%" border="1" cellspacing="0" cellpadding="0"> <table align="center" width="90%" border="1" cellspacing="0" cellpadding="0">
@ -42,11 +42,11 @@
<th width="20%">Function Block Inputs Used</th> <th width="20%">Function Block Inputs Used</th>
</tr> </tr>
<tr> <tr>
<td width="20%" align="center">121/144  (85%)</td> <td width="20%" align="center">119/144  (83%)</td>
<td width="20%" align="center">440/720  (62%)</td> <td width="20%" align="center">428/720  (60%)</td>
<td width="20%" align="center">96/144  (67%)</td> <td width="20%" align="center">94/144  (66%)</td>
<td width="20%" align="center">72/81  (89%)</td> <td width="20%" align="center">71/81  (88%)</td>
<td width="20%" align="center">227/432  (53%)</td> <td width="20%" align="center">230/432  (54%)</td>
</tr> </tr>
</table></span><br><span id="pinres" class="pgRef"><h5 align="center">PIN RESOURCES</h5> </table></span><br><span id="pinres" class="pgRef"><h5 align="center">PIN RESOURCES</h5>
<table align="center" width="90%" border="0" cellspacing="0" cellpadding="0"><tr> <table align="center" width="90%" border="0" cellspacing="0" cellpadding="0"><tr>
@ -58,8 +58,8 @@
</tr> </tr>
<tr> <tr>
<td width="33%"> Input</td> <td width="33%"> Input</td>
<td width="33%" align="center"> 33</td> <td width="33%" align="center"> 32</td>
<td width="33%" align="center"> 33</td> <td width="33%" align="center"> 32</td>
</tr> </tr>
<tr> <tr>
<td width="33%"> Output</td> <td width="33%"> Output</td>
@ -96,7 +96,7 @@
<tr> <tr>
<td width="33%"> I/O</td> <td width="33%"> I/O</td>
<td width="33%" align="center">  <td width="33%" align="center"> 
66</td> 65</td>
<td width="33%" align="center"> 74</td> <td width="33%" align="center"> 74</td>
</tr> </tr>
<tr> <tr>
@ -133,7 +133,7 @@
<table align="center" width="90%" border="1" cellspacing="0" cellpadding="0"> <table align="center" width="90%" border="1" cellspacing="0" cellpadding="0">
<tr> <tr>
<td width="50%"> Macrocells in high performance mode (MCHP)</td> <td width="50%"> Macrocells in high performance mode (MCHP)</td>
<td width="50%"> 121</td> <td width="50%"> 119</td>
</tr> </tr>
<tr> <tr>
<td width="50%"> Macrocells in low power mode (MCLP)</td> <td width="50%"> Macrocells in low power mode (MCLP)</td>
@ -141,7 +141,7 @@
</tr> </tr>
<tr> <tr>
<td width="50%"> Total macrocells used (MC)</td> <td width="50%"> Total macrocells used (MC)</td>
<td width="50%"> 121</td> <td width="50%"> 119</td>
</tr> </tr>
</table></span><form><span class="pgRef"><table width="90%" align="center"><tr> </table></span><form><span class="pgRef"><table width="90%" align="center"><tr>
<td align="left"><input type="button" onclick="javascript:parent.leftnav.showTop()" onmouseover="window.status='goto top of page'; return true;" onmouseout="window.status=''" value="back to top"></td> <td align="left"><input type="button" onclick="javascript:parent.leftnav.showTop()" onmouseover="window.status='goto top of page'; return true;" onmouseout="window.status=''" value="back to top"></td>

File diff suppressed because it is too large Load Diff

View File

@ -5,7 +5,7 @@
The structure and the elements are likely to change over the next few releases. The structure and the elements are likely to change over the next few releases.
This means code written to parse this file will need to be revisited each subsequent release.--> This means code written to parse this file will need to be revisited each subsequent release.-->
<application stringID="NgdBuild" timeStamp="Mon Mar 20 23:54:00 2023"> <application stringID="NgdBuild" timeStamp="Sat Mar 25 00:52:21 2023">
<section stringID="User_Env"> <section stringID="User_Env">
<table stringID="User_EnvVar"> <table stringID="User_EnvVar">
<column stringID="variable"/> <column stringID="variable"/>
@ -67,15 +67,14 @@
</section> </section>
<section stringID="NGDBUILD_PRE_UNISIM_SUMMARY"> <section stringID="NGDBUILD_PRE_UNISIM_SUMMARY">
<item dataType="int" stringID="NGDBUILD_NUM_AND2" value="172"/> <item dataType="int" stringID="NGDBUILD_NUM_AND2" value="172"/>
<item dataType="int" stringID="NGDBUILD_NUM_AND3" value="20"/> <item dataType="int" stringID="NGDBUILD_NUM_AND3" value="19"/>
<item dataType="int" stringID="NGDBUILD_NUM_AND4" value="15"/> <item dataType="int" stringID="NGDBUILD_NUM_AND4" value="15"/>
<item dataType="int" stringID="NGDBUILD_NUM_AND5" value="3"/> <item dataType="int" stringID="NGDBUILD_NUM_AND5" value="3"/>
<item dataType="int" stringID="NGDBUILD_NUM_BUFE" value="1"/> <item dataType="int" stringID="NGDBUILD_NUM_FD" value="62"/>
<item dataType="int" stringID="NGDBUILD_NUM_FD" value="63"/> <item dataType="int" stringID="NGDBUILD_NUM_FDCE" value="32"/>
<item dataType="int" stringID="NGDBUILD_NUM_FDCE" value="33"/>
<item dataType="int" stringID="NGDBUILD_NUM_GND" value="1"/> <item dataType="int" stringID="NGDBUILD_NUM_GND" value="1"/>
<item dataType="int" stringID="NGDBUILD_NUM_IBUF" value="36"/> <item dataType="int" stringID="NGDBUILD_NUM_IBUF" value="35"/>
<item dataType="int" stringID="NGDBUILD_NUM_INV" value="238"/> <item dataType="int" stringID="NGDBUILD_NUM_INV" value="236"/>
<item dataType="int" stringID="NGDBUILD_NUM_OBUF" value="31"/> <item dataType="int" stringID="NGDBUILD_NUM_OBUF" value="31"/>
<item dataType="int" stringID="NGDBUILD_NUM_OR2" value="98"/> <item dataType="int" stringID="NGDBUILD_NUM_OR2" value="98"/>
<item dataType="int" stringID="NGDBUILD_NUM_OR3" value="4"/> <item dataType="int" stringID="NGDBUILD_NUM_OR3" value="4"/>
@ -84,13 +83,12 @@
</section> </section>
<section stringID="NGDBUILD_POST_UNISIM_SUMMARY"> <section stringID="NGDBUILD_POST_UNISIM_SUMMARY">
<item dataType="int" stringID="NGDBUILD_NUM_AND2" value="172"/> <item dataType="int" stringID="NGDBUILD_NUM_AND2" value="172"/>
<item dataType="int" stringID="NGDBUILD_NUM_AND3" value="20"/> <item dataType="int" stringID="NGDBUILD_NUM_AND3" value="19"/>
<item dataType="int" stringID="NGDBUILD_NUM_AND4" value="15"/> <item dataType="int" stringID="NGDBUILD_NUM_AND4" value="15"/>
<item dataType="int" stringID="NGDBUILD_NUM_AND5" value="3"/> <item dataType="int" stringID="NGDBUILD_NUM_AND5" value="3"/>
<item dataType="int" stringID="NGDBUILD_NUM_BUFE" value="1"/> <item dataType="int" stringID="NGDBUILD_NUM_GND" value="63"/>
<item dataType="int" stringID="NGDBUILD_NUM_GND" value="64"/>
<item dataType="int" stringID="NGDBUILD_NUM_IBUF" value="40"/> <item dataType="int" stringID="NGDBUILD_NUM_IBUF" value="40"/>
<item dataType="int" stringID="NGDBUILD_NUM_INV" value="238"/> <item dataType="int" stringID="NGDBUILD_NUM_INV" value="236"/>
<item dataType="int" stringID="NGDBUILD_NUM_OBUF" value="31"/> <item dataType="int" stringID="NGDBUILD_NUM_OBUF" value="31"/>
<item dataType="int" stringID="NGDBUILD_NUM_OR2" value="98"/> <item dataType="int" stringID="NGDBUILD_NUM_OR2" value="98"/>
<item dataType="int" stringID="NGDBUILD_NUM_OR3" value="4"/> <item dataType="int" stringID="NGDBUILD_NUM_OR3" value="4"/>

View File

@ -1,7 +1,7 @@
Release 8.1i - Fit P.20131013 Release 8.1i - Fit P.20131013
Copyright(c) 1995-2003 Xilinx Inc. All rights reserved Copyright(c) 1995-2003 Xilinx Inc. All rights reserved
3-20-2023 11:54PM 3-25-2023 0:52AM
NOTE: This file is designed to be imported into a spreadsheet program NOTE: This file is designed to be imported into a spreadsheet program
such as Microsoft Excel for viewing, printing and sorting. The comma ',' such as Microsoft Excel for viewing, printing and sorting. The comma ','
@ -90,7 +90,7 @@ P69,GND,,GND,,,,,,,,,,
P70,nBERR_FSB,O,I/O,OUTPUT,,,,,,,,, P70,nBERR_FSB,O,I/O,OUTPUT,,,,,,,,,
P71,TIE,,I/O,,,,,,,,,, P71,TIE,,I/O,,,,,,,,,,
P72,nBR_IOB,O,I/O,OUTPUT,,,,,,,,, P72,nBR_IOB,O,I/O,OUTPUT,,,,,,,,,
P73,nBG_IOB,I,I/O,INPUT,,,,,,,,, P73,TIE,,I/O,,,,,,,,,,
P74,nVMA_IOB,O,I/O,OUTPUT,,,,,,,,, P74,nVMA_IOB,O,I/O,OUTPUT,,,,,,,,,
P75,GND,,GND,,,,,,,,,, P75,GND,,GND,,,,,,,,,,
P76,nBERR_IOB,I,I/O,INPUT,,,,,,,,, P76,nBERR_IOB,I,I/O,INPUT,,,,,,,,,

1 Release 8.1i - Fit P.20131013
2 Copyright(c) 1995-2003 Xilinx Inc. All rights reserved
3 3-20-2023 11:54PM 3-25-2023 0:52AM
4 NOTE: This file is designed to be imported into a spreadsheet program
5 such as Microsoft Excel for viewing, printing and sorting. The comma ','
6 character is used as the data field separator.
7 This file is also designed to support parsing.
90 P75,GND,,GND,,,,,,,,,,
91 P76,nBERR_IOB,I,I/O,INPUT,,,,,,,,,
92 P77,nVPA_IOB,I,I/O,INPUT,,,,,,,,,
93 P78,nDTACK_IOB,I,I/O,INPUT,,,,,,,,,
94 P79,nLDS_IOB,O,I/O,OUTPUT,,,,,,,,,
95 P80,nUDS_IOB,O,I/O,OUTPUT,,,,,,,,,
96 P81,nAS_IOB,O,I/O,OUTPUT,,,,,,,,,

View File

@ -2,7 +2,7 @@
<BODY TEXT='#000000' BGCOLOR='#FFFFFF' LINK='#0000EE' VLINK='#551A8B' ALINK='#FF0000'> <BODY TEXT='#000000' BGCOLOR='#FFFFFF' LINK='#0000EE' VLINK='#551A8B' ALINK='#FF0000'>
<TABLE BORDER CELLSPACING=0 CELLPADDING=3 WIDTH='100%'> <TABLE BORDER CELLSPACING=0 CELLPADDING=3 WIDTH='100%'>
<TR ALIGN=CENTER BGCOLOR='#99CCFF'> <TR ALIGN=CENTER BGCOLOR='#99CCFF'>
<TD ALIGN=CENTER COLSPAN='4'><B>WarpSE Project Status</B></TD></TR> <TD ALIGN=CENTER COLSPAN='4'><B>WarpSE Project Status (03/25/2023 - 00:52:44)</B></TD></TR>
<TR ALIGN=LEFT> <TR ALIGN=LEFT>
<TD BGCOLOR='#FFFF99'><B>Project File:</B></TD> <TD BGCOLOR='#FFFF99'><B>Project File:</B></TD>
<TD>WarpSE.xise</TD> <TD>WarpSE.xise</TD>
@ -25,7 +25,7 @@ No Errors</TD>
<TR ALIGN=LEFT> <TR ALIGN=LEFT>
<TD BGCOLOR='#FFFF99'><B>Product Version:</B></TD><TD>ISE 14.7</TD> <TD BGCOLOR='#FFFF99'><B>Product Version:</B></TD><TD>ISE 14.7</TD>
<TD BGCOLOR='#FFFF99'><UL><LI><B>Warnings:</B></LI></UL></TD> <TD BGCOLOR='#FFFF99'><UL><LI><B>Warnings:</B></LI></UL></TD>
<TD ALIGN=LEFT><A HREF_DISABLED='C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL\_xmsgs/*.xmsgs?&DataKey=Warning'>4 Warnings (0 new)</A></TD> <TD ALIGN=LEFT><A HREF_DISABLED='C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL\_xmsgs/*.xmsgs?&DataKey=Warning'>5 Warnings (0 new)</A></TD>
</TR> </TR>
<TR ALIGN=LEFT> <TR ALIGN=LEFT>
<TD BGCOLOR='#FFFF99'><B>Design Goal:</B></dif></TD> <TD BGCOLOR='#FFFF99'><B>Design Goal:</B></dif></TD>
@ -65,9 +65,9 @@ System Settings</A>
<TR ALIGN=CENTER BGCOLOR='#99CCFF'><TD ALIGN=CENTER COLSPAN='6'><B>Detailed Reports</B></TD><TD ALIGN=RIGHT WIDTH='10%'COLSPAN=1> <A HREF_DISABLED="?&ExpandedTable=DetailedReports"><B>[-]</B></a></TD></TR> <TR ALIGN=CENTER BGCOLOR='#99CCFF'><TD ALIGN=CENTER COLSPAN='6'><B>Detailed Reports</B></TD><TD ALIGN=RIGHT WIDTH='10%'COLSPAN=1> <A HREF_DISABLED="?&ExpandedTable=DetailedReports"><B>[-]</B></a></TD></TR>
<TR BGCOLOR='#FFFF99'><TD><B>Report Name</B></TD><TD><B>Status</B></TD><TD><B>Generated</B></TD> <TR BGCOLOR='#FFFF99'><TD><B>Report Name</B></TD><TD><B>Status</B></TD><TD><B>Generated</B></TD>
<TD ALIGN=LEFT><B>Errors</B></TD><TD ALIGN=LEFT><B>Warnings</B></TD><TD ALIGN=LEFT COLSPAN='2'><B>Infos</B></TD></TR> <TD ALIGN=LEFT><B>Errors</B></TD><TD ALIGN=LEFT><B>Warnings</B></TD><TD ALIGN=LEFT COLSPAN='2'><B>Infos</B></TD></TR>
<TR ALIGN=LEFT><TD><A HREF_DISABLED='C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL\WarpSE.syr'>Synthesis Report</A></TD><TD>Current</TD><TD>Mon Mar 20 23:53:54 2023</TD><TD ALIGN=LEFT>0</TD><TD ALIGN=LEFT><A HREF_DISABLED='C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL\_xmsgs/xst.xmsgs?&DataKey=Warning'>4 Warnings (0 new)</A></TD><TD ALIGN=LEFT COLSPAN='2'>0</TD></TR> <TR ALIGN=LEFT><TD><A HREF_DISABLED='C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL\WarpSE.syr'>Synthesis Report</A></TD><TD>Current</TD><TD>Sat Mar 25 00:52:16 2023</TD><TD ALIGN=LEFT>0</TD><TD ALIGN=LEFT><A HREF_DISABLED='C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL\_xmsgs/xst.xmsgs?&DataKey=Warning'>5 Warnings (0 new)</A></TD><TD ALIGN=LEFT COLSPAN='2'>0</TD></TR>
<TR ALIGN=LEFT><TD><A HREF_DISABLED='C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL\WarpSE.bld'>Translation Report</A></TD><TD>Current</TD><TD>Mon Mar 20 23:54:00 2023</TD><TD ALIGN=LEFT>0</TD><TD ALIGN=LEFT>0</TD><TD ALIGN=LEFT COLSPAN='2'>0</TD></TR> <TR ALIGN=LEFT><TD><A HREF_DISABLED='C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL\WarpSE.bld'>Translation Report</A></TD><TD>Current</TD><TD>Sat Mar 25 00:52:22 2023</TD><TD ALIGN=LEFT>0</TD><TD ALIGN=LEFT>0</TD><TD ALIGN=LEFT COLSPAN='2'>0</TD></TR>
<TR ALIGN=LEFT><TD><A HREF_DISABLED='C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL\WarpSE.rpt'>CPLD Fitter Report (Text)</A></TD><TD>Current</TD><TD>Mon Mar 20 23:54:12 2023</TD><TD ALIGN=LEFT>0</TD><TD ALIGN=LEFT><A HREF_DISABLED='C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL\_xmsgs/cpldfit.xmsgs?&DataKey=Warning'>4 Warnings (1 new)</A></TD><TD ALIGN=LEFT COLSPAN='2'><A HREF_DISABLED='C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL\_xmsgs/cpldfit.xmsgs?&DataKey=Info'>3 Infos (3 new)</A></TD></TR> <TR ALIGN=LEFT><TD><A HREF_DISABLED='C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL\WarpSE.rpt'>CPLD Fitter Report (Text)</A></TD><TD>Current</TD><TD>Sat Mar 25 00:52:35 2023</TD><TD ALIGN=LEFT>0</TD><TD ALIGN=LEFT><A HREF_DISABLED='C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL\_xmsgs/cpldfit.xmsgs?&DataKey=Warning'>5 Warnings (1 new)</A></TD><TD ALIGN=LEFT COLSPAN='2'><A HREF_DISABLED='C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL\_xmsgs/cpldfit.xmsgs?&DataKey=Info'>3 Infos (3 new)</A></TD></TR>
<TR ALIGN=LEFT><TD>Power Report</TD><TD>&nbsp;</TD><TD>&nbsp;</TD><TD>&nbsp;</TD><TD>&nbsp;</TD><TD COLSPAN='2'>&nbsp;</TD></TR> <TR ALIGN=LEFT><TD>Power Report</TD><TD>&nbsp;</TD><TD>&nbsp;</TD><TD>&nbsp;</TD><TD>&nbsp;</TD><TD COLSPAN='2'>&nbsp;</TD></TR>
</TABLE> </TABLE>
&nbsp;<BR><TABLE BORDER CELLSPACING=0 CELLPADDING=3 WIDTH='100%'> &nbsp;<BR><TABLE BORDER CELLSPACING=0 CELLPADDING=3 WIDTH='100%'>
@ -77,5 +77,5 @@ System Settings</A>
</TABLE> </TABLE>
<br><center><b>Date Generated:</b> 03/21/2023 - 00:22:40</center> <br><center><b>Date Generated:</b> 03/25/2023 - 00:52:44</center>
</BODY></HTML> </BODY></HTML>

View File

@ -5,7 +5,7 @@
The structure and the elements are likely to change over the next few releases. The structure and the elements are likely to change over the next few releases.
This means code written to parse this file will need to be revisited each subsequent release.--> This means code written to parse this file will need to be revisited each subsequent release.-->
<application stringID="Xst" timeStamp="Mon Mar 20 23:53:39 2023"> <application stringID="Xst" timeStamp="Sat Mar 25 00:52:01 2023">
<section stringID="User_Env"> <section stringID="User_Env">
<table stringID="User_EnvVar"> <table stringID="User_EnvVar">
<column stringID="variable"/> <column stringID="variable"/>
@ -78,8 +78,8 @@
<item dataType="int" stringID="XST_COUNTERS" value="3"> <item dataType="int" stringID="XST_COUNTERS" value="3">
<item dataType="int" stringID="XST_4BIT_UP_COUNTER" value="1"/> <item dataType="int" stringID="XST_4BIT_UP_COUNTER" value="1"/>
</item> </item>
<item dataType="int" stringID="XST_REGISTERS" value="63"> <item dataType="int" stringID="XST_REGISTERS" value="61">
<item dataType="int" stringID="XST_1BIT_REGISTER" value="63"/> <item dataType="int" stringID="XST_1BIT_REGISTER" value="61"/>
</item> </item>
<item dataType="int" stringID="XST_TRISTATES" value="5"> <item dataType="int" stringID="XST_TRISTATES" value="5">
<item dataType="int" stringID="XST_1BIT_TRISTATE_BUFFER" value="5"/> <item dataType="int" stringID="XST_1BIT_TRISTATE_BUFFER" value="5"/>
@ -90,8 +90,8 @@
<item dataType="int" stringID="XST_COUNTERS" value="3"> <item dataType="int" stringID="XST_COUNTERS" value="3">
<item dataType="int" stringID="XST_4BIT_UP_COUNTER" value="1"/> <item dataType="int" stringID="XST_4BIT_UP_COUNTER" value="1"/>
</item> </item>
<item dataType="int" stringID="XST_REGISTERS" value="51"> <item dataType="int" stringID="XST_REGISTERS" value="49">
<item dataType="int" stringID="XST_FLIPFLOPS" value="51"/> <item dataType="int" stringID="XST_FLIPFLOPS" value="49"/>
</item> </item>
</section> </section>
<section stringID="XST_PARTITION_REPORT"> <section stringID="XST_PARTITION_REPORT">
@ -111,30 +111,29 @@
<item stringID="XST_IOS" value="75"/> <item stringID="XST_IOS" value="75"/>
</section> </section>
<section stringID="XST_CELL_USAGE"> <section stringID="XST_CELL_USAGE">
<item dataType="int" stringID="XST_BELS" value="577"> <item dataType="int" stringID="XST_BELS" value="574">
<item dataType="int" stringID="XST_AND2" value="172"/> <item dataType="int" stringID="XST_AND2" value="172"/>
<item dataType="int" stringID="XST_AND3" value="20"/> <item dataType="int" stringID="XST_AND3" value="19"/>
<item dataType="int" stringID="XST_AND4" value="15"/> <item dataType="int" stringID="XST_AND4" value="15"/>
<item dataType="int" stringID="XST_GND" value="1"/> <item dataType="int" stringID="XST_GND" value="1"/>
<item dataType="int" stringID="XST_INV" value="238"/> <item dataType="int" stringID="XST_INV" value="236"/>
<item dataType="int" stringID="XST_OR2" value="98"/> <item dataType="int" stringID="XST_OR2" value="98"/>
<item dataType="int" stringID="XST_VCC" value="1"/> <item dataType="int" stringID="XST_VCC" value="1"/>
<item dataType="int" stringID="XST_XOR2" value="20"/> <item dataType="int" stringID="XST_XOR2" value="20"/>
</item> </item>
<item dataType="int" stringID="XST_FLIPFLOPSLATCHES" value="96"> <item dataType="int" stringID="XST_FLIPFLOPSLATCHES" value="94">
<item dataType="int" stringID="XST_FD" value="63"/> <item dataType="int" stringID="XST_FD" value="62"/>
<item dataType="int" stringID="XST_FDCE" value="33"/> <item dataType="int" stringID="XST_FDCE" value="32"/>
</item> </item>
<item dataType="int" stringID="XST_TRISTATES" value="1"></item> <item dataType="int" stringID="XST_IO_BUFFERS" value="71">
<item dataType="int" stringID="XST_IO_BUFFERS" value="72"> <item dataType="int" stringID="XST_IBUF" value="35"/>
<item dataType="int" stringID="XST_IBUF" value="36"/>
<item dataType="int" stringID="XST_OBUF" value="31"/> <item dataType="int" stringID="XST_OBUF" value="31"/>
</item> </item>
</section> </section>
</section> </section>
<section stringID="XST_ERRORS_STATISTICS"> <section stringID="XST_ERRORS_STATISTICS">
<item dataType="int" filtered="0" stringID="XST_NUMBER_OF_ERRORS" value="0"/> <item dataType="int" filtered="0" stringID="XST_NUMBER_OF_ERRORS" value="0"/>
<item dataType="int" filtered="0" stringID="XST_NUMBER_OF_WARNINGS" value="4"/> <item dataType="int" filtered="0" stringID="XST_NUMBER_OF_WARNINGS" value="5"/>
<item dataType="int" filtered="0" stringID="XST_NUMBER_OF_INFOS" value="0"/> <item dataType="int" filtered="0" stringID="XST_NUMBER_OF_INFOS" value="0"/>
</section> </section>
</application> </application>

View File

121
cpld/XC95144XL/_impact.log Normal file
View File

@ -0,0 +1,121 @@
iMPACT Version: 14.7
iMPACT log file Started on Sat Mar 25 00:06:03 2023
Welcome to iMPACT
iMPACT Version: 14.7
// *** BATCH CMD : setMode -bs
// *** BATCH CMD : addDevice -p 1 -file"C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.jed"
'1': Loading file'C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.jed' ...
done.
INFO:iMPACT:1777 -
Reading C:/Xilinx/14.7/ISE_DS/ISE/xc9500xl/data/xc95144xl.bsd...
INFO:iMPACT:501 - '1': Added Device xc95144xl successfully.
----------------------------------------------------------------------
GUI --- Auto connect to cable...
// *** BATCH CMD : setCable -port auto
INFO:iMPACT - Digilent Plugin: Plugin Version: 2.4.4
INFO:iMPACT - Digilent Plugin: found 1 device(s).
INFO:iMPACT - Digilent Plugin: opening device: "JtagSmt2", SN:210251A08870
INFO:iMPACT - Digilent Plugin: User Name: JtagSmt2
INFO:iMPACT - Digilent Plugin: Product Name: Digilent JTAG-SMT2
INFO:iMPACT - Digilent Plugin: Serial Number: 210251A08870
INFO:iMPACT - Digilent Plugin: Product ID: 31000154
INFO:iMPACT - Digilent Plugin: Firmware Version: 0108
INFO:iMPACT - Digilent Plugin: JTAG Port Number: 0
INFO:iMPACT - Digilent Plugin: JTAG Clock Frequency: 10000000 Hz
INFO:iMPACT - Current time: 3/25/2023 12:06:33 AM
// *** BATCH CMD : Program -p 1 -e
Maximum TCK operating frequency for this device chain: 10000000.
Validating chain...
Boundary-scan chain validated successfully.
'1': Erasing device...
PROGRESS_START - Starting Operation.
'1': Erasure completed successfully.
'1': Programming device...
done.
'1': Putting device in ISP mode...done.
'1': Putting device in ISP mode...done.
'1': Programming completed successfully.
PROGRESS_END - End Operation.
Elapsed time = 4 sec.
INFO:iMPACT - Current time: 3/25/2023 12:15:44 AM
Updating config fileC:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.jed...
// *** BATCH CMD : Program -p 1 -e
Maximum TCK operating frequency for this device chain: 10000000.
Validating chain...
Boundary-scan chain validated successfully.
'1': Erasing device...
PROGRESS_START - Starting Operation.
'1': Erasure completed successfully.
'1': Programming device...
done.
'1': Putting device in ISP mode...done.
'1': Putting device in ISP mode...done.
'1': Programming completed successfully.
PROGRESS_END - End Operation.
Elapsed time = 2 sec.
INFO:iMPACT - Current time: 3/25/2023 12:25:37 AM
Updating config fileC:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.jed...
// *** BATCH CMD : Program -p 1 -e
Maximum TCK operating frequency for this device chain: 10000000.
Validating chain...
Boundary-scan chain validated successfully.
'1': Erasing device...
PROGRESS_START - Starting Operation.
'1': Erasure completed successfully.
'1': Programming device...
done.
'1': Putting device in ISP mode...done.
'1': Putting device in ISP mode...done.
'1': Programming completed successfully.
PROGRESS_END - End Operation.
Elapsed time = 5 sec.
INFO:iMPACT - Current time: 3/25/2023 12:28:53 AM
Updating config fileC:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.jed...
// *** BATCH CMD : Program -p 1 -e
Maximum TCK operating frequency for this device chain: 10000000.
Validating chain...
Boundary-scan chain validated successfully.
'1': Erasing device...
PROGRESS_START - Starting Operation.
'1': Erasure completed successfully.
'1': Programming device...
done.
'1': Putting device in ISP mode...done.
'1': Putting device in ISP mode...done.
'1': Programming completed successfully.
PROGRESS_END - End Operation.
Elapsed time = 5 sec.
INFO:iMPACT - Current time: 3/25/2023 12:45:23 AM
Updating config fileC:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.jed...
// *** BATCH CMD : Program -p 1 -e
Maximum TCK operating frequency for this device chain: 10000000.
Validating chain...
Boundary-scan chain validated successfully.
'1': Erasing device...
PROGRESS_START - Starting Operation.
'1': Erasure completed successfully.
'1': Programming device...
done.
'1': Putting device in ISP mode...done.
'1': Putting device in ISP mode...done.
'1': Programming completed successfully.
PROGRESS_END - End Operation.
Elapsed time = 4 sec.
INFO:iMPACT - Current time: 3/25/2023 12:54:24 AM
Updating config fileC:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.jed...
// *** BATCH CMD : Program -p 1 -e
Maximum TCK operating frequency for this device chain: 10000000.
Validating chain...
Boundary-scan chain validated successfully.
'1': Erasing device...
PROGRESS_START - Starting Operation.
'1': Erasure completed successfully.
'1': Programming device...
done.
'1': Putting device in ISP mode...done.
'1': Putting device in ISP mode...done.
'1': Programming completed successfully.
PROGRESS_END - End Operation.
Elapsed time = 5 sec.

View File

@ -1,2 +1,2 @@
C:\Users\Wolf\Documents\GitHub\Warp-SE\cpld\XC95144XL\WarpSE.ngc 1679370834 C:\Users\Wolf\Documents\GitHub\Warp-SE\cpld\XC95144XL\WarpSE.ngc 1679719936
OK OK

View File

@ -26,5 +26,8 @@
<msg type="warning" file="Cpld" num="1007" delta="old" >Removing unused input(s) &apos;<arg fmt="%s" index="1">SW&lt;3&gt;</arg>&apos;. The input(s) are unused after optimization. Please verify functionality via simulation. <msg type="warning" file="Cpld" num="1007" delta="old" >Removing unused input(s) &apos;<arg fmt="%s" index="1">SW&lt;3&gt;</arg>&apos;. The input(s) are unused after optimization. Please verify functionality via simulation.
</msg> </msg>
<msg type="warning" file="Cpld" num="1007" delta="old" >Removing unused input(s) &apos;<arg fmt="%s" index="1">nBG_IOB</arg>&apos;. The input(s) are unused after optimization. Please verify functionality via simulation.
</msg>
</messages> </messages>

View File

@ -8,26 +8,8 @@
<!-- Copyright (c) 1995-2013 Xilinx, Inc. All rights reserved. --> <!-- Copyright (c) 1995-2013 Xilinx, Inc. All rights reserved. -->
<messages> <messages>
<msg type="info" file="ProjectMgmt" num="1845" ><arg fmt="%s" index="1">Analyzing Verilog file &quot;C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/CNT.v&quot; into library work</arg>
</msg>
<msg type="info" file="ProjectMgmt" num="1845" ><arg fmt="%s" index="1">Analyzing Verilog file &quot;C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/CS.v&quot; into library work</arg>
</msg>
<msg type="info" file="ProjectMgmt" num="1845" ><arg fmt="%s" index="1">Analyzing Verilog file &quot;C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/FSB.v&quot; into library work</arg> <msg type="info" file="ProjectMgmt" num="1845" ><arg fmt="%s" index="1">Analyzing Verilog file &quot;C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/FSB.v&quot; into library work</arg>
</msg> </msg>
<msg type="info" file="ProjectMgmt" num="1845" ><arg fmt="%s" index="1">Analyzing Verilog file &quot;C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/IOBM.v&quot; into library work</arg>
</msg>
<msg type="info" file="ProjectMgmt" num="1845" ><arg fmt="%s" index="1">Analyzing Verilog file &quot;C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/IOBS.v&quot; into library work</arg>
</msg>
<msg type="info" file="ProjectMgmt" num="1845" ><arg fmt="%s" index="1">Analyzing Verilog file &quot;C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/RAM.v&quot; into library work</arg>
</msg>
<msg type="info" file="ProjectMgmt" num="1845" ><arg fmt="%s" index="1">Analyzing Verilog file &quot;C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE.v&quot; into library work</arg>
</msg>
</messages> </messages>

View File

@ -11,6 +11,9 @@
<msg type="warning" file="Xst" num="647" delta="old" >Input &lt;<arg fmt="%s" index="1">SW</arg>&gt; is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. <msg type="warning" file="Xst" num="647" delta="old" >Input &lt;<arg fmt="%s" index="1">SW</arg>&gt; is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
</msg> </msg>
<msg type="warning" file="Xst" num="647" delta="old" >Input &lt;<arg fmt="%s" index="1">nBG_IOB</arg>&gt; is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
</msg>
<msg type="warning" file="Xst" num="646" delta="old" >Signal &lt;<arg fmt="%s" index="1">SndRAMCSWR</arg>&gt; is assigned but never used. This unconnected signal will be trimmed during the optimization process. <msg type="warning" file="Xst" num="646" delta="old" >Signal &lt;<arg fmt="%s" index="1">SndRAMCSWR</arg>&gt; is assigned but never used. This unconnected signal will be trimmed during the optimization process.
</msg> </msg>

View File

@ -10,17 +10,20 @@
<ClosedNode>/MXSE Z:|Warp-SE|cpld|WarpSE.v</ClosedNode> <ClosedNode>/MXSE Z:|Warp-SE|cpld|WarpSE.v</ClosedNode>
</ClosedNodes> </ClosedNodes>
<SelectedItems> <SelectedItems>
<SelectedItem>iobs - IOBS (C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/IOBS.v)</SelectedItem> <SelectedItem>WarpSE (C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE.v)</SelectedItem>
</SelectedItems> </SelectedItems>
<ScrollbarPosition orientation="vertical" >0</ScrollbarPosition> <ScrollbarPosition orientation="vertical" >0</ScrollbarPosition>
<ScrollbarPosition orientation="horizontal" >0</ScrollbarPosition> <ScrollbarPosition orientation="horizontal" >0</ScrollbarPosition>
<ViewHeaderState orientation="horizontal" >000000ff000000000000000100000001000000000000000000000000000000000202000000010000000100000064000000d2000000020000000000000000000000000200000064ffffffff000000810000000300000002000000d20000000100000003000000000000000100000003</ViewHeaderState> <ViewHeaderState orientation="horizontal" >000000ff000000000000000100000001000000000000000000000000000000000202000000010000000100000064000000d2000000020000000000000000000000000200000064ffffffff000000810000000300000002000000d20000000100000003000000000000000100000003</ViewHeaderState>
<UserChangedColumnWidths orientation="horizontal" >true</UserChangedColumnWidths> <UserChangedColumnWidths orientation="horizontal" >true</UserChangedColumnWidths>
<CurrentItem>iobs - IOBS (C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/IOBS.v)</CurrentItem> <CurrentItem>WarpSE (C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE.v)</CurrentItem>
</ItemView> </ItemView>
<ItemView engineview="SynthesisOnly" sourcetype="DESUT_VERILOG" guiview="Process" > <ItemView engineview="SynthesisOnly" sourcetype="DESUT_VERILOG" guiview="Process" >
<ClosedNodes> <ClosedNodes>
<ClosedNodesVersion>1</ClosedNodesVersion> <ClosedNodesVersion>1</ClosedNodesVersion>
<ClosedNode>Design Utilities</ClosedNode>
<ClosedNode>Implement Design/Optional Implementation Tools</ClosedNode>
<ClosedNode>Implement Design/Synthesize - XST</ClosedNode>
<ClosedNode>User Constraints</ClosedNode> <ClosedNode>User Constraints</ClosedNode>
</ClosedNodes> </ClosedNodes>
<SelectedItems> <SelectedItems>

View File

@ -1,7 +1,7 @@
<?xml version='1.0' encoding='UTF-8'?> <?xml version='1.0' encoding='UTF-8'?>
<report-views version="2.0" > <report-views version="2.0" >
<header> <header>
<DateModified>2023-03-21T00:22:40</DateModified> <DateModified>2023-03-25T00:05:10</DateModified>
<ModuleName>WarpSE</ModuleName> <ModuleName>WarpSE</ModuleName>
<SummaryTimeStamp>Unknown</SummaryTimeStamp> <SummaryTimeStamp>Unknown</SummaryTimeStamp>
<SavedFilePath>C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/iseconfig/WarpSE.xreport</SavedFilePath> <SavedFilePath>C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/iseconfig/WarpSE.xreport</SavedFilePath>

View File

@ -3,7 +3,7 @@
<!--The data in this file is primarily intended for consumption by Xilinx tools. <!--The data in this file is primarily intended for consumption by Xilinx tools.
The structure and the elements are likely to change over the next few releases. The structure and the elements are likely to change over the next few releases.
This means code written to parse this file will need to be revisited each subsequent release.--> This means code written to parse this file will need to be revisited each subsequent release.-->
<application name="pn" timeStamp="Mon Mar 20 23:53:38 2023"> <application name="pn" timeStamp="Sat Mar 25 00:52:00 2023">
<section name="Project Information" visible="false"> <section name="Project Information" visible="false">
<property name="ProjectID" value="8B3C87EB1A1F4FD6BCA39339C89EC1EE" type="project"/> <property name="ProjectID" value="8B3C87EB1A1F4FD6BCA39339C89EC1EE" type="project"/>
<property name="ProjectIteration" value="0" type="project"/> <property name="ProjectIteration" value="0" type="project"/>

View File

@ -1,8 +1,8 @@
MO CNT NULL ../CNT.v vlg65/_c_n_t.bin 1679370820 MO CNT NULL ../CNT.v vlg65/_c_n_t.bin 1679719921
MO CS NULL ../CS.v vlg22/_c_s.bin 1679370820 MO CS NULL ../CS.v vlg22/_c_s.bin 1679719921
MO FSB NULL ../FSB.v vlg37/_f_s_b.bin 1679370820 MO FSB NULL ../FSB.v vlg37/_f_s_b.bin 1679719921
MO IOBM NULL ../IOBM.v vlg73/_i_o_b_m.bin 1679370820 MO IOBM NULL ../IOBM.v vlg73/_i_o_b_m.bin 1679719921
MO WarpSE NULL ../WarpSE.v vlg52/_warp_s_e.bin 1679370820 MO WarpSE NULL ../WarpSE.v vlg52/_warp_s_e.bin 1679719921
MO IOBS NULL ../IOBS.v vlg79/_i_o_b_s.bin 1679370820 MO IOBS NULL ../IOBS.v vlg79/_i_o_b_s.bin 1679719921
MO RAM NULL ../RAM.v vlg14/_r_a_m.bin 1679370820 MO RAM NULL ../RAM.v vlg14/_r_a_m.bin 1679719921
MO MXSE NULL ../MXSE.v vlg15/_m_x_s_e.bin 1648473402 MO MXSE NULL ../MXSE.v vlg15/_m_x_s_e.bin 1648473402