1
0
mirror of https://github.com/sehugg/8bitworkshop.git synced 2024-07-14 16:29:40 +00:00
8bitworkshop/test/cli/verilog/t_math_div0.v

12 lines
201 B
Coq
Raw Normal View History

2017-11-22 14:42:07 +00:00
module t(y);
output [3:0] y;
// bug775
// verilator lint_off WIDTH
assign y = ((0/0) ? 1 : 2) % 0;
initial begin
$write("*-* All Finished *-*\n");
$finish;
end
endmodule