1
0
mirror of https://github.com/sehugg/8bitworkshop.git synced 2024-09-30 13:54:55 +00:00
8bitworkshop/presets/verilog
2017-11-19 13:26:21 -05:00
..
ball_paddle.v verilog: 2d array; digits; score; reset w/ no init; more warnings 2017-11-19 13:26:21 -05:00
clock_divider.v support `include statements in verilog; book link changes; paddle/switches; scope transitions 2017-11-16 10:30:47 -05:00
digits10.v verilog: 2d array; digits; score; reset w/ no init; more warnings 2017-11-19 13:26:21 -05:00
hvsync_generator.v verilog: 2d array; digits; score; reset w/ no init; more warnings 2017-11-19 13:26:21 -05:00
lfsr.v support `include statements in verilog; book link changes; paddle/switches; scope transitions 2017-11-16 10:30:47 -05:00
pong.v support `include statements in verilog; book link changes; paddle/switches; scope transitions 2017-11-16 10:30:47 -05:00
test_hvsync.v more paddle/pong stuff; wider compiler msgs 2017-11-17 17:03:11 -05:00