6809: cosmetic renaming

Change-Id: I2a6a68289f7bb30ad23387f684dfd1badd6d754c
This commit is contained in:
David Banks 2019-11-04 09:19:27 +00:00
parent 66d109494e
commit 663aac5198
2 changed files with 23 additions and 16 deletions

View File

@ -67,28 +67,35 @@ entity MC6809CpuMonALS is
avr_RxD : in std_logic;
avr_TxD : out std_logic;
-- GODIL Switches
sw_reset_n : in std_logic;
sw_interrupt_n : in std_logic;
-- Switches
sw1 : in std_logic;
sw2 : in std_logic;
-- GODIL LEDs
led_bkpt : out std_logic;
led_trig0 : out std_logic;
led_trig1 : out std_logic
-- LEDs
led1 : out std_logic;
led2 : out std_logic;
led3 : out std_logic
);
end MC6809CpuMonALS;
architecture behavioral of MC6809CpuMonALS is
signal sw_reset : std_logic;
signal sw_interrupt : std_logic;
signal R_W_n_int : std_logic;
signal sw_interrupt : std_logic;
signal sw_reset : std_logic;
signal led_bkpt : std_logic;
signal led_trig0 : std_logic;
signal led_trig1 : std_logic;
begin
sw_reset <= not sw_reset_n;
sw_interrupt <= not sw_interrupt_n;
sw_interrupt <= not sw1;
sw_reset <= not sw2;
led1 <= led_bkpt;
led2 <= led_trig0;
led3 <= led_trig1;
wrapper : entity work.MC6809CpuMon
generic map (

View File

@ -56,11 +56,11 @@ NET "OED_n" LOC="P92" | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE =
NET "DIRD" LOC="P93" | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 8 ;
# LEDs and Switches
NET "led_bkpt" LOC="P44" | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 8 ; # stopped at breakpoint
NET "led_trig0" LOC="P41" | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 8 ; # trigger 0 active
NET "led_trig1" LOC="P67" | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 8 ; # trigger 1 active
NET "sw_reset_n" LOC="P45" | IOSTANDARD = LVCMOS33 | PULLUP ; # reset
NET "sw_interrupt_n" LOC="P66" | IOSTANDARD = LVCMOS33 | PULLUP ; # interrupt
NET "led1" LOC="P44" | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 8 ; # stopped at breakpoint
NET "led2" LOC="P41" | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 8 ; # trigger 0 active
NET "led3" LOC="P67" | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 8 ; # trigger 1 active
NET "sw1" LOC="P45" | IOSTANDARD = LVCMOS33 | PULLUP ; # reset
NET "sw2" LOC="P66" | IOSTANDARD = LVCMOS33 | PULLUP ; # interrupt
# ID/Jumper
NET "mode" LOC="P140" | IOSTANDARD = LVCMOS33 | PULLUP ; # mode jumper