lx9_dave z80: increase code space to 32KB

Change-Id: I7ab22f8cca51184b94e709336b661b8685d02d0b
This commit is contained in:
David Banks 2019-10-25 17:11:13 +01:00
parent 89cd34c7db
commit a29aa3015a
3 changed files with 50 additions and 18 deletions

View File

@ -22,13 +22,15 @@ use ieee.numeric_std.all;
entity Z80CpuMon is
generic (
UseT80Core : boolean := true;
LEDsActiveHigh : boolean := false; -- default value correct for GODIL
SW1ActiveHigh : boolean := true; -- default value correct for GODIL
SW2ActiveHigh : boolean := false; -- default value correct for GODIL
ClkMult : integer := 10; -- default value correct for GODIL
ClkDiv : integer := 31; -- default value correct for GODIL
ClkPer : real := 20.345 -- default value correct for GODIL
UseT80Core : boolean := true;
LEDsActiveHigh : boolean := false; -- default value correct for GODIL
SW1ActiveHigh : boolean := true; -- default value correct for GODIL
SW2ActiveHigh : boolean := false; -- default value correct for GODIL
ClkMult : integer := 10; -- default value correct for GODIL
ClkDiv : integer := 31; -- default value correct for GODIL
ClkPer : real := 20.345; -- default value correct for GODIL
num_comparators : integer := 4; -- default value correct for GODIL
avr_prog_mem_size : integer := 1024 * 9 -- default value correct for GODIL
);
port (
clock49 : in std_logic;
@ -206,8 +208,8 @@ begin
mon : entity work.BusMonCore
generic map (
num_comparators => 4,
avr_prog_mem_size => 1024 * 9
num_comparators => num_comparators,
avr_prog_mem_size => avr_prog_mem_size
)
port map (
clock_avr => clock_avr,

View File

@ -103,13 +103,15 @@ begin
wrapper : entity work.Z80CpuMon
generic map (
UseT80Core => true,
LEDsActiveHigh => true,
SW1ActiveHigh => false,
SW2ActiveHigh => false,
ClkMult => 8,
ClkDiv => 25,
ClkPer => 20.000
UseT80Core => true,
LEDsActiveHigh => true,
SW1ActiveHigh => false,
SW2ActiveHigh => false,
ClkMult => 8,
ClkDiv => 25,
ClkPer => 20.000,
num_comparators => 4,
avr_prog_mem_size => 1024 * 16
)
port map (
clock49 => clock,

View File

@ -1,6 +1,6 @@
ADDRESS_MAP avrmap PPC405 0
ADDRESS_SPACE rom_code RAMB16 [0x00000000:0x000047ff]
ADDRESS_SPACE rom_code RAMB16 [0x00000000:0x00007fff]
BUS_BLOCK
wrapper/mon/Inst_AVR8/PM_Inst/RAM_Inst[0].Ram [15:0];
END_BUS_BLOCK;
@ -36,7 +36,35 @@ ADDRESS_MAP avrmap PPC405 0
BUS_BLOCK
wrapper/mon/Inst_AVR8/PM_Inst/RAM_Inst[8].Ram [15:0];
END_BUS_BLOCK;
BUS_BLOCK
wrapper/mon/Inst_AVR8/PM_Inst/RAM_Inst[9].Ram [15:0];
END_BUS_BLOCK;
BUS_BLOCK
wrapper/mon/Inst_AVR8/PM_Inst/RAM_Inst[10].Ram [15:0];
END_BUS_BLOCK;
BUS_BLOCK
wrapper/mon/Inst_AVR8/PM_Inst/RAM_Inst[11].Ram [15:0];
END_BUS_BLOCK;
BUS_BLOCK
wrapper/mon/Inst_AVR8/PM_Inst/RAM_Inst[12].Ram [15:0];
END_BUS_BLOCK;
BUS_BLOCK
wrapper/mon/Inst_AVR8/PM_Inst/RAM_Inst[13].Ram [15:0];
END_BUS_BLOCK;
BUS_BLOCK
wrapper/mon/Inst_AVR8/PM_Inst/RAM_Inst[14].Ram [15:0];
END_BUS_BLOCK;
BUS_BLOCK
wrapper/mon/Inst_AVR8/PM_Inst/RAM_Inst[15].Ram [15:0];
END_BUS_BLOCK;
END_ADDRESS_SPACE;
END_ADDRESS_MAP;