This project is an open-source In-Circuit Emulator for the 6502, 65C02, Z80, 6809 and 6809E 8-bit processors. See:
Go to file
David Banks 344e03185d Reworked the 6502 single stepping to hide the fact that register writes are pipelined
Change-Id: I6d9157e3d9ade9af72e11d255b224cc7f210f376
2015-10-26 16:44:25 +00:00
6809 Initial checkin of ICE-6809; version now 0.49 2015-07-02 15:35:05 +01:00
firmware Reworked the 6502 single stepping to hide the fact that register writes are pipelined 2015-10-26 16:44:25 +00:00
ipcore_dir Added missing file: ipcore_dir/WatchEvents.ngc 2015-10-26 12:19:11 +00:00
src Reworked the 6502 single stepping to hide the fact that register writes are pipelined 2015-10-26 16:44:25 +00:00
.gitignore Added missing file: ipcore_dir/WatchEvents.ngc 2015-10-26 12:19:11 +00:00
AtomBusMon.xise Updated AtomBusMon pinout to match 6502, would allow piggy-backing in principle 2015-06-20 22:36:10 +01:00
AtomCpuMon.bit Reworked the 6502 single stepping to hide the fact that register writes are pipelined 2015-10-26 16:44:25 +00:00
AtomCpuMon.xise Changed to 18K ROM/2K RAM, version now 0.60, bitfiles published for all three designs 2015-07-06 18:49:57 +01:00
AtomFast6502.xise Experimental AtomFast6502 with a different clocking arrangement 2015-09-23 14:56:31 +01:00
Blank.bit Added bitfiles for Roland to test 2015-06-18 07:52:10 +01:00
Blank.xise Added Blank design and T65-based 6502 design 2015-06-14 17:57:26 +01:00
ise_build.tcl Implemented cycle counter and data bus monitoring during read/write watches/breakpoints, incremented version to 0.32 2015-06-20 12:30:18 +01:00
ise_clean.tcl Implemented cycle counter and data bus monitoring during read/write watches/breakpoints, incremented version to 0.32 2015-06-20 12:30:18 +01:00
LICENSE.txt Added license 2015-06-11 21:45:35 +01:00
MC6809CpuMon.bit Updated 6809 clocking scheme; tested on Dragon 32 and Simple 6809; fixed 6809 reset command bug; version now 0.64 2015-08-08 13:49:13 +01:00
MC6809ECpuMon.xise Changed to 18K ROM/2K RAM, version now 0.60, bitfiles published for all three designs 2015-07-06 18:49:57 +01:00
README.md Updated README 2015-06-11 21:46:33 +01:00
Z80CpuMon.bit Further optimization of static data in disassemlers, data memory usage < 1K, version now 0.61 2015-07-07 16:20:32 +01:00
Z80CpuMon.xise Changed to 18K ROM/2K RAM, version now 0.60, bitfiles published for all three designs 2015-07-06 18:49:57 +01:00

AtomBusMon

For further details see the stardot.org.uk thread: http://stardot.org.uk/forums/viewtopic.php?f=44&t=9655