1
0
mirror of https://github.com/TomHarte/CLK.git synced 2024-07-09 21:29:53 +00:00
CLK/Components
2017-07-24 21:29:13 -04:00
..
1770 Converted the TimedEventLoop and the things that sit atop it into ClockReceivers. 2017-07-24 21:19:05 -04:00
6522 Completed curly bracket movement. 2017-03-26 14:34:47 -04:00
6532 Completed curly bracket movement. 2017-03-26 14:34:47 -04:00
6560 Filter is now a ClockReciever, affecting all sound output devices. 2017-07-24 21:29:13 -04:00
AY38910 Fixed signedness of index. 2017-07-21 21:21:01 -04:00
ClockReceiver.hpp Decided to follow through on Cycles and HalfCycles as complete integer-alikes. Which means giving them the interesting range of operators. Also killed the implicit conversion to int as likely to lead to type confusion. 2017-07-24 20:10:05 -04:00