Simple Apple I-inspired 6502 computer in Verilog
Go to file
Steven Hugg 072d03b6fb
Update README.md
2019-09-11 20:31:21 -05:00
mangomon added mangomon source code 2019-09-02 20:38:44 -04:00
LICENSE Initial commit 2019-09-02 20:31:35 -04:00
README.md Update README.md 2019-09-11 20:31:21 -05:00
cpu6502.v initial import from 8bitworkshop.com 2019-09-02 20:31:40 -04:00
font_cp437_8x8.v initial import from 8bitworkshop.com 2019-09-02 20:31:40 -04:00
hvsync_generator.v initial import from 8bitworkshop.com 2019-09-02 20:31:40 -04:00
mango1.hex initial import from 8bitworkshop.com 2019-09-02 20:31:40 -04:00
mango1.v updated comments 2019-09-02 20:35:46 -04:00

README.md

Mango One

A simple 6502-based computer inspired by the Apple I, implemented in Verilog.

For the 6502 CPU, we use an open-source model created by Arlet Ottens.

The Mango One's memory map is very similar to the Apple I:

Start End Description
$0000 $0FFF RAM
$D010 $D013 6821 PIA (keyboard, terminal)
$FF00 $FFFF Monitor ROM, CPU vectors

The monitor program in ROM, MangoMon, is a custom 256-byte monitor ROM with just a few commands:

Command Function
R aaaa Dump memory at address $aaaa
Enter Dump next 8 bytes
W aaaa bb Write byte $bb at address $aaaa
G aaaa Jump to address $aaaa

You can open this project in 8bitworkshop and try it out!