verilog-apple-one/rtl/rom_wozmon.v

20 lines
279 B
Coq
Raw Normal View History

2018-01-26 13:21:05 +00:00
module rom_wozmon(
input clk,
input [7:0] address,
output reg [7:0] dout
);
reg [7:0] rom[0:255];
initial
2018-01-26 22:32:31 +00:00
$readmemh("../roms/rom.hex", rom, 0, 255);
2018-01-26 13:21:05 +00:00
always @(posedge clk)
begin
dout <= rom[address];
end
endmodule