verilog-apple-one/rtl/boards/olimex_ice40hx8k/pll.v
Rangel Ivanov 3987dc22cb Initial port to olimex ice40hx8k with ice-40io
ps2 will be added when someone donates a ps2 keyboard
2018-02-11 13:28:37 +02:00

34 lines
679 B
Verilog

/**
* PLL configuration
*
* This Verilog module was generated automatically
* using the icepll tool from the IceStorm project.
* Use at your own risk.
*
* Given input frequency: 100.000 MHz
* Requested output frequency: 25.000 MHz
* Achieved output frequency: 25.000 MHz
*/
module pll(
input clock_in,
output clock_out,
output locked
);
SB_PLL40_CORE #(
.FEEDBACK_PATH("SIMPLE"),
.DIVR(4'b0000), // DIVR = 0
.DIVF(7'b0000111), // DIVF = 7
.DIVQ(3'b101), // DIVQ = 5
.FILTER_RANGE(3'b101) // FILTER_RANGE = 5
) uut (
.LOCK(locked),
.RESETB(1'b1),
.BYPASS(1'b0),
.REFERENCECLK(clock_in),
.PLLOUTCORE(clock_out)
);
endmodule