Apple-II-Gate-Level-Xilinx-.../AppleIIGateSch/JK_FlipFlop_JK_FlipFlop_sch...

4 lines
126 B
Plaintext

verilog work "JK_FlipFlop.vf"
verilog work "JK_FlipFlop_tb.v"
verilog work "C:/Xilinx/14.7/ISE_DS/ISE//verilog/src/glbl.v"