This commit is contained in:
MHeinrichs 2014-05-22 15:00:48 +02:00
parent 9643ce8642
commit 228e58b64e
45 changed files with 12498 additions and 6314 deletions

View File

@ -186,7 +186,7 @@ begin
AS_030_000_SYNC <= '1';
UDS_000_INT <= '1';
LDS_000_INT <= '1';
CLK_REF <= "00";
CLK_REF <= "01";
VMA_INT <= '1';
FPU_CS_INT <= '1';
BG_000 <= '1';

File diff suppressed because it is too large Load Diff

File diff suppressed because it is too large Load Diff

View File

@ -1,70 +1,80 @@
#$ TOOL ispLEVER Classic 1.7.00.05.28.13
#$ DATE Sun May 18 21:01:47 2014
#$ DATE Thu May 22 14:56:10 2014
#$ MODULE 68030_tk
#$ PINS 59 SIZE_1_ A_31_ IPL_030_2_ IPL_2_ DSACK_1_ FC_1_ AS_030 AS_000 DS_030 UDS_000 \
# SIZE_0_ LDS_000 A_30_ nEXP_SPACE A_29_ BERR A_28_ BG_030 A_27_ BG_000 A_26_ BGACK_030 \
# A_25_ BGACK_000 A_24_ CLK_030 A_23_ CLK_000 A_22_ CLK_OSZI A_21_ CLK_DIV_OUT A_20_ \
# CLK_EXP A_19_ FPU_CS A_18_ DTACK A_17_ AVEC A_16_ AVEC_EXP E VPA VMA RST RESET RW \
# AMIGA_BUS_ENABLE AMIGA_BUS_DATA_DIR AMIGA_BUS_ENABLE_LOW CIIN A_0_ IPL_030_1_ \
# IPL_030_0_ IPL_1_ IPL_0_ DSACK_0_ FC_0_
#$ NODES 38 inst_BGACK_030_INTreg inst_FPU_CS_INTreg cpu_est_3_reg CLK_OUT_INTreg \
# inst_VMA_INTreg cpu_est_0_ cpu_est_1_ IPL_030DFFSH_0_reg inst_AS_000_INTreg \
# inst_AS_030_000_SYNC IPL_030DFFSH_1_reg inst_DTACK_SYNC inst_VPA_D \
# IPL_030DFFSH_2_reg inst_VPA_SYNC inst_CLK_000_D0 inst_CLK_000_D1 inst_CLK_000_D2 \
# inst_CLK_OUT_PRE SM_AMIGA_6_ cpu_est_2_ CLK_REF_1_ SM_AMIGA_7_ inst_UDS_000_INTreg \
# inst_LDS_000_INTreg DSACK_INT_1_ SM_AMIGA_4_ SM_AMIGA_1_ inst_DTACK_DMA CLK_CNT_0_ \
# CLK_CNT_1_ RESETDFFreg SM_AMIGA_3_ SM_AMIGA_5_ SM_AMIGA_2_ SM_AMIGA_0_ \
# AMIGA_BUS_ENABLEDFFreg BG_000DFFSHreg
# LDS_000 nEXP_SPACE BERR SIZE_0_ BG_030 A_30_ BG_000 A_29_ BGACK_030 A_28_ BGACK_000 A_27_ \
# CLK_030 A_26_ CLK_000 A_25_ CLK_OSZI A_24_ CLK_DIV_OUT A_23_ CLK_EXP A_22_ FPU_CS A_21_ \
# DTACK A_20_ AVEC A_19_ AVEC_EXP A_18_ E A_17_ VPA A_16_ VMA RST RESET RW AMIGA_BUS_ENABLE \
# AMIGA_BUS_DATA_DIR AMIGA_BUS_ENABLE_LOW CIIN A_0_ IPL_030_1_ IPL_030_0_ IPL_1_ IPL_0_ \
# DSACK_0_ FC_0_
#$ NODES 39 BG_000DFFSHreg inst_BGACK_030_INTreg inst_FPU_CS_INTreg cpu_est_3_reg \
# inst_VMA_INTreg cpu_est_0_ cpu_est_1_ CLK_OUT_INTreg inst_AS_000_INTreg \
# inst_AS_030_000_SYNC inst_DTACK_SYNC IPL_030DFFSH_0_reg inst_VPA_D inst_VPA_SYNC \
# IPL_030DFFSH_1_reg inst_CLK_000_D0 inst_CLK_000_D1 IPL_030DFFSH_2_reg \
# inst_CLK_000_D2 inst_CLK_OUT_PRE SM_AMIGA_6_ cpu_est_2_ CLK_REF_0_ CLK_REF_1_ \
# SM_AMIGA_7_ inst_UDS_000_INTreg inst_LDS_000_INTreg DSACK_INT_1_ SM_AMIGA_4_ \
# SM_AMIGA_1_ inst_DTACK_DMA CLK_CNT_0_ CLK_CNT_1_ SM_AMIGA_3_ RESETDFFreg SM_AMIGA_5_ \
# SM_AMIGA_2_ SM_AMIGA_0_ AMIGA_BUS_ENABLEDFFreg
.model bus68030
.inputs SIZE_1_.BLIF A_31_.BLIF IPL_2_.BLIF FC_1_.BLIF AS_030.BLIF DS_030.BLIF \
nEXP_SPACE.BLIF BG_030.BLIF BGACK_000.BLIF CLK_030.BLIF CLK_000.BLIF \
CLK_OSZI.BLIF VPA.BLIF RST.BLIF RW.BLIF SIZE_0_.BLIF A_30_.BLIF A_29_.BLIF \
A_28_.BLIF A_27_.BLIF A_26_.BLIF A_25_.BLIF A_24_.BLIF A_23_.BLIF A_22_.BLIF \
A_21_.BLIF A_20_.BLIF A_19_.BLIF A_18_.BLIF A_17_.BLIF A_16_.BLIF A_0_.BLIF \
IPL_1_.BLIF IPL_0_.BLIF FC_0_.BLIF inst_BGACK_030_INTreg.BLIF \
inst_FPU_CS_INTreg.BLIF cpu_est_3_reg.BLIF CLK_OUT_INTreg.BLIF \
inst_VMA_INTreg.BLIF cpu_est_0_.BLIF cpu_est_1_.BLIF IPL_030DFFSH_0_reg.BLIF \
inst_AS_000_INTreg.BLIF inst_AS_030_000_SYNC.BLIF IPL_030DFFSH_1_reg.BLIF \
inst_DTACK_SYNC.BLIF inst_VPA_D.BLIF IPL_030DFFSH_2_reg.BLIF \
inst_VPA_SYNC.BLIF inst_CLK_000_D0.BLIF inst_CLK_000_D1.BLIF \
inst_CLK_000_D2.BLIF inst_CLK_OUT_PRE.BLIF SM_AMIGA_6_.BLIF cpu_est_2_.BLIF \
CLK_REF_1_.BLIF SM_AMIGA_7_.BLIF inst_UDS_000_INTreg.BLIF \
inst_LDS_000_INTreg.BLIF DSACK_INT_1_.BLIF SM_AMIGA_4_.BLIF SM_AMIGA_1_.BLIF \
inst_DTACK_DMA.BLIF CLK_CNT_0_.BLIF CLK_CNT_1_.BLIF RESETDFFreg.BLIF \
SM_AMIGA_3_.BLIF SM_AMIGA_5_.BLIF SM_AMIGA_2_.BLIF SM_AMIGA_0_.BLIF \
AMIGA_BUS_ENABLEDFFreg.BLIF BG_000DFFSHreg.BLIF DSACK_1_.PIN.BLIF \
DTACK.PIN.BLIF
IPL_1_.BLIF IPL_0_.BLIF FC_0_.BLIF BG_000DFFSHreg.BLIF \
inst_BGACK_030_INTreg.BLIF inst_FPU_CS_INTreg.BLIF cpu_est_3_reg.BLIF \
inst_VMA_INTreg.BLIF cpu_est_0_.BLIF cpu_est_1_.BLIF CLK_OUT_INTreg.BLIF \
inst_AS_000_INTreg.BLIF inst_AS_030_000_SYNC.BLIF inst_DTACK_SYNC.BLIF \
IPL_030DFFSH_0_reg.BLIF inst_VPA_D.BLIF inst_VPA_SYNC.BLIF \
IPL_030DFFSH_1_reg.BLIF inst_CLK_000_D0.BLIF inst_CLK_000_D1.BLIF \
IPL_030DFFSH_2_reg.BLIF inst_CLK_000_D2.BLIF inst_CLK_OUT_PRE.BLIF \
SM_AMIGA_6_.BLIF cpu_est_2_.BLIF CLK_REF_0_.BLIF CLK_REF_1_.BLIF \
SM_AMIGA_7_.BLIF inst_UDS_000_INTreg.BLIF inst_LDS_000_INTreg.BLIF \
DSACK_INT_1_.BLIF SM_AMIGA_4_.BLIF SM_AMIGA_1_.BLIF inst_DTACK_DMA.BLIF \
CLK_CNT_0_.BLIF CLK_CNT_1_.BLIF SM_AMIGA_3_.BLIF RESETDFFreg.BLIF \
SM_AMIGA_5_.BLIF SM_AMIGA_2_.BLIF SM_AMIGA_0_.BLIF AMIGA_BUS_ENABLEDFFreg.BLIF \
DSACK_1_.PIN.BLIF DTACK.PIN.BLIF
.outputs IPL_030_2_ AS_000 UDS_000 LDS_000 BERR BG_000 BGACK_030 CLK_DIV_OUT \
CLK_EXP FPU_CS AVEC AVEC_EXP E VMA RESET AMIGA_BUS_ENABLE AMIGA_BUS_DATA_DIR \
AMIGA_BUS_ENABLE_LOW CIIN IPL_030_1_ IPL_030_0_ SM_AMIGA_5_.D SM_AMIGA_5_.C \
SM_AMIGA_5_.AR SM_AMIGA_4_.D SM_AMIGA_4_.C SM_AMIGA_4_.AR SM_AMIGA_3_.D \
SM_AMIGA_3_.C SM_AMIGA_3_.AR SM_AMIGA_2_.D SM_AMIGA_2_.C SM_AMIGA_2_.AR \
SM_AMIGA_1_.D SM_AMIGA_1_.C SM_AMIGA_1_.AR SM_AMIGA_0_.D SM_AMIGA_0_.C \
SM_AMIGA_0_.AR cpu_est_2_.D cpu_est_2_.C cpu_est_3_reg.C IPL_030DFFSH_0_reg.D \
AMIGA_BUS_ENABLE_LOW CIIN IPL_030_1_ IPL_030_0_ SM_AMIGA_6_.D SM_AMIGA_6_.C \
SM_AMIGA_6_.AR SM_AMIGA_5_.D SM_AMIGA_5_.C SM_AMIGA_5_.AR SM_AMIGA_4_.D \
SM_AMIGA_4_.C SM_AMIGA_4_.AR SM_AMIGA_3_.D SM_AMIGA_3_.C SM_AMIGA_3_.AR \
SM_AMIGA_2_.D SM_AMIGA_2_.C SM_AMIGA_2_.AR SM_AMIGA_1_.D SM_AMIGA_1_.C \
SM_AMIGA_1_.AR SM_AMIGA_0_.D SM_AMIGA_0_.C SM_AMIGA_0_.AR cpu_est_1_.D \
cpu_est_1_.C cpu_est_2_.D cpu_est_2_.C cpu_est_3_reg.C IPL_030DFFSH_0_reg.D \
IPL_030DFFSH_0_reg.C IPL_030DFFSH_0_reg.AP IPL_030DFFSH_1_reg.D \
IPL_030DFFSH_1_reg.C IPL_030DFFSH_1_reg.AP IPL_030DFFSH_2_reg.D \
IPL_030DFFSH_2_reg.C IPL_030DFFSH_2_reg.AP SM_AMIGA_7_.D SM_AMIGA_7_.C \
SM_AMIGA_7_.AP SM_AMIGA_6_.D SM_AMIGA_6_.C SM_AMIGA_6_.AR inst_CLK_OUT_PRE.C \
inst_BGACK_030_INTreg.D inst_BGACK_030_INTreg.C inst_BGACK_030_INTreg.AP \
SM_AMIGA_7_.AP inst_VMA_INTreg.C inst_VMA_INTreg.AP inst_BGACK_030_INTreg.D \
inst_BGACK_030_INTreg.C inst_BGACK_030_INTreg.AP inst_CLK_OUT_PRE.C \
CLK_CNT_0_.D CLK_CNT_0_.C CLK_CNT_1_.D CLK_CNT_1_.C cpu_est_0_.D cpu_est_0_.C \
cpu_est_1_.D cpu_est_1_.C inst_VPA_SYNC.D inst_VPA_SYNC.C inst_VPA_SYNC.AP \
inst_FPU_CS_INTreg.D inst_FPU_CS_INTreg.C inst_FPU_CS_INTreg.AP \
inst_AS_030_000_SYNC.D inst_AS_030_000_SYNC.C inst_AS_030_000_SYNC.AP \
inst_AS_000_INTreg.D inst_AS_000_INTreg.C inst_AS_000_INTreg.AP \
AMIGA_BUS_ENABLEDFFreg.D AMIGA_BUS_ENABLEDFFreg.C BG_000DFFSHreg.D \
BG_000DFFSHreg.C BG_000DFFSHreg.AP DSACK_INT_1_.D DSACK_INT_1_.C \
DSACK_INT_1_.AP inst_VMA_INTreg.C inst_VMA_INTreg.AP inst_UDS_000_INTreg.D \
inst_VPA_SYNC.D inst_VPA_SYNC.C inst_VPA_SYNC.AP inst_FPU_CS_INTreg.D \
inst_FPU_CS_INTreg.C inst_FPU_CS_INTreg.AP inst_AS_030_000_SYNC.D \
inst_AS_030_000_SYNC.C inst_AS_030_000_SYNC.AP inst_AS_000_INTreg.D \
inst_AS_000_INTreg.C inst_AS_000_INTreg.AP AMIGA_BUS_ENABLEDFFreg.D \
AMIGA_BUS_ENABLEDFFreg.C BG_000DFFSHreg.D BG_000DFFSHreg.C BG_000DFFSHreg.AP \
DSACK_INT_1_.D DSACK_INT_1_.C DSACK_INT_1_.AP inst_UDS_000_INTreg.D \
inst_UDS_000_INTreg.C inst_UDS_000_INTreg.AP inst_LDS_000_INTreg.D \
inst_LDS_000_INTreg.C inst_LDS_000_INTreg.AP inst_DTACK_SYNC.D \
inst_DTACK_SYNC.C inst_DTACK_SYNC.AP inst_DTACK_DMA.D inst_DTACK_DMA.C \
inst_DTACK_DMA.AP CLK_OUT_INTreg.D CLK_OUT_INTreg.C inst_CLK_000_D2.D \
inst_DTACK_SYNC.C inst_DTACK_SYNC.AP CLK_OUT_INTreg.D CLK_OUT_INTreg.C \
inst_DTACK_DMA.D inst_DTACK_DMA.C inst_DTACK_DMA.AP inst_CLK_000_D2.D \
inst_CLK_000_D2.C inst_VPA_D.D inst_VPA_D.C inst_CLK_000_D0.D \
inst_CLK_000_D0.C RESETDFFreg.D RESETDFFreg.C inst_CLK_000_D1.D \
inst_CLK_000_D1.C CLK_REF_1_.D CLK_REF_1_.LH CLK_REF_1_.AR DSACK_1_ DTACK \
DSACK_0_ DSACK_1_.OE DTACK.OE AS_000.OE UDS_000.OE LDS_000.OE BERR.OE \
DSACK_0_.OE AVEC_EXP.OE CIIN.OE cpu_est_3_reg.D.X1 cpu_est_3_reg.D.X2 \
inst_VMA_INTreg.D.X1 inst_VMA_INTreg.D.X2 inst_CLK_OUT_PRE.D.X1 \
inst_CLK_OUT_PRE.D.X2
inst_CLK_000_D1.C CLK_REF_0_.D CLK_REF_0_.LH CLK_REF_0_.AP CLK_REF_1_.D \
CLK_REF_1_.LH CLK_REF_1_.AR DSACK_1_ DTACK DSACK_0_ DSACK_1_.OE DTACK.OE \
AS_000.OE UDS_000.OE LDS_000.OE BERR.OE DSACK_0_.OE AVEC_EXP.OE CIIN.OE \
cpu_est_3_reg.D.X1 cpu_est_3_reg.D.X2 inst_VMA_INTreg.D.X1 \
inst_VMA_INTreg.D.X2 inst_CLK_OUT_PRE.D.X1 inst_CLK_OUT_PRE.D.X2
.names inst_AS_030_000_SYNC.BLIF inst_CLK_000_D0.BLIF inst_CLK_000_D1.BLIF \
inst_CLK_000_D2.BLIF SM_AMIGA_6_.BLIF SM_AMIGA_7_.BLIF SM_AMIGA_6_.D
---11- 1
--0-1- 1
1---1- 1
-0---1 1
0110-- 0
0-10-0 0
-1--0- 0
----00 0
.names inst_AS_030_000_SYNC.BLIF inst_CLK_000_D0.BLIF inst_CLK_000_D1.BLIF \
inst_CLK_000_D2.BLIF SM_AMIGA_6_.BLIF SM_AMIGA_5_.BLIF SM_AMIGA_5_.D
0-101- 1
@ -117,6 +127,21 @@ SM_AMIGA_1_.BLIF SM_AMIGA_0_.BLIF SM_AMIGA_0_.D
--0-0 0
-1--0 0
.names cpu_est_3_reg.BLIF cpu_est_0_.BLIF cpu_est_1_.BLIF inst_CLK_000_D0.BLIF \
inst_CLK_000_D1.BLIF cpu_est_2_.BLIF cpu_est_1_.D
0--100 1
01010- 1
10-10- 1
-01--- 1
1-1--1 1
--1-1- 1
--10-- 1
011101 0
11-100 0
000--1 0
110--- 0
--0-1- 0
--00-- 0
.names cpu_est_3_reg.BLIF cpu_est_0_.BLIF cpu_est_1_.BLIF inst_CLK_000_D0.BLIF \
inst_CLK_000_D1.BLIF cpu_est_2_.BLIF cpu_est_2_.D
-0010- 1
11-10- 1
@ -144,14 +169,14 @@ inst_CLK_000_D1.BLIF IPL_030DFFSH_1_reg.D
0-10 0
-00- 0
-0-1 0
.names IPL_2_.BLIF IPL_030DFFSH_2_reg.BLIF inst_CLK_000_D0.BLIF \
inst_CLK_000_D1.BLIF IPL_030DFFSH_2_reg.D
1-10 1
-10- 1
-1-1 1
0-10 0
-00- 0
-0-1 0
.names IPL_2_.BLIF inst_CLK_000_D0.BLIF inst_CLK_000_D1.BLIF \
IPL_030DFFSH_2_reg.BLIF IPL_030DFFSH_2_reg.D
110- 1
--11 1
-0-1 1
010- 0
--10 0
-0-0 0
.names inst_AS_000_INTreg.BLIF inst_CLK_000_D0.BLIF SM_AMIGA_7_.BLIF \
SM_AMIGA_0_.BLIF SM_AMIGA_7_.D
-11- 1
@ -159,16 +184,6 @@ SM_AMIGA_0_.BLIF SM_AMIGA_7_.D
0-0- 0
--00 0
-0-- 0
.names inst_AS_030_000_SYNC.BLIF inst_CLK_000_D0.BLIF inst_CLK_000_D1.BLIF \
inst_CLK_000_D2.BLIF SM_AMIGA_6_.BLIF SM_AMIGA_7_.BLIF SM_AMIGA_6_.D
---11- 1
--0-1- 1
1---1- 1
-0---1 1
0110-- 0
0-10-0 0
-1--0- 0
----00 0
.names BGACK_000.BLIF inst_BGACK_030_INTreg.BLIF inst_CLK_000_D0.BLIF \
inst_CLK_000_D1.BLIF inst_BGACK_030_INTreg.D
1-10 1
@ -176,33 +191,24 @@ inst_CLK_000_D1.BLIF inst_BGACK_030_INTreg.D
-00- 0
0--- 0
-0-1 0
.names CLK_REF_1_.BLIF CLK_CNT_0_.BLIF CLK_CNT_1_.BLIF CLK_CNT_0_.D
100 1
001 1
0-0 0
1-1 0
-1- 0
.names CLK_REF_1_.BLIF CLK_CNT_0_.BLIF CLK_CNT_1_.BLIF CLK_CNT_1_.D
001 1
-10 1
1-1 0
-00 0
-11 0
.names cpu_est_3_reg.BLIF cpu_est_0_.BLIF cpu_est_1_.BLIF inst_CLK_000_D0.BLIF \
inst_CLK_000_D1.BLIF cpu_est_2_.BLIF cpu_est_1_.D
0--100 1
01010- 1
10-10- 1
-01--- 1
1-1--1 1
--1-1- 1
--10-- 1
011101 0
11-100 0
000--1 0
110--- 0
--0-1- 0
--00-- 0
.names CLK_REF_0_.BLIF CLK_REF_1_.BLIF CLK_CNT_0_.BLIF CLK_CNT_1_.BLIF \
CLK_CNT_0_.D
-100 1
-001 1
1-0- 1
00-0 0
01-1 0
--1- 0
.names CLK_REF_0_.BLIF CLK_REF_1_.BLIF CLK_CNT_0_.BLIF CLK_CNT_1_.BLIF \
CLK_CNT_1_.D
-110 1
0-10 1
-001 1
1-01 1
10-0 0
010- 0
--00 0
--11 0
.names AS_030.BLIF CLK_000.BLIF cpu_est_3_reg.BLIF inst_VMA_INTreg.BLIF \
cpu_est_0_.BLIF cpu_est_1_.BLIF inst_VPA_D.BLIF inst_VPA_SYNC.BLIF \
inst_CLK_000_D0.BLIF cpu_est_2_.BLIF SM_AMIGA_3_.BLIF inst_VPA_SYNC.D
@ -277,16 +283,16 @@ AMIGA_BUS_ENABLEDFFreg.BLIF AMIGA_BUS_ENABLEDFFreg.D
-111- 0
0--00 0
--0-0 0
.names AS_030.BLIF nEXP_SPACE.BLIF BG_030.BLIF CLK_030.BLIF SM_AMIGA_6_.BLIF \
SM_AMIGA_7_.BLIF BG_000DFFSHreg.BLIF BG_000DFFSHreg.D
---000- 1
---1--1 1
.names AS_030.BLIF nEXP_SPACE.BLIF BG_030.BLIF CLK_030.BLIF \
BG_000DFFSHreg.BLIF SM_AMIGA_6_.BLIF SM_AMIGA_7_.BLIF BG_000DFFSHreg.D
---11-- 1
---0-00 1
-1-0--- 1
0--0--- 1
--1---- 1
1000-1- 0
10001-- 0
--01--0 0
1000--1 0
--010-- 0
.names AS_030.BLIF inst_CLK_000_D0.BLIF inst_CLK_OUT_PRE.BLIF \
DSACK_INT_1_.BLIF SM_AMIGA_1_.BLIF DSACK_INT_1_.D
--01- 1
@ -432,6 +438,12 @@ inst_CLK_000_D0.BLIF SM_AMIGA_3_.BLIF DTACK.PIN.BLIF inst_DTACK_SYNC.D
.names IPL_030DFFSH_0_reg.BLIF IPL_030_0_
1 1
0 0
.names CLK_OSZI.BLIF SM_AMIGA_6_.C
1 1
0 0
.names RST.BLIF SM_AMIGA_6_.AR
0 1
1 0
.names CLK_OSZI.BLIF SM_AMIGA_5_.C
1 1
0 0
@ -468,6 +480,9 @@ inst_CLK_000_D0.BLIF SM_AMIGA_3_.BLIF DTACK.PIN.BLIF inst_DTACK_SYNC.D
.names RST.BLIF SM_AMIGA_0_.AR
0 1
1 0
.names CLK_OSZI.BLIF cpu_est_1_.C
1 1
0 0
.names CLK_OSZI.BLIF cpu_est_2_.C
1 1
0 0
@ -498,21 +513,21 @@ inst_CLK_000_D0.BLIF SM_AMIGA_3_.BLIF DTACK.PIN.BLIF inst_DTACK_SYNC.D
.names RST.BLIF SM_AMIGA_7_.AP
0 1
1 0
.names CLK_OSZI.BLIF SM_AMIGA_6_.C
.names CLK_OSZI.BLIF inst_VMA_INTreg.C
1 1
0 0
.names RST.BLIF SM_AMIGA_6_.AR
.names RST.BLIF inst_VMA_INTreg.AP
0 1
1 0
.names CLK_OSZI.BLIF inst_CLK_OUT_PRE.C
1 1
0 0
.names CLK_OSZI.BLIF inst_BGACK_030_INTreg.C
1 1
0 0
.names RST.BLIF inst_BGACK_030_INTreg.AP
0 1
1 0
.names CLK_OSZI.BLIF inst_CLK_OUT_PRE.C
1 1
0 0
.names CLK_OSZI.BLIF CLK_CNT_0_.C
1 1
0 0
@ -529,9 +544,6 @@ inst_CLK_000_D0.BLIF SM_AMIGA_3_.BLIF DTACK.PIN.BLIF inst_DTACK_SYNC.D
.names CLK_OSZI.BLIF cpu_est_0_.C
1 1
0 0
.names CLK_OSZI.BLIF cpu_est_1_.C
1 1
0 0
.names CLK_OSZI.BLIF inst_VPA_SYNC.C
1 1
0 0
@ -571,12 +583,6 @@ inst_CLK_000_D0.BLIF SM_AMIGA_3_.BLIF DTACK.PIN.BLIF inst_DTACK_SYNC.D
.names RST.BLIF DSACK_INT_1_.AP
0 1
1 0
.names CLK_OSZI.BLIF inst_VMA_INTreg.C
1 1
0 0
.names RST.BLIF inst_VMA_INTreg.AP
0 1
1 0
.names CLK_OSZI.BLIF inst_UDS_000_INTreg.C
1 1
0 0
@ -595,18 +601,18 @@ inst_CLK_000_D0.BLIF SM_AMIGA_3_.BLIF DTACK.PIN.BLIF inst_DTACK_SYNC.D
.names RST.BLIF inst_DTACK_SYNC.AP
0 1
1 0
.names CLK_OSZI.BLIF inst_DTACK_DMA.C
1 1
0 0
.names RST.BLIF inst_DTACK_DMA.AP
0 1
1 0
.names inst_CLK_OUT_PRE.BLIF CLK_OUT_INTreg.D
1 1
0 0
.names CLK_OSZI.BLIF CLK_OUT_INTreg.C
1 1
0 0
.names CLK_OSZI.BLIF inst_DTACK_DMA.C
1 1
0 0
.names RST.BLIF inst_DTACK_DMA.AP
0 1
1 0
.names inst_CLK_000_D1.BLIF inst_CLK_000_D2.D
1 1
0 0
@ -637,6 +643,13 @@ inst_CLK_000_D0.BLIF SM_AMIGA_3_.BLIF DTACK.PIN.BLIF inst_DTACK_SYNC.D
.names CLK_OSZI.BLIF inst_CLK_000_D1.C
1 1
0 0
.names CLK_REF_0_.D
0
.names CLK_REF_0_.LH
0
.names RST.BLIF CLK_REF_0_.AP
0 1
1 0
.names CLK_REF_1_.D
0
.names CLK_REF_1_.LH
@ -727,13 +740,16 @@ inst_VMA_INTreg.D.X2
01---1-- 0
01----1- 0
.names inst_CLK_OUT_PRE.BLIF inst_CLK_OUT_PRE.D.X1
1 1
0 0
.names inst_CLK_OUT_PRE.BLIF CLK_REF_1_.BLIF CLK_CNT_0_.BLIF CLK_CNT_1_.BLIF \
inst_CLK_OUT_PRE.D.X2
-000 1
-101 1
--1- 0
-0-1 0
-1-0 0
0 1
1 0
.names inst_CLK_OUT_PRE.BLIF CLK_REF_0_.BLIF CLK_REF_1_.BLIF CLK_CNT_0_.BLIF \
CLK_CNT_1_.BLIF inst_CLK_OUT_PRE.D.X2
-0-1- 1
--0-1 1
--1-0 1
-1-0- 1
-1111 0
-0101 0
-1010 0
-0000 0
.end

View File

@ -1,7 +1,7 @@
// Signal Name Cross Reference File
// ispLEVER Classic 1.7.00.05.28.13
// Design '68030_tk' created Sun May 18 21:01:47 2014
// Design '68030_tk' created Thu May 22 14:56:10 2014
// LEGEND: '>' Functional Block Port Separator

View File

@ -2,7 +2,7 @@
Copyright(C), 1992-2013, Lattice Semiconductor Corp.
All Rights Reserved.
Design bus68030 created Sun May 18 21:01:47 2014
Design bus68030 created Thu May 22 14:56:10 2014
P-Terms Fan-in Fan-out Type Name (attributes)
@ -90,8 +90,7 @@ Design bus68030 created Sun May 18 21:01:47 2014
1 1 1 Node inst_CLK_000_D1.C
1 1 1 Node inst_CLK_000_D2.D
1 1 1 Node inst_CLK_000_D2.C
3 3 1 NodeX1 inst_CLK_OUT_PRE.D.X1
1 2 1 NodeX2 inst_CLK_OUT_PRE.D.X2
4 4 1 Node inst_CLK_OUT_PRE.T
1 1 1 Node inst_CLK_OUT_PRE.C
1 1 1 Node SM_AMIGA_6_.AR
4 6 1 Node SM_AMIGA_6_.D
@ -99,6 +98,9 @@ Design bus68030 created Sun May 18 21:01:47 2014
3 6 1 NodeX1 cpu_est_2_.D.X1
1 1 1 NodeX2 cpu_est_2_.D.X2
1 1 1 Node cpu_est_2_.C
0 0 1 Node CLK_REF_0_.D
1 1 1 Node CLK_REF_0_.AP
0 0 1 Node CLK_REF_0_.LH
1 1 1 Node CLK_REF_1_.AR
0 0 1 Node CLK_REF_1_.D
0 0 1 Node CLK_REF_1_.LH
@ -111,9 +113,9 @@ Design bus68030 created Sun May 18 21:01:47 2014
1 1 1 Node SM_AMIGA_1_.AR
3 4 1 Node SM_AMIGA_1_.D
1 1 1 Node SM_AMIGA_1_.C
2 3 1 Node CLK_CNT_0_.D
3 4 1 Node CLK_CNT_0_.D
1 1 1 Node CLK_CNT_0_.C
2 3 1 Node CLK_CNT_1_.D
4 4 1 Node CLK_CNT_1_.D
1 1 1 Node CLK_CNT_1_.C
1 1 1 Node SM_AMIGA_3_.AR
3 5 1 Node SM_AMIGA_3_.D
@ -128,9 +130,9 @@ Design bus68030 created Sun May 18 21:01:47 2014
3 5 1 Node SM_AMIGA_0_.D
1 1 1 Node SM_AMIGA_0_.C
=========
181 P-Term Total: 181
185 P-Term Total: 185
Total Pins: 59
Total Nodes: 22
Total Nodes: 23
Average P-Term/Output: 2
@ -162,8 +164,8 @@ DSACK_0_ = (1);
DSACK_0_.OE = (nEXP_SPACE);
IPL_030_2_.D = (IPL_030_2_.Q & !inst_CLK_000_D0.Q
# IPL_030_2_.Q & inst_CLK_000_D1.Q
IPL_030_2_.D = (!inst_CLK_000_D0.Q & IPL_030_2_.Q
# inst_CLK_000_D1.Q & IPL_030_2_.Q
# IPL_2_ & inst_CLK_000_D0.Q & !inst_CLK_000_D1.Q);
IPL_030_2_.AP = (!RST);
@ -347,11 +349,10 @@ inst_CLK_000_D2.D = (inst_CLK_000_D1.Q);
inst_CLK_000_D2.C = (CLK_OSZI);
inst_CLK_OUT_PRE.D.X1 = (inst_CLK_OUT_PRE.Q & CLK_CNT_0_.Q
# inst_CLK_OUT_PRE.Q & CLK_CNT_1_.Q
# !inst_CLK_OUT_PRE.Q & !CLK_CNT_0_.Q & !CLK_CNT_1_.Q);
inst_CLK_OUT_PRE.D.X2 = (CLK_REF_1_.Q & !CLK_CNT_0_.Q);
inst_CLK_OUT_PRE.T = (CLK_REF_0_.Q & CLK_REF_1_.Q & CLK_CNT_0_.Q & CLK_CNT_1_.Q
# !CLK_REF_0_.Q & CLK_REF_1_.Q & !CLK_CNT_0_.Q & CLK_CNT_1_.Q
# CLK_REF_0_.Q & !CLK_REF_1_.Q & CLK_CNT_0_.Q & !CLK_CNT_1_.Q
# !CLK_REF_0_.Q & !CLK_REF_1_.Q & !CLK_CNT_0_.Q & !CLK_CNT_1_.Q);
inst_CLK_OUT_PRE.C = (CLK_OSZI);
@ -372,6 +373,12 @@ cpu_est_2_.D.X2 = (cpu_est_2_.Q);
cpu_est_2_.C = (CLK_OSZI);
CLK_REF_0_.D = (0);
CLK_REF_0_.AP = (!RST);
CLK_REF_0_.LH = (0);
CLK_REF_1_.AR = (!RST);
CLK_REF_1_.D = (0);
@ -400,13 +407,16 @@ SM_AMIGA_1_.D = (inst_CLK_000_D0.Q & SM_AMIGA_1_.Q
SM_AMIGA_1_.C = (CLK_OSZI);
CLK_CNT_0_.D = (!CLK_REF_1_.Q & !CLK_CNT_0_.Q & CLK_CNT_1_.Q
CLK_CNT_0_.D = (CLK_REF_0_.Q & !CLK_CNT_0_.Q
# !CLK_REF_1_.Q & !CLK_CNT_0_.Q & CLK_CNT_1_.Q
# CLK_REF_1_.Q & !CLK_CNT_0_.Q & !CLK_CNT_1_.Q);
CLK_CNT_0_.C = (CLK_OSZI);
CLK_CNT_1_.D = (CLK_CNT_0_.Q & !CLK_CNT_1_.Q
# !CLK_REF_1_.Q & !CLK_CNT_0_.Q & CLK_CNT_1_.Q);
CLK_CNT_1_.D = (CLK_REF_0_.Q & !CLK_CNT_0_.Q & CLK_CNT_1_.Q
# !CLK_REF_1_.Q & !CLK_CNT_0_.Q & CLK_CNT_1_.Q
# !CLK_REF_0_.Q & CLK_CNT_0_.Q & !CLK_CNT_1_.Q
# CLK_REF_1_.Q & CLK_CNT_0_.Q & !CLK_CNT_1_.Q);
CLK_CNT_1_.C = (CLK_OSZI);

View File

@ -35,12 +35,13 @@ DATA LOCATION BG_030:C_*_21 // INP
DATA LOCATION CIIN:E_12_47 // OUT
DATA LOCATION CLK_000:*_*_11 // INP
DATA LOCATION CLK_030:*_*_64 // INP
DATA LOCATION CLK_CNT_0_:B_10 // NOD
DATA LOCATION CLK_CNT_1_:B_6 // NOD
DATA LOCATION CLK_CNT_0_:A_12 // NOD
DATA LOCATION CLK_CNT_1_:A_8 // NOD
DATA LOCATION CLK_DIV_OUT:G_0_65 // OUT
DATA LOCATION CLK_EXP:B_0_10 // OUT
DATA LOCATION CLK_OSZI:*_*_61 // Cin
DATA LOCATION CLK_REF_1_:D_6 // NOD
DATA LOCATION CLK_REF_0_:D_6 // NOD
DATA LOCATION CLK_REF_1_:B_2 // NOD
DATA LOCATION DSACK_0_:H_12_80 // OUT
DATA LOCATION DSACK_1_:H_8_81 // IO {RN_DSACK_1_}
DATA LOCATION DS_030:A_*_98 // INP
@ -74,28 +75,28 @@ DATA LOCATION RST:*_*_86 // INP
DATA LOCATION RW:G_*_71 // INP
DATA LOCATION SIZE_0_:G_*_70 // INP
DATA LOCATION SIZE_1_:H_*_79 // INP
DATA LOCATION SM_AMIGA_0_:G_5 // NOD
DATA LOCATION SM_AMIGA_1_:H_5 // NOD
DATA LOCATION SM_AMIGA_2_:G_9 // NOD
DATA LOCATION SM_AMIGA_3_:G_2 // NOD
DATA LOCATION SM_AMIGA_4_:B_9 // NOD
DATA LOCATION SM_AMIGA_5_:B_2 // NOD
DATA LOCATION SM_AMIGA_6_:A_0 // NOD
DATA LOCATION SM_AMIGA_7_:B_13 // NOD
DATA LOCATION SM_AMIGA_0_:H_9 // NOD
DATA LOCATION SM_AMIGA_1_:B_13 // NOD
DATA LOCATION SM_AMIGA_2_:G_5 // NOD
DATA LOCATION SM_AMIGA_3_:G_9 // NOD
DATA LOCATION SM_AMIGA_4_:B_5 // NOD
DATA LOCATION SM_AMIGA_5_:G_2 // NOD
DATA LOCATION SM_AMIGA_6_:G_12 // NOD
DATA LOCATION SM_AMIGA_7_:H_5 // NOD
DATA LOCATION UDS_000:D_12_32 // IO {RN_UDS_000}
DATA LOCATION VMA:D_5_35 // IO {RN_VMA}
DATA LOCATION VPA:*_*_36 // INP
DATA LOCATION cpu_est_0_:D_2 // NOD
DATA LOCATION cpu_est_1_:D_13 // NOD
DATA LOCATION cpu_est_2_:G_13 // NOD
DATA LOCATION cpu_est_0_:G_13 // NOD
DATA LOCATION cpu_est_1_:G_1 // NOD
DATA LOCATION cpu_est_2_:D_2 // NOD
DATA LOCATION inst_AS_030_000_SYNC:H_1 // NOD
DATA LOCATION inst_CLK_000_D0:G_12 // NOD
DATA LOCATION inst_CLK_000_D1:G_8 // NOD
DATA LOCATION inst_CLK_000_D2:G_1 // NOD
DATA LOCATION inst_CLK_OUT_PRE:B_5 // NOD
DATA LOCATION inst_DTACK_SYNC:G_10 // NOD
DATA LOCATION inst_VPA_D:H_9 // NOD
DATA LOCATION inst_VPA_SYNC:G_6 // NOD
DATA LOCATION inst_CLK_000_D0:G_8 // NOD
DATA LOCATION inst_CLK_000_D1:D_13 // NOD
DATA LOCATION inst_CLK_000_D2:G_6 // NOD
DATA LOCATION inst_CLK_OUT_PRE:A_0 // NOD
DATA LOCATION inst_DTACK_SYNC:F_0 // NOD
DATA LOCATION inst_VPA_D:B_9 // NOD
DATA LOCATION inst_VPA_SYNC:G_10 // NOD
DATA LOCATION nEXP_SPACE:*_*_14 // INP
DATA IO_DIR AMIGA_BUS_DATA_DIR:OUT
DATA IO_DIR AMIGA_BUS_ENABLE:OUT
@ -169,55 +170,55 @@ DATA PW_LEVEL AS_030:0
DATA SLEW AS_030:0
DATA PW_LEVEL DS_030:0
DATA SLEW DS_030:0
DATA PW_LEVEL SIZE_0_:0
DATA SLEW SIZE_0_:0
DATA PW_LEVEL A_30_:0
DATA SLEW A_30_:0
DATA SLEW nEXP_SPACE:0
DATA PW_LEVEL A_29_:0
DATA SLEW A_29_:0
DATA PW_LEVEL BERR:0
DATA SLEW BERR:0
DATA PW_LEVEL A_28_:0
DATA SLEW A_28_:0
DATA PW_LEVEL SIZE_0_:0
DATA SLEW SIZE_0_:0
DATA PW_LEVEL BG_030:0
DATA SLEW BG_030:0
DATA PW_LEVEL A_27_:0
DATA SLEW A_27_:0
DATA PW_LEVEL A_26_:0
DATA SLEW A_26_:0
DATA PW_LEVEL A_25_:0
DATA SLEW A_25_:0
DATA PW_LEVEL A_30_:0
DATA SLEW A_30_:0
DATA PW_LEVEL A_29_:0
DATA SLEW A_29_:0
DATA PW_LEVEL A_28_:0
DATA SLEW A_28_:0
DATA PW_LEVEL BGACK_000:0
DATA SLEW BGACK_000:0
DATA PW_LEVEL A_27_:0
DATA SLEW A_27_:0
DATA SLEW CLK_030:0
DATA PW_LEVEL A_26_:0
DATA SLEW A_26_:0
DATA SLEW CLK_000:0
DATA PW_LEVEL A_25_:0
DATA SLEW A_25_:0
DATA SLEW CLK_OSZI:0
DATA PW_LEVEL A_24_:0
DATA SLEW A_24_:0
DATA SLEW CLK_030:0
DATA PW_LEVEL A_23_:0
DATA SLEW A_23_:0
DATA SLEW CLK_000:0
DATA PW_LEVEL A_22_:0
DATA SLEW A_22_:0
DATA SLEW CLK_OSZI:0
DATA PW_LEVEL A_21_:0
DATA SLEW A_21_:0
DATA PW_LEVEL CLK_DIV_OUT:0
DATA SLEW CLK_DIV_OUT:0
DATA PW_LEVEL A_23_:0
DATA SLEW A_23_:0
DATA PW_LEVEL A_22_:0
DATA SLEW A_22_:0
DATA PW_LEVEL A_21_:0
DATA SLEW A_21_:0
DATA PW_LEVEL A_20_:0
DATA SLEW A_20_:0
DATA PW_LEVEL AVEC:0
DATA SLEW AVEC:0
DATA PW_LEVEL A_19_:0
DATA SLEW A_19_:0
DATA PW_LEVEL AVEC_EXP:0
DATA SLEW AVEC_EXP:0
DATA PW_LEVEL A_18_:0
DATA SLEW A_18_:0
DATA PW_LEVEL A_17_:0
DATA SLEW A_17_:0
DATA PW_LEVEL AVEC:0
DATA SLEW AVEC:0
DATA SLEW VPA:0
DATA PW_LEVEL A_16_:0
DATA SLEW A_16_:0
DATA PW_LEVEL AVEC_EXP:0
DATA SLEW AVEC_EXP:0
DATA SLEW VPA:0
DATA SLEW RST:0
DATA PW_LEVEL RW:0
DATA SLEW RW:0
@ -293,6 +294,8 @@ DATA PW_LEVEL SM_AMIGA_6_:0
DATA SLEW SM_AMIGA_6_:0
DATA PW_LEVEL cpu_est_2_:0
DATA SLEW cpu_est_2_:0
DATA PW_LEVEL CLK_REF_0_:0
DATA SLEW CLK_REF_0_:0
DATA PW_LEVEL CLK_REF_1_:0
DATA SLEW CLK_REF_1_:0
DATA PW_LEVEL SM_AMIGA_7_:0

View File

@ -1,15 +1,16 @@
GROUP MACH_SEG_A SM_AMIGA_6_ AVEC
GROUP MACH_SEG_B SM_AMIGA_5_ SM_AMIGA_7_ IPL_030_1_ RN_IPL_030_1_ IPL_030_0_
RN_IPL_030_0_ IPL_030_2_ RN_IPL_030_2_ inst_CLK_OUT_PRE SM_AMIGA_4_
CLK_CNT_0_ CLK_CNT_1_ CLK_EXP RESET
GROUP MACH_SEG_A inst_CLK_OUT_PRE CLK_CNT_0_ CLK_CNT_1_ AVEC
GROUP MACH_SEG_B SM_AMIGA_1_ IPL_030_1_ RN_IPL_030_1_ IPL_030_0_ RN_IPL_030_0_
IPL_030_2_ RN_IPL_030_2_ SM_AMIGA_4_ CLK_REF_1_ inst_VPA_D CLK_EXP
RESET
GROUP MACH_SEG_C AVEC_EXP AMIGA_BUS_ENABLE_LOW
GROUP MACH_SEG_D LDS_000 RN_LDS_000 UDS_000 RN_UDS_000 VMA RN_VMA BG_000
RN_BG_000 AS_000 RN_AS_000 cpu_est_1_ AMIGA_BUS_ENABLE RN_AMIGA_BUS_ENABLE
DTACK cpu_est_0_ CLK_REF_1_
RN_BG_000 AS_000 RN_AS_000 cpu_est_2_ AMIGA_BUS_ENABLE RN_AMIGA_BUS_ENABLE
DTACK CLK_REF_0_ inst_CLK_000_D1
GROUP MACH_SEG_E CIIN BERR AMIGA_BUS_DATA_DIR
GROUP MACH_SEG_G inst_VPA_SYNC inst_DTACK_SYNC E RN_E cpu_est_2_ SM_AMIGA_2_
SM_AMIGA_3_ SM_AMIGA_0_ inst_CLK_000_D0 CLK_DIV_OUT inst_CLK_000_D2
inst_CLK_000_D1
GROUP MACH_SEG_F inst_DTACK_SYNC
GROUP MACH_SEG_G inst_VPA_SYNC SM_AMIGA_5_ SM_AMIGA_6_ E RN_E cpu_est_1_
SM_AMIGA_2_ SM_AMIGA_3_ cpu_est_0_ inst_CLK_000_D0 CLK_DIV_OUT inst_CLK_000_D2
GROUP MACH_SEG_H inst_AS_030_000_SYNC FPU_CS RN_FPU_CS DSACK_1_ RN_DSACK_1_
SM_AMIGA_1_ BGACK_030 RN_BGACK_030 inst_VPA_D DSACK_0_
SM_AMIGA_0_ SM_AMIGA_7_ BGACK_030 RN_BGACK_030 DSACK_0_

View File

@ -1 +1 @@
<LATTICE_ENCRYPTED_BLIF>715:716[ORb$
<LATTICE_ENCRYPTED_BLIF>0610226pI?E^&ðS

View File

@ -10,7 +10,7 @@ AUTHOR:
PATTERN:
COMPANY:
REVISION:
DATE: Sun May 18 21:01:51 2014
DATE: Thu May 22 14:56:14 2014
ABEL mach447a
*
@ -32,47 +32,47 @@ NOTE Run Time Upper Bound in 15 minutes 0 *
NOTE Zero Hold Time For Input Registers? Y *
NOTE Table of pin names and numbers*
NOTE PINS SIZE_1_:79 A_31_:4 IPL_2_:68 FC_1_:58 AS_030:82*
NOTE PINS DS_030:98 SIZE_0_:70 A_30_:5 nEXP_SPACE:14 A_29_:6*
NOTE PINS BERR:41 A_28_:15 BG_030:21 A_27_:16 A_26_:17 A_25_:18*
NOTE PINS BGACK_000:28 A_24_:19 CLK_030:64 A_23_:84 CLK_000:11*
NOTE PINS A_22_:85 CLK_OSZI:61 A_21_:94 CLK_DIV_OUT:65 A_20_:93*
NOTE PINS A_19_:97 A_18_:95 A_17_:59 AVEC:92 A_16_:96 AVEC_EXP:22*
NOTE PINS VPA:36 RST:86 RW:71 AMIGA_BUS_DATA_DIR:48 AMIGA_BUS_ENABLE_LOW:20*
NOTE PINS CIIN:47 A_0_:69 IPL_1_:56 IPL_0_:67 DSACK_0_:80*
NOTE PINS FC_0_:57 IPL_030_2_:9 DSACK_1_:81 AS_000:33 UDS_000:32*
NOTE PINS LDS_000:31 BG_000:29 BGACK_030:83 CLK_EXP:10 FPU_CS:78*
NOTE PINS DTACK:30 E:66 VMA:35 RESET:3 AMIGA_BUS_ENABLE:34*
NOTE PINS IPL_030_1_:7 IPL_030_0_:8 *
NOTE PINS DS_030:98 nEXP_SPACE:14 BERR:41 SIZE_0_:70 BG_030:21*
NOTE PINS A_30_:5 A_29_:6 A_28_:15 BGACK_000:28 A_27_:16*
NOTE PINS CLK_030:64 A_26_:17 CLK_000:11 A_25_:18 CLK_OSZI:61*
NOTE PINS A_24_:19 CLK_DIV_OUT:65 A_23_:84 A_22_:85 A_21_:94*
NOTE PINS A_20_:93 AVEC:92 A_19_:97 AVEC_EXP:22 A_18_:95*
NOTE PINS A_17_:59 VPA:36 A_16_:96 RST:86 RW:71 AMIGA_BUS_DATA_DIR:48*
NOTE PINS AMIGA_BUS_ENABLE_LOW:20 CIIN:47 A_0_:69 IPL_1_:56*
NOTE PINS IPL_0_:67 DSACK_0_:80 FC_0_:57 IPL_030_2_:9 DSACK_1_:81*
NOTE PINS AS_000:33 UDS_000:32 LDS_000:31 BG_000:29 BGACK_030:83*
NOTE PINS CLK_EXP:10 FPU_CS:78 DTACK:30 E:66 VMA:35 RESET:3*
NOTE PINS AMIGA_BUS_ENABLE:34 IPL_030_1_:7 IPL_030_0_:8 *
NOTE Table of node names and numbers*
NOTE NODES RN_IPL_030_2_:131 RN_DSACK_1_:281 RN_AS_000:187 *
NOTE NODES RN_UDS_000:191 RN_LDS_000:185 RN_BG_000:175 RN_BGACK_030:275 *
NOTE NODES RN_FPU_CS:269 RN_DTACK:173 RN_E:251 RN_VMA:181 *
NOTE NODES RN_AMIGA_BUS_ENABLE:179 RN_IPL_030_1_:143 RN_IPL_030_0_:137 *
NOTE NODES cpu_est_0_:176 cpu_est_1_:193 inst_AS_030_000_SYNC:271 *
NOTE NODES inst_DTACK_SYNC:260 inst_VPA_D:283 inst_VPA_SYNC:254 *
NOTE NODES inst_CLK_000_D0:263 inst_CLK_000_D1:257 inst_CLK_000_D2:247 *
NOTE NODES inst_CLK_OUT_PRE:133 SM_AMIGA_6_:101 cpu_est_2_:265 *
NOTE NODES CLK_REF_1_:182 SM_AMIGA_7_:145 SM_AMIGA_4_:139 *
NOTE NODES SM_AMIGA_1_:277 CLK_CNT_0_:140 CLK_CNT_1_:134 *
NOTE NODES SM_AMIGA_3_:248 SM_AMIGA_5_:128 SM_AMIGA_2_:259 *
NOTE NODES SM_AMIGA_0_:253 *
NOTE NODES cpu_est_0_:265 cpu_est_1_:247 inst_AS_030_000_SYNC:271 *
NOTE NODES inst_DTACK_SYNC:221 inst_VPA_D:139 inst_VPA_SYNC:260 *
NOTE NODES inst_CLK_000_D0:257 inst_CLK_000_D1:193 inst_CLK_000_D2:254 *
NOTE NODES inst_CLK_OUT_PRE:101 SM_AMIGA_6_:263 cpu_est_2_:176 *
NOTE NODES CLK_REF_0_:182 CLK_REF_1_:128 SM_AMIGA_7_:277 *
NOTE NODES SM_AMIGA_4_:133 SM_AMIGA_1_:145 CLK_CNT_0_:119 *
NOTE NODES CLK_CNT_1_:113 SM_AMIGA_3_:259 SM_AMIGA_5_:248 *
NOTE NODES SM_AMIGA_2_:253 SM_AMIGA_0_:283 *
NOTE BLOCK 0 *
L000000
111111111111111011110111111111111111111111111111111111111111111111
111111111011111111111111111111111111111111111111111111111111111111
111111111111111111111111111011111111111111111111111111111111111111
111111111111111111111111111111111111111111111111111111111111111111
111111111111111111111111111111111111111111111111101111111111111111
111111111111111111111111111111111111111111111111111111111111111111
111111111111111111111111111111111111111111111111111111111111111111
111111111111111111111111111111111111111111111111111111111111111111
101111111111111111111111101111011111111111111111111111111111111111*
111111111111111111110111111111111111111111111111111111111111111111
111111111111111111111111111111111111111111111111111111111111111111
111111111111111111011111111111111111111111111111111111111111111111
111111011111111111111111111111111111111111111111111111111111111111
111111110111111111111111111111111111111111111111111111111111111111
111111111111111111111111111111111111111111111111111111111111111111*
L000594
000000000000000000000000000000000000000000000000000000000000000000*
L000660 111111111111111111111111011111011111111111111111111111111111111111*
L000726 111111111111111111111111111011011111111111111111111111111111111111*
L000792 111111111111111111111111111111011111111111111111011111111111111111*
L000858 111111111111111011110111111111111111111111111111111111111111111111*
L000660 111111010111111111010111111111111111111111111111111111111111111111*
L000726 111111011011111111100111111111111111111111111111111111111111111111*
L000792 111111100111111111011011111111111111111111111111111111111111111111*
L000858 111111101011111111101011111111111111111111111111111111111111111111*
L000924 000000000000000000000000000000000000000000000000000000000000000000*
L000990 111111111111111111111111111111111111111111111111111111111111111111*
L001056 111111111111111111111111111111111111111111111111111111111111111111*
@ -117,11 +117,11 @@ L003366 111111111111111111111111111111111111111111111111111111111111111111*
L003432 111111111111111111111111111111111111111111111111111111111111111111*
L003498
000000000000000000000000000000000000000000000000000000000000000000*
L003564 111111111111111111111111111111111111111111111111111111111111111111*
L003630 111111111111111111111111111111111111111111111111111111111111111111*
L003696 111111111111111111111111111111111111111111111111111111111111111111*
L003762 111111111111111111111111111111111111111111111111111111111111111111*
L003828 111111111111111111111111111111111111111111111111111111111111111111*
L003564 111111011111111111101011111111111111111111111111111111111111111111*
L003630 111111010111111111101111111111111111111111111111111111111111111111*
L003696 111111101011111111011111111111111111111111111111111111111111111111*
L003762 111111101111111111010111111111111111111111111111111111111111111111*
L003828 000000000000000000000000000000000000000000000000000000000000000000*
L003894 111111111111111111111111111111111111111111111111111111111111111111*
L003960 111111111111111111111111111111111111111111111111111111111111111111*
L004026 111111111111111111111111111111111111111111111111111111111111111111*
@ -141,11 +141,11 @@ L004818 111111111111111111111111111111111111111111111111111111111111111111*
L004884 111111111111111111111111111111111111111111111111111111111111111111*
L004950
000000000000000000000000000000000000000000000000000000000000000000*
L005016 111111111111111111111111111111111111111111111111111111111111111111*
L005082 111111111111111111111111111111111111111111111111111111111111111111*
L005148 111111111111111111111111111111111111111111111111111111111111111111*
L005214 111111111111111111111111111111111111111111111111111111111111111111*
L005280 111111111111111111111111111111111111111111111111111111111111111111*
L005016 111111110111111111101111111111111111111111111111111111111111111111*
L005082 111111011111111111101011111111111111111111111111111111111111111111*
L005148 111111101111111111100111111111111111111111111111111111111111111111*
L005214 000000000000000000000000000000000000000000000000000000000000000000*
L005280 000000000000000000000000000000000000000000000000000000000000000000*
L005346 111111111111111111111111111111111111111111111111111111111111111111*
L005412 111111111111111111111111111111111111111111111111111111111111111111*
L005478 111111111111111111111111111111111111111111111111111111111111111111*
@ -164,39 +164,39 @@ L006204 111111111111111111111111111111111111111111111111111111111111111111*
L006270 111111111111111111111111111111111111111111111111111111111111111111*
L006336 111111111111111111111111111111111111111111111111111111111111111111*
L006402
101111111111111111111111111111111111111111111111111111111111111111
000000000000000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000000000000000*
L006534 0010*
L006538 10100110010000*
L006538 10100111010000*
L006552 11011011111110*
L006566 11110011110101*
L006580 11110111111111*
L006594 00110011111000*
L006608 11000111110011*
L006622 11110011110001*
L006636 11110111110011*
L006650 11110011110000*
L006664 11111011110011*
L006678 11110111110001*
L006692 11111111110011*
L006706 11110011110000*
L006720 11111011110011*
L006734 11110111110001*
L006580 11111111111111*
L006594 00111011111000*
L006608 11000011110011*
L006622 11110111110000*
L006636 11110011110010*
L006650 10100110010001*
L006664 11000111110011*
L006678 11111111110000*
L006692 11110011110011*
L006706 10100110010001*
L006720 11001011110011*
L006734 11110111110000*
L006748 11111111110011*
NOTE BLOCK 1 *
L006762
110111111111111111111111111110111111111111111111111111110111111111
111101111111011111111111111111111111111111111111111111111110111111
111111101011111101111101111011111111111111111111111111111111110111
101111111111111111110111111111111111111111111011111111011111111111
111111111111111111111111111111111111111111111111101111111111111111
111111111111111111111111011111111111111111111111111111110111111111
111111111111111111111111111111111111111111111111111111111110111111
111111101011111101111111111011111111111111111111111111111111110111
101111111111111111111111111111111111111111111010111111011111111111
111111111111111111111111111111111111111111111111111111111111111111
111111111111110111111111111111111111111111111111111111111111111111
111111111111111111111111111111110111111111111111111111111111111111
111111111111111111111111101111011111111111101111111111111111111111*
110111111111111111111111111111111111111111111111111111111111111111
111111111111111111111111111111111111111111111111111111111111111111
111111111111111111111111111111111111111111111111111111111111111111
111111111111111111110111111111011111111111101111111111111111111111*
L007356
111111111111111111111111111111111111111111111111111111111111111111*
L007422 111111111111111111111111111111111111111111111111111111111111110111*
L007422 111111111111111111111111111111011111111111111111111111111111111111*
L007488 111111111111111111111111111111111111111111111111111111111101111111*
L007554 000000000000000000000000000000000000000000000000000000000000000000*
L007620 000000000000000000000000000000000000000000000000000000000000000000*
@ -208,11 +208,11 @@ L007950 000000000000000000000000000000000000000000000000000000000000000000*
L008016 000000000000000000000000000000000000000000000000000000000000000000*
L008082
111111111111111111111111111111111111111111111111111111111111111111*
L008148 111111111111111111111111100111011111111111111111101111111111111111*
L008214 111111111111111111110111111101111111111111111111111111111111111111*
L008280 000000000000000000000000000000000000000000000000000000000000000000*
L008346 000000000000000000000000000000000000000000000000000000000000000000*
L008412 000000000000000000000000000000000000000000000000000000000000000000*
L008148 000000000000000000000000000000000000000000000000000000000000000000*
L008214 000000000000000000000000000000000000000000000000000000000000000000*
L008280 111111111111111111111111111111111111111111101111111111111111111111*
L008346 111111111111111111111111111111111111111111111111111111111111111111*
L008412 111111111111111111111111111111111111111111111111111111111111111111*
L008478 111111111111111111111111111111111111111111111111111111111111111111*
L008544 111111111111111111111111111111111111111111111111111111111111111111*
L008610 111111111111111111111111111111111111111111111111111111111111111111*
@ -220,47 +220,47 @@ L008676 111111111111111111111111111111111111111111111111111111111111111111*
L008742 111111111111111111111111111111111111111111111111111111111111111111*
L008808
111111111111111111111111111111111111111111111111111111111111111111*
L008874 111111111111111111111111111110111111111111111111111111011111111111*
L008940 111111111111111111111111110111111111111111111111111111011111111111*
L009006 111111110111111111111111111001111111111111111111111111111111111111*
L008874 111011110111111111111111110111111111111111111111111111111111111111*
L008940 111111111111111111111111111011111111111111111111111111011111111111*
L009006 110111111111111111111111111111111111111111111111111111011111111111*
L009072 000000000000000000000000000000000000000000000000000000000000000000*
L009138 000000000000000000000000000000000000000000000000000000000000000000*
L009204 111110111111111111111111111111110111111111111111111111111111111111*
L009270 111111111111111111111111111111111111111111111111111111111101111111*
L009204 111111111111111111111111111011111111111111111111111111111111110111*
L009270 111111111111111111111111111011111111111111111101111111111111111111*
L009336 000000000000000000000000000000000000000000000000000000000000000000*
L009402 111111111111111111111111111111111111111111111111111111111111111111*
L009468 111111111111111111111111111111111111111111111111111111111111111111*
L009402 000000000000000000000000000000000000000000000000000000000000000000*
L009468 000000000000000000000000000000000000000000000000000000000000000000*
L009534
111111111111111111111111111111111111111111111111111111111111111111*
L009600 111110111111111111111101111111111011111111111111111111111111111111*
L009666 111111111111111111111111111111111111111111111111111111111101111111*
L009732 000000000000000000000000000000000000000000000000000000000000000000*
L009798 111101111111111111111110111111111111111111111111111111111111111111*
L009864 000000000000000000000000000000000000000000000000000000000000000000*
L009930 111101111111111111111111111111111111111111111111111111111111110111*
L009996 111111111111111111111101111111111111111111111111111111111111110111*
L010062 111110111111111111111110111111111111111111111111111111111111111011*
L010128 000000000000000000000000000000000000000000000000000000000000000000*
L010194 000000000000000000000000000000000000000000000000000000000000000000*
L009600 111111111111111111111111111111111111111111111111111111111111111111*
L009666 111111111111111111111111111111111111111111111111111111111111111111*
L009732 111111111111111111111111111111111111111111111111111111111111111111*
L009798 111111111111111111111111111111111111111111111111111111111111111111*
L009864 111111111111111111111111111111111111111111111111111111111111111111*
L009930 111111111111111111111111111111111111111111111111111111111111111111*
L009996 111111111111111111111111111111111111111111111111111111111111111111*
L010062 111111111111111111111111111111111111111111111111111111111111111111*
L010128 111111111111111111111111111111111111111111111111111111111111111111*
L010194 111111111111111111111111111111111111111111111111111111111111111111*
L010260
000000000000000000000000000000000000000000000000000000000000000000*
L010326 111111111111111101111111111110111111111111111111111111111111111111*
L010392 111111111111111101111111110111111111111111111111111111111111111111*
L010458 011111111111111111111111111001111111111111111111111111111111111111*
L010326 111111111111111101111111111011111111111111111111111111111111111111*
L010392 110111111111111101111111111111111111111111111111111111111111111111*
L010458 011011111111111111111111110111111111111111111111111111111111111111*
L010524 000000000000000000000000000000000000000000000000000000000000000000*
L010590 000000000000000000000000000000000000000000000000000000000000000000*
L010656 111111111111011111111111111110111111111111111111111111111111111111*
L010722 111111111111111111110111111110111111111111111111111111111111111111*
L010656 111111111111111111110111111111111111111111111111111111111111111111*
L010722 111111111111111111111111111111111111111111111111111111111101111111*
L010788 000000000000000000000000000000000000000000000000000000000000000000*
L010854 000000000000000000000000000000000000000000000000000000000000000000*
L010920 000000000000000000000000000000000000000000000000000000000000000000*
L010854 111111111111111111111111111111111111111111111111111111111111111111*
L010920 111111111111111111111111111111111111111111111111111111111111111111*
L010986
000000000000000000000000000000000000000000000000000000000000000000*
L011052 111110111111111111111101111111111011111111111111111111111111111111*
L011118 111111111111111111111111111111111111111111111111111111111101111111*
L011184 000000000000000000000000000000000000000000000000000000000000000000*
L011250 111110111111111111111110111111110111111111111111111111111111111111*
L011316 000000000000000000000000000000000000000000000000000000000000000000*
L011052 111111111111111111111111111111111111111111111111111111111111111111*
L011118 111111111111111111111111111111111111111111111111111111111111111111*
L011184 111111111111111111111111111111111111111111111111111111111111111111*
L011250 111111111111111111111111111111111111111111111111111111111111111111*
L011316 111111111111111111111111111111111111111111111111111111111111111111*
L011382 111111111111111111111111111111111111111111111111111111111111111111*
L011448 111111111111111111111111111111111111111111111111111111111111111111*
L011514 111111111111111111111111111111111111111111111111111111111111111111*
@ -268,14 +268,14 @@ L011580 111111111111111111111111111111111111111111111111111111111111111111*
L011646 111111111111111111111111111111111111111111111111111111111111111111*
L011712
000000000000000000000000000000000000000000000000000000000000000000*
L011778 110111111111111111111111111110111111111111111111111111111111111111*
L011844 110111111111111111111111110111111111111111111111111111111111111111*
L011910 111111011111111111111111111001111111111111111111111111111111111111*
L011778 111111111111111111111111011011111111111111111111111111111111111111*
L011844 110111111111111111111111011111111111111111111111111111111111111111*
L011910 111011011111111111111111110111111111111111111111111111111111111111*
L011976 000000000000000000000000000000000000000000000000000000000000000000*
L012042 000000000000000000000000000000000000000000000000000000000000000000*
L012108 111111111111111111111111111101111111111111111111111111110111111111*
L012174 111111111111110111111111111101111111111111110111111111111111111111*
L012240 000000000000000000000000000000000000000000000000000000000000000000*
L012108 111111111111111111111111110111111111111111111111111111110111111111*
L012174 111111111111111111111111110111111111111111110111111111111111111111*
L012240 111111111111111111111111111111101111111111111011111111110111111111*
L012306 000000000000000000000000000000000000000000000000000000000000000000*
L012372 000000000000000000000000000000000000000000000000000000000000000000*
L012438
@ -296,20 +296,20 @@ L013164
L013296 0010*
L013300 00101110000000*
L013314 00101110001110*
L013328 10100100010100*
L013328 00011110100100*
L013342 11100011111111*
L013356 10100110010011*
L013370 00001110001111*
L013384 10101110000110*
L013398 11001111111111*
L013370 10100100011111*
L013384 11011011110110*
L013398 11110011111111*
L013412 10100110011001*
L013426 10100100010011*
L013440 10101110000000*
L013454 11101011110010*
L013468 10100110011000*
L013482 10100110010011*
L013496 11011111111100*
L013510 11110011111110*
L013426 00001110000011*
L013440 11011111110000*
L013454 11110011110010*
L013468 10100110011001*
L013482 10100100010011*
L013496 11011011111100*
L013510 11111111111111*
NOTE BLOCK 2 *
L013524
111111111111111111111111111111111111111111111111111111111111111111
@ -439,15 +439,15 @@ L020258 11110111110101*
L020272 11111111111111*
NOTE BLOCK 3 *
L020286
111111111111111011111111111111111111111110111111111011110111111111
111111111111111110111111111110011111111111110111111111111110110111
111111111111111111111111111011111111101111111111111111111111111111
111111111111111111111111111111111111111111101111111111111111111111
111111111101111111111111111111111111111111111111111111111111111110
111111111011111011111111111111111111111111111111111011111111111111
101111111101111110111111111111111111111111110111111111111110110111
111111111111111111111011111111101111111111111110111111110111111111
111110111111111111111111111111111111111111111111111111111111111111
111111111111111111111111111111111111011111111111111111111111111110
110111111111101111111101111111111111111111111111111111111111111111
111111111111111111111111011111110110111111111111111111101111111111
111111111111111111100111111111111111111111111110011111111111111111
101101010111111111111111111111111111111011111111111111111111111111*
111111111111111111111111011111110110111111111111111111111111111111
111111111111111111101111111001111111111110111111011111111111111111
111111011111111111111111111111111111111011101111111111011111111111*
L020880
111111111111111111111111111111111111111111111111111111111111111111*
L020946 111111111111111111111111101111111110111111111111111111111111111111*
@ -455,90 +455,90 @@ L021012 000000000000000000000000000000000000000000000000000000000000000000*
L021078 000000000000000000000000000000000000000000000000000000000000000000*
L021144 000000000000000000000000000000000000000000000000000000000000000000*
L021210 000000000000000000000000000000000000000000000000000000000000000000*
L021276 111111110111111111011111111111101111111110111011111111111111111111*
L021342 111111111111111111011111111111101111111110111011111111110111111111*
L021408 111110111111111111111111111111111111111101111011111111111111111111*
L021276 111111110111111111111111111111111111111111111011111111101111111111*
L021342 111111111010110111011111111111111111111111111011111111111111111111*
L021408 111111111010111111011111110111111111111111111011111111111111111111*
L021474 000000000000000000000000000000000000000000000000000000000000000000*
L021540 000000000000000000000000000000000000000000000000000000000000000000*
L021606
111111111111111111111111111111111111111111111111111111111111111111*
L021672 111111011111111011111111111111111111111111111111111111111111111111*
L021672 111111011111111111111111111111111111111111111111111111111111111111*
L021738 111111111111111111111111111111111111111111111111111111111101111111*
L021804 000000000000000000000000000000000000000000000000000000000000000000*
L021870 111111011111111111111111110111111111111111111111111111111111111111*
L021936 111111101111110111111111111011111111111111111111111111111111111111*
L022002 111111111111111111111111111111111111111111111111111111111111111111*
L022068 111111111111111111111111111111111111111111111111111111111111111111*
L022134 111111111111111111111111111111111111111111111111111111111111111111*
L022200 111111111111111111111111111111111111111111111111111111111111111111*
L022266 111111111111111111111111111111111111111111111111111111111111111111*
L021870 111001101111111111110111111111111111111111111111110111111111111111*
L021936 111011101111111111110111111111111111111111111111111011111111111110*
L022002 111010011111111111110111111111111111111111111111110111111111111110*
L022068 000000000000000000000000000000000000000000000000000000000000000000*
L022134 000000000000000000000000000000000000000000000000000000000000000000*
L022200 000000000000000000000000000000000000000000000000000000000000000000*
L022266 000000000000000000000000000000000000000000000000000000000000000000*
L022332
111111111111111111111111111111111111111111111101111111111111111111*
L022398 011111110111111111111111111111011111111111111111111111111111111111*
111111111111111111111111111111111111111101111111111111111111111111*
L022398 111111111111111111111111111111111111111111111111111111111111111111*
L022464 111111111111111111111111111111111111111111111111111111111101111111*
L022530 000000000000000000000000000000000000000000000000000000000000000000*
L022596 101111111111111111111011111111111111111111111111111111111111111111*
L022662 111111111011111111101011111111111111111111111111111111111111111111*
L022728 110111101111110111111111011111111111111111101111100111111111111111*
L022794 111011011111111011111111111111111111111111101111010111101111111111*
L022860 000000000000000000000000000000000000000000000000000000000000000000*
L022596 111111111111111111111111111111111111111111111111111111111111111111*
L022662 111111111111111111111111111111111111111111111111111111111111111111*
L022728 111111111101110111111111111111111111111111011111111111111111111111*
L022794 111111111111111111111111111110111111111111101111111111111111111111*
L022860 111111111111111011101111111110111111111111111111111111111111111111*
L022926 000000000000000000000000000000000000000000000000000000000000000000*
L022992 000000000000000000000000000000000000000000000000000000000000000000*
L023058
111111111111111111111111111111111111111111111101111111111111111111*
111111111111111111111111111111111111111101111111111111111111111111*
L023124 000000000000000000000000000000000000000000000000000000000000000000*
L023190 000000000000000000000000000000000000000000000000000000000000000000*
L023256 101111111111111111111111111111111111111111111111111111111111111111*
L023322 111111111111111111111111111111111111111111111111111111111111111111*
L023388 111111111111111111111111111111111111111111111111111111111111111111*
L023454 111111110110011101111111110111111111111011111111111111111111111110*
L023520 111111110110111101111111110110111111111011111111111111111111111110*
L023586 111111110110111101111111110111111111011011111111111111111111111110*
L023652 111111111101111111101111111111111011111111111111111111111111111111*
L023256 111111111111111111111111111111111111111111101111111111111111111111*
L023322 111110011111111111110111011111111111111111111111101011111111111101*
L023388 111110011111111111111011111111111111111111111111010111111111111010*
L023454 110111111111010101111111111111111111101011111110111111111111111111*
L023520 100111111111110101111111111111111111101011111110111111111111111111*
L023586 110111111111110101111111111111011111101011111110111111111111111111*
L023652 111111111111111111101111111111111011011111111111111111111111111111*
L023718 111111111111111101101111111111111011110111111111111111111111111111*
L023784
111111111111111111111111111111111111111111111101111111111111111111*
L023850 111111111111111101101111111011111011111111111111111111111111111111*
L023916 111111111111111101101111111111111011111111111111111111111111111101*
L023982 111111111011111101101111111111111011111111111111111111111111111111*
L024048 111111111110011110111111111111111111111111111111111111111111110111*
L024114 111111111110111110111111111110111111111111111111111111111111110111*
L024180 111111111110111110111111111111111111011111111111111111111111110111*
L024246 111111111111111110101111111111111011111111111111111111111111111011*
111111111111111111111111111111111111111101111111111111111111111111*
L023850 111011111111111101101111111111111011111111111111111111111111111111*
L023916 111111111111111101101111111111111011111111111101111111111111111111*
L023982 111111111111111001101111111111111011111111111111111111111111111111*
L024048 111111111111011110111111111111111111101111111111111111110111111111*
L024114 101111111111111110111111111111111111101111111111111111110111111111*
L024180 111111111111111110111111111111011111101111111111111111110111111111*
L024246 111111111111111110101111111111111011111111111111111111111011111111*
L024312 000000000000000000000000000000000000000000000000000000000000000000*
L024378 000000000000000000000000000000000000000000000000000000000000000000*
L024444 000000000000000000000000000000000000000000000000000000000000000000*
L024510
111111111111111111111111111111111111111111111110111111111111111111*
111111111111111111111111111111111111111110111111111111111111111111*
L024576 111111111111111111101111101111111111111111111111111111111111111111*
L024642 111111110111111111111111110111111111111011111111111111111111111110*
L024642 110111111111110111111111111111111111111011111110111111111111111111*
L024708 000000000000000000000000000000000000000000000000000000000000000000*
L024774 000000000000000000000000000000000000000000000000000000000000000000*
L024840 000000000000000000000000000000000000000000000000000000000000000000*
L024906 111111111111111111111111111111111111111111111111111111111111111111*
L024972 111111111111111111111111111111111111111111111111111111111111111111*
L025038 111111111111111111111111111111111111111111111111111111111111111111*
L025104 111111111111111111111111111111111111111111111111111111111111111111*
L025170 111111111111111111111111111111111111111111111111111111111111111111*
L024906 110111111111110101111111111111101111101011111110111111111111111111*
L024972 111111111111111111101110111111111111011111111111111111111111111111*
L025038 111111111111111101101110111111111111110111111111111111111111111111*
L025104 111011111111111101101110111111111111111111111111111111111111111111*
L025170 111111111111111101101110111111111111111111111101111111111111111111*
L025236
111111111111111111111111111111111111111111111111111111111111111111*
L025302 111111110110111101111111110111111111101011111111111111111111111110*
L025368 111111111101111111101110111111111111111111111111111111111111111111*
L025434 111111111111111101101110111111111111110111111111111111111111111111*
L025500 111111111111111101101110111011111111111111111111111111111111111111*
L025566 111111111111111101101110111111111111111111111111111111111111111101*
L025632 111111111011111101101110111111111111111111111111111111111111111111*
L025302 111111111111111001101110111111111111111111111111111111111111111111*
L025368 111111111111111110111111111111101111101111111111111111110111111111*
L025434 111111111111111110101110111111111111111111111111111111111011111111*
L025500 000000000000000000000000000000000000000000000000000000000000000000*
L025566 000000000000000000000000000000000000000000000000000000000000000000*
L025632 111111111111111111110111111111111111111111111111111111111111111111*
L025698 111111111111111111111111111111111111111111111111111111111101111111*
L025764 000000000000000000000000000000000000000000000000000000000000000000*
L025830 111111111110111110111111111111111111101111111111111111111111110111*
L025896 111111111111111110101110111111111111111111111111111111111111111011*
L025830 000000000000000000000000000000000000000000000000000000000000000000*
L025896 000000000000000000000000000000000000000000000000000000000000000000*
L025962
000000000000000000000000000000000000000000000000000000000000000000*
L026028 111011101111110111111111111011111111111111011111111111111111111111*
L026094 111111011111110111111111111011111111111111101111110111111111111111*
L026160 110111011111110111111111111011111111111111011111111011111111111111*
L026226 111011111111110111111111111011111111111111101111111011111111111111*
L026292 000000000000000000000000000000000000000000000000000000000000000000*
L026028 111111111111111111111111111111111111111111111111111111111111111111*
L026094 111111111111111111111111111111111111111111111111111111111111111111*
L026160 111111111111111111111111111111111111111111111111111111111111111111*
L026226 111111111111111111111111111111111111111111111111111111111111111111*
L026292 111111111111111111111111111111111111111111111111111111111111111111*
L026358 111111111111111111111111111111111111111111111111111111111111111111*
L026424 111111111111111111111111111111111111111111111111111111111111111111*
L026490 111111111111111111111111111111111111111111111111111111111111111111*
@ -546,23 +546,23 @@ L026556 111111111111111111111111111111111111111111111111111111111111111111*
L026622 111111111111111111111111111111111111111111111111111111111111111111*
L026688
000000000000000000000000000000000000000000000000000000000000000000
101111111111111111111111111111111111111111111111111111111111111111*
111111111111111111111111111111111111111111101111111111111111111111*
L026820 0010*
L026824 01100110011010*
L026838 11100110011110*
L026852 10101110000100*
L026866 11100011111111*
L026880 11101110001011*
L026894 10100111011111*
L026908 00011110100110*
L026852 00101110000100*
L026866 11110011111111*
L026880 11111110001011*
L026894 10110111011111*
L026908 00111100100110*
L026922 11011111111111*
L026936 11100110010001*
L026950 11110110011111*
L026964 11111011110110*
L026978 11111111110010*
L026978 11011111110010*
L026992 11100110011010*
L027006 10111111001111*
L027020 11110011110000*
L027006 00111110001111*
L027020 11010011110000*
L027034 11111011110010*
NOTE BLOCK 4 *
L027048
@ -693,22 +693,22 @@ L033782 11110111110101*
L033796 11111111111111*
NOTE BLOCK 5 *
L033810
111111011111111111111111111111111111111111111111111111111111111111
111111111011011111111011111111111111111111111111111111111111111111
111111111111111111111111111011111111111111111111111111111111111111
111111111111111111111111111111111111111111111111111111111111111111
111111111110111111111111111111111111111111111111111111111111111111
111111111111111111111111111111111111111111111111111111111111111111
111111111111111111111111111111111111111111111111111111111111111111
111111111111111111111111111111111111111111111111111111111111111111
111111111111111111111111111111111111111111111111111111111111111111
111111111111111111111111111111111111111111111111111111111111111111
111111111111111111111111111111111111111111111111111111111111111111
111111111111111111111111111111111111111111111111111111111111111111
111111111111111111111111111111111111111111111111111111111111111111*
111111111111111111111111111101111111111111111111111111111111111111
111111111111111111101111111111111111111111111111111111111111111111
101111111111111111111111111111111111111111111111111111111111111111*
L034404
000000000000000000000000000000000000000000000000000000000000000000*
L034470 111111111111111111111111111111111111111111111111111111111111111111*
L034536 111111111111111111111111111111111111111111111111111111111111111111*
L034602 111111111111111111111111111111111111111111111111111111111111111111*
L034668 111111111111111111111111111111111111111111111111111111111111111111*
L034734 111111111111111111111111111111111111111111111111111111111111111111*
L034470 111111111110111111101111111111111111111111111111111111111111111111*
L034536 111111011111011111110111110110111111111111111111111111111111111111*
L034602 000000000000000000000000000000000000000000000000000000000000000000*
L034668 000000000000000000000000000000000000000000000000000000000000000000*
L034734 000000000000000000000000000000000000000000000000000000000000000000*
L034800 111111111111111111111111111111111111111111111111111111111111111111*
L034866 111111111111111111111111111111111111111111111111111111111111111111*
L034932 111111111111111111111111111111111111111111111111111111111111111111*
@ -800,10 +800,10 @@ L040080 111111111111111111111111111111111111111111111111111111111111111111*
L040146 111111111111111111111111111111111111111111111111111111111111111111*
L040212
000000000000000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000000000000000*
L040344 0000*
L040348 11010011111110*
L040362 11110111111111*
101111111111111111111111111111111111111111111111111111111111111111*
L040344 0010*
L040348 11100110011110*
L040362 11011011111110*
L040376 11110011111111*
L040390 11110111110011*
L040404 11110011111110*
@ -820,34 +820,34 @@ L040544 11110111111111*
L040558 11111111111111*
NOTE BLOCK 6 *
L040572
111111011111111111111111101110111111111111111111111111111111111111
111111111110011111111011111111111111111111101111111111111111111111
111111111111111111111111111011111111111111111110111111110111111111
111111011111111111101111111110111111111111111111111111111111111111
111111111010011111111111101111111111111111111111111111111111111111
111111111111111111111011111111111111111111111110111111110111111111
111110111111111111111111111111111111111111111011111111111111111011
111111111111111111111111111111111111111111111111111111111111111111
111111111111111111111111111111111111111111111111101011111111111111
110111111111111111111111111111111111111111111111111111111111111111
111111111111111111011110111111111111111111111111110111111111111111
111111111011110111111111111111111111111011111111111111111111111111
101111111111111111111111111111110111111111111111111111111111111111*
111111111111111111111111111111111111111111111111111111111111111111
111111111111110111111111111011111111111111111111111111111111111110
101111111111111111111111111111010111111011111111111111111111111111*
L041166
111111111111111111111111111111111111111111111111111111111111111111*
L041232 111111111111111111111111111111111111111111111111111111110111111111*
L041232 111111111111111111111111111111011111111111111111111111111111111111*
L041298 000000000000000000000000000000000000000000000000000000000000000000*
L041364 000000000000000000000000000000000000000000000000000000000000000000*
L041430 000000000000000000000000000000000000000000000000000000000000000000*
L041496 000000000000000000000000000000000000000000000000000000000000000000*
L041562 111111111111111111111111110111111111111111111111111111111111111111*
L041628 000000000000000000000000000000000000000000000000000000000000000000*
L041694 000000000000000000000000000000000000000000000000000000000000000000*
L041760 000000000000000000000000000000000000000000000000000000000000000000*
L041562 111001111111111111100111111111111111111111111111101111111111111111*
L041628 111010111111111111010111111111110111111111111111111111111111111111*
L041694 111001111111111111010111111111111011111111111111011111111111111111*
L041760 111010111111111111110111111111111011111111111111101111111111111111*
L041826 000000000000000000000000000000000000000000000000000000000000000000*
L041892
111111111111111111111111111111111111111111111111111111111111111111*
L041958 111111111111011111111111111101111111111111111111111111111111111111*
L042024 111111111111111111111111111111111111111111011111111111111111111111*
L041958 110111111111111111111111111101111111111011111110111111111111111111*
L042024 111111110111111111111111111111111111111111111111111111111111111111*
L042090 101111111111111111111111111111111111111111111111111111111111111111*
L042156 111111111101111111111111111111111111111111111101111111111111110111*
L042222 111111111111111111111111111101111111111111111111111111111111110111*
L042156 111111111111111111110111111111111111111111111111111111111111110111*
L042222 000000000000000000000000000000000000000000000000000000000000000000*
L042288 111111111111111111111111111111111111111111111111111111111111111111*
L042354 111111111111111111111111111111111111111111111111111111111111111111*
L042420 111111111111111111111111111111111111111111111111111111111111111111*
@ -855,23 +855,23 @@ L042486 111111111111111111111111111111111111111111111111111111111111111111*
L042552 111111111111111111111111111111111111111111111111111111111111111111*
L042618
000000000000000000000000000000000000000000000000000000000000000000*
L042684 110101111111111111111111011001110111111111111111111111111111111111*
L042750 110110111111111111111111101001110111111111111111111111111111111111*
L042816 111010111111111111111111101001111011111111111111111111111111111111*
L042684 111001111111111111010111111111110111111111111111011111111111111111*
L042750 111010111111111111010111111111111011111111111111011111111111111111*
L042816 111010111111111111100111111111111011111111111111101111111111111111*
L042882 000000000000000000000000000000000000000000000000000000000000000000*
L042948 000000000000000000000000000000000000000000000000000000000000000000*
L043014 111111110111111111111111111110111111111111111111111111110111111111*
L043080 111111111111111111111111111111111111111111011111111111111111111111*
L043014 111111111111111111111011011111111111111111111111111011111111111111*
L043080 111111110111111111111111111111111111111111111111111111111111111111*
L043146 101111111111111111111111111111111111111111111111111111111111111111*
L043212 111111111111111111111111111111111111111111110111111011111111111111*
L043278 111111111111111111111111111110111111111111110111111111111111111111*
L043212 111111111110111111111011011111111111111111111111111111111111111111*
L043278 111111111111111111111011111111111111111111110111111111111111111111*
L043344
000000000000000000000000000000000000000000000000000000000000000000*
L043410 111111111111111111111111111111111111111011111110111111111111111111*
L043476 111111111111111111111111111111111111111111011111111111111111111111*
L043542 101111111111111111111111111111111111111111111111111111111111111111*
L043608 111001011111111011111110011101111011111111111111111111111111110111*
L043674 000000000000000000000000000000000000000000000000000000000000000000*
L043410 110111111111111111111111111111111111111111111111111111111111111111*
L043476 111111111111111111111111111111111111111111111111111111111111111111*
L043542 111111111111111111111111111111111111111111111111111111111111111111*
L043608 111111111111111111111111111111111111111111111111111111111111111111*
L043674 111111111111111111111111111111111111111111111111111111111111111111*
L043740 111111111111111111111111111111111111111111111111111111111111111111*
L043806 111111111111111111111111111111111111111111111111111111111111111111*
L043872 111111111111111111111111111111111111111111111111111111111111111111*
@ -879,22 +879,22 @@ L043938 111111111111111111111111111111111111111111111111111111111111111111*
L044004 111111111111111111111111111111111111111111111111111111111111111111*
L044070
000000000000000000000000000000000000000000000000000000000000000000*
L044136 111111111111111111111111111101111111111111111111111111111111111111*
L044136 111111011111111111111111111111111111111111111111111111111111111111*
L044202 111111111111111111111111111111111111111111111111111111111111111111*
L044268 111111111111111111111111111111111111111111111111111111111111111111*
L044334 111111111111111111111111111111111111111111111111111111111111111111*
L044400 111111111111111111111111111111111111111111111111111111111111111111*
L044466 111111111110111111111111111110111111111111111111111111111111110111*
L044532 111111111111111111111111111111111111111111011111111111111111111111*
L044466 111111111111111111110111111111111111111111111111111111110111111111*
L044532 111111110111111111111111111111111111111111111111111111111111111111*
L044598 101111111111111111111111111111111111111111111111111111111111111111*
L044664 111111111111111111111111111110111111111111111110111111111111110111*
L044730 111111111111111111110111111110111111111111111111111111111111111111*
L044664 111111111101111111111111011111111111111111111111110111111111111111*
L044730 111111111111111111110111011111111111111111111111111111111111111111*
L044796
000000000000000000000000000000000000000000000000000000000000000000*
L044862 111111111110111111111111111111111111111011111111111111111111111111*
L044928 111111111111111111111111111111111111111111011111111111111111111111*
L044862 111111111110111111111111111111111111111111111111111111111111111110*
L044928 111111110111111111111111111111111111111111111111111111111111111111*
L044994 101111111111111111111111111111111111111111111111111111111111111111*
L045060 111111011111111111101101111101111111111111111111111111111111110111*
L045060 111101011111101011100111011111110111111111111111101111111111111111*
L045126 000000000000000000000000000000000000000000000000000000000000000000*
L045192 111111111111111111111111111111111111111111111111111111111111111111*
L045258 111111111111111111111111111111111111111111111111111111111111111111*
@ -903,23 +903,23 @@ L045390 111111111111111111111111111111111111111111111111111111111111111111*
L045456 111111111111111111111111111111111111111111111111111111111111111111*
L045522
000000000000000000000000000000000000000000000000000000000000000000*
L045588 111111011111111111111111111111111111111111111111111111111111111111*
L045654 111111111111111111111111111111111111111111111111111111111111111111*
L045720 111111111111111111111111111111111111111111111111111111111111111111*
L045786 111111111111111111111111111111111111111111111111111111111111111111*
L045852 111111111111111111111111111111111111111111111111111111111111111111*
L045918 111111111111111111111111011111111111111111111111111111111111111111*
L045984 111101111111111111111111101001110111111111111111111111111111111111*
L046050 111011111111111111111111101001111011111111111111111111111111111111*
L046116 111010111111111111111111011001110111111111111111111111111111111111*
L045588 111111111111111111111111111101111111110111111111111111111111111111*
L045654 111111110111111111111111111111111111111111111111111111111111111111*
L045720 101111111111111111111111111111111111111111111111111111111111111111*
L045786 111011111111111111111111111101111111111111111111111111111111111111*
L045852 111111111111111111111111111101111111111111111101111111111111111111*
L045918 111111111111111111011011111111111111111111111111111111111111111111*
L045984 110111111111111111011111111111111111111111111111111111111111111111*
L046050 111011111111111111100111111111111111111111111111111111111111111111*
L046116 000000000000000000000000000000000000000000000000000000000000000000*
L046182 000000000000000000000000000000000000000000000000000000000000000000*
L046248
000000000000000000000000000000000000000000000000000000000000000000*
L046314 111111111111111111111111111111111111111111111111111111111111111111*
L046380 111111111111111111111111111111111111111111111111111111111111111111*
L046446 111111111111111111111111111111111111111111111111111111111111111111*
L046512 111111111111111111111111111111111111111111111111111111111111111111*
L046578 111111111111111111111111111111111111111111111111111111111111111111*
L046314 111111111111111111111011110111111111111111111111111111111111111111*
L046380 000000000000000000000000000000000000000000000000000000000000000000*
L046446 000000000000000000000000000000000000000000000000000000000000000000*
L046512 000000000000000000000000000000000000000000000000000000000000000000*
L046578 000000000000000000000000000000000000000000000000000000000000000000*
L046644 111111111111111111111111111111111111111111111111111111111111111111*
L046710 111111111111111111111111111111111111111111111111111111111111111111*
L046776 111111111111111111111111111111111111111111111111111111111111111111*
@ -930,42 +930,42 @@ L046974
000000000000000000000000000000000000000000000000000000000000000000*
L047106 0010*
L047110 00100110010000*
L047124 00100110011110*
L047124 10100111011110*
L047138 10101110000100*
L047152 11100011111111*
L047166 10100111011001*
L047180 10101110000011*
L047194 11101100000000*
L047194 00010110010000*
L047208 11101111110011*
L047222 00110110010001*
L047236 10101110000011*
L047250 11101100000000*
L047264 11101011110010*
L047278 00110110010000*
L047292 00100110010011*
L047306 11011111110000*
L047278 10101110000000*
L047292 10100110010011*
L047306 11001111110000*
L047320 11110011111110*
NOTE BLOCK 7 *
L047334
111111111111111011111111111111111111111110111111111111111111111111
111111111101111111111111111111111111111111101111111111101111111111
111111111111101111111011111111111111111111111111111111110111111111
111111111111111111110111111111111111111110111111111111111111111111
111111111101111111111111111111111111111111111111111111111111111111
111111111111101111111111111111111111111111111111111111111011111111
111011111111111110111111111111111111111111111111111111111111111111
111111111111111111111111111111111111111111111111111111111111111111
111111111111111111111111011111111111011111111111111111111111111111
111111101111111111111101111111111101111111111111111111111111111111
111111111011111111101111111111111111111111111110111111111111111111
101111111111111111111111110111111111111011111111111111111111101111*
111111110111111111111111011111111111111111011111111111111111111111
111111101111110111111101111111111101111111111111111111101111111111
111111111111111111101111111011111111111111111110111111111111111111
101111111111111111111111111111011111111011111111111111111111101111*
L047928
000000000000000000000000000000000000000000000000000000000000000000*
L047994 110111111111011101101110101111111110011101111111111111111111111111*
L047994 110111110111011101101110101111111110111101111111111111111111111111*
L048060 111111111111111111101111111111111111111110111111111111111111101111*
L048126 000000000000000000000000000000000000000000000000000000000000000000*
L048192 000000000000000000000000000000000000000000000000000000000000000000*
L048258 000000000000000000000000000000000000000000000000000000000000000000*
L048324 111111111111111111011111111111111111111111111111111111111111111111*
L048390 111111111110111111111111111111111111111101111111111111111111111111*
L048456 110111111111011101111110101111111110011101111111111111111111111111*
L048456 110111110111011101111110101111111110111101111111111111111111111111*
L048522 111111111111111111111111111111111111110110111111111111111111111111*
L048588 000000000000000000000000000000000000000000000000000000000000000000*
L048654
@ -982,14 +982,14 @@ L049248 111111111111111111111111111111111111111111111111111111111111111111*
L049314 111111111111111111111111111111111111111111111111111111111111111111*
L049380
111111111111111111111111111111111111111111111111111111111111111111*
L049446 111111111111111111111111111111111111011111111101111111111111111111*
L049512 111111111111110111111011111111111111011111111111111111111111111111*
L049446 111111110111111111111111111111111111111111111101111111111111111111*
L049512 111111110111111111111111111111111111111111101111111111110111111111*
L049578 000000000000000000000000000000000000000000000000000000000000000000*
L049644 000000000000000000000000000000000000000000000000000000000000000000*
L049710 000000000000000000000000000000000000000000000000000000000000000000*
L049776 111111110111110111111111111111111111111111111111111111111111111111*
L049842 111111111111110111111111111111111111111111111111111111011111111111*
L049908 111111110111111111111111111111111111111111111111111111101011111111*
L049776 111111111111111111111111110111111111111111111111111111110111111111*
L049842 111111111111110111111111111111111111111111111111111111010111111111*
L049908 000000000000000000000000000000000000000000000000000000000000000000*
L049974 000000000000000000000000000000000000000000000000000000000000000000*
L050040 000000000000000000000000000000000000000000000000000000000000000000*
L050106
@ -1007,15 +1007,15 @@ L050766 111111111111111111111111111111111111111111111111111111111111111111*
L050832
111111111101111111111111111111111111111111111111111111111111111111*
L050898 111111101111111111101111111111111111111111111111111111111111111111*
L050964 111111110111111011111111111111111111111111111111111111110111111111*
L050964 111111111111111111110111111111011111111111111111111111111011111111*
L051030 000000000000000000000000000000000000000000000000000000000000000000*
L051096 000000000000000000000000000000000000000000000000000000000000000000*
L051162 000000000000000000000000000000000000000000000000000000000000000000*
L051228 111111111111111111111111110111111111111111111111111111111111111111*
L051294 111111111111111111111111111111111111111111011111111111111111111111*
L051360 000000000000000000000000000000000000000000000000000000000000000000*
L051426 111111111111111111111111111111111111111111111111111111111111111111*
L051492 111111111111111111111111111111111111111111111111111111111111111111*
L051228 111111111111111111110111111111011111111111111111111111111011111111*
L051294 111111111111111011111111111111111111111111111111111111011111111111*
L051360 111111111111111111111111111111111111111111111111111111011011111111*
L051426 000000000000000000000000000000000000000000000000000000000000000000*
L051492 000000000000000000000000000000000000000000000000000000000000000000*
L051558
111111111101111111111111111111111111111111111111111111111111111111*
L051624 111111111111111111111111111111111111111111111111111111111111111111*
@ -1061,17 +1061,17 @@ L053886 10100110010010*
L053900 11011111110000*
L053914 11111011110011*
L053928 10100110010000*
L053942 10100100011110*
L053942 10100110011110*
L053956 11011111110001*
L053970 11111011110011*
L053984 11100110010000*
L053998 00001110000010*
L053998 10100100010010*
L054012 11010011110100*
L054026 11111011111111*
L054040 00111111111000*
L054054 11000011110010*
L054068 11111011110101*
L054082 11110111111111*
L054082 11111111111111*
E1
0
00000000
@ -1091,6 +1091,6 @@ E1
00000000
1
*
C9018*
C52A9*
U00000000000000000000000000000000*
B9C5
BBAB

View File

@ -16,8 +16,8 @@ RCS = "$Revision: 1.2 $";
Parent = m4a5.lci;
SDS_File = m4a5.sds;
Design = 68030_tk.tt4;
DATE = 5/18/14;
TIME = 21:01:51;
DATE = 5/22/14;
TIME = 14:56:14;
Source_Format = Pure_VHDL;
Type = TT2;
Pre_Fit_Time = 1;
@ -82,33 +82,33 @@ IPL_2_ = pin,68,-,G,-;
FC_1_ = pin,58,-,F,-;
AS_030 = pin,82,-,H,-;
DS_030 = pin,98,-,A,-;
SIZE_0_ = pin,70,-,G,-;
A_30_ = pin,5,-,B,-;
nEXP_SPACE = pin,14,-,-,-;
A_29_ = pin,6,-,B,-;
BERR = pin,41,-,E,-;
A_28_ = pin,15,-,C,-;
SIZE_0_ = pin,70,-,G,-;
BG_030 = pin,21,-,C,-;
A_27_ = pin,16,-,C,-;
A_26_ = pin,17,-,C,-;
A_25_ = pin,18,-,C,-;
A_30_ = pin,5,-,B,-;
A_29_ = pin,6,-,B,-;
A_28_ = pin,15,-,C,-;
BGACK_000 = pin,28,-,D,-;
A_24_ = pin,19,-,C,-;
A_27_ = pin,16,-,C,-;
CLK_030 = pin,64,-,-,-;
A_23_ = pin,84,-,H,-;
A_26_ = pin,17,-,C,-;
CLK_000 = pin,11,-,-,-;
A_22_ = pin,85,-,H,-;
A_25_ = pin,18,-,C,-;
CLK_OSZI = pin,61,-,-,-;
A_21_ = pin,94,-,A,-;
A_24_ = pin,19,-,C,-;
CLK_DIV_OUT = pin,65,-,G,-;
A_23_ = pin,84,-,H,-;
A_22_ = pin,85,-,H,-;
A_21_ = pin,94,-,A,-;
A_20_ = pin,93,-,A,-;
AVEC = pin,92,-,A,-;
A_19_ = pin,97,-,A,-;
AVEC_EXP = pin,22,-,C,-;
A_18_ = pin,95,-,A,-;
A_17_ = pin,59,-,F,-;
AVEC = pin,92,-,A,-;
A_16_ = pin,96,-,A,-;
AVEC_EXP = pin,22,-,C,-;
VPA = pin,36,-,-,-;
A_16_ = pin,96,-,A,-;
RST = pin,86,-,-,-;
RW = pin,71,-,G,-;
AMIGA_BUS_DATA_DIR = pin,48,-,E,-;
@ -135,28 +135,29 @@ RESET = pin,3,-,B,-;
AMIGA_BUS_ENABLE = pin,34,-,D,-;
IPL_030_1_ = pin,7,-,B,-;
IPL_030_0_ = pin,8,-,B,-;
cpu_est_0_ = node,-,-,D,2;
cpu_est_1_ = node,-,-,D,13;
cpu_est_0_ = node,-,-,G,13;
cpu_est_1_ = node,-,-,G,1;
inst_AS_030_000_SYNC = node,-,-,H,1;
inst_DTACK_SYNC = node,-,-,G,10;
inst_VPA_D = node,-,-,H,9;
inst_VPA_SYNC = node,-,-,G,6;
inst_CLK_000_D0 = node,-,-,G,12;
inst_CLK_000_D1 = node,-,-,G,8;
inst_CLK_000_D2 = node,-,-,G,1;
inst_CLK_OUT_PRE = node,-,-,B,5;
SM_AMIGA_6_ = node,-,-,A,0;
cpu_est_2_ = node,-,-,G,13;
CLK_REF_1_ = node,-,-,D,6;
SM_AMIGA_7_ = node,-,-,B,13;
SM_AMIGA_4_ = node,-,-,B,9;
SM_AMIGA_1_ = node,-,-,H,5;
CLK_CNT_0_ = node,-,-,B,10;
CLK_CNT_1_ = node,-,-,B,6;
SM_AMIGA_3_ = node,-,-,G,2;
SM_AMIGA_5_ = node,-,-,B,2;
SM_AMIGA_2_ = node,-,-,G,9;
SM_AMIGA_0_ = node,-,-,G,5;
inst_DTACK_SYNC = node,-,-,F,0;
inst_VPA_D = node,-,-,B,9;
inst_VPA_SYNC = node,-,-,G,10;
inst_CLK_000_D0 = node,-,-,G,8;
inst_CLK_000_D1 = node,-,-,D,13;
inst_CLK_000_D2 = node,-,-,G,6;
inst_CLK_OUT_PRE = node,-,-,A,0;
SM_AMIGA_6_ = node,-,-,G,12;
cpu_est_2_ = node,-,-,D,2;
CLK_REF_0_ = node,-,-,D,6;
CLK_REF_1_ = node,-,-,B,2;
SM_AMIGA_7_ = node,-,-,H,5;
SM_AMIGA_4_ = node,-,-,B,5;
SM_AMIGA_1_ = node,-,-,B,13;
CLK_CNT_0_ = node,-,-,A,12;
CLK_CNT_1_ = node,-,-,A,8;
SM_AMIGA_3_ = node,-,-,G,9;
SM_AMIGA_5_ = node,-,-,G,2;
SM_AMIGA_2_ = node,-,-,G,5;
SM_AMIGA_0_ = node,-,-,H,9;
[GROUP ASSIGNMENTS]
Layer = OFF;

File diff suppressed because it is too large Load Diff

View File

@ -8,7 +8,7 @@
; Source file 68030_tk.tt4
; FITTER-generated Placements.
; DEVICE mach447a
; DATE Sun May 18 21:01:51 2014
; DATE Thu May 22 14:56:14 2014
Pin 79 SIZE_1_
@ -17,33 +17,33 @@ Pin 68 IPL_2_
Pin 58 FC_1_
Pin 82 AS_030
Pin 98 DS_030
Pin 70 SIZE_0_
Pin 5 A_30_
Pin 14 nEXP_SPACE
Pin 6 A_29_
Pin 41 BERR Comb ; S6=1 S9=1 Pair 203
Pin 15 A_28_
Pin 70 SIZE_0_
Pin 21 BG_030
Pin 16 A_27_
Pin 17 A_26_
Pin 18 A_25_
Pin 5 A_30_
Pin 6 A_29_
Pin 15 A_28_
Pin 28 BGACK_000
Pin 19 A_24_
Pin 16 A_27_
Pin 64 CLK_030
Pin 84 A_23_
Pin 17 A_26_
Pin 11 CLK_000
Pin 85 A_22_
Pin 18 A_25_
Pin 61 CLK_OSZI
Pin 94 A_21_
Pin 19 A_24_
Pin 65 CLK_DIV_OUT Reg ; S6=1 S9=1 Pair 245
Pin 84 A_23_
Pin 85 A_22_
Pin 94 A_21_
Pin 93 A_20_
Pin 92 AVEC Comb ; S6=1 S9=1 Pair 107
Pin 97 A_19_
Pin 22 AVEC_EXP Comb ; S6=1 S9=1 Pair 149
Pin 95 A_18_
Pin 59 A_17_
Pin 92 AVEC Comb ; S6=1 S9=1 Pair 107
Pin 96 A_16_
Pin 22 AVEC_EXP Comb ; S6=1 S9=1 Pair 149
Pin 36 VPA
Pin 96 A_16_
Pin 86 RST
Pin 71 RW
Pin 48 AMIGA_BUS_DATA_DIR Comb ; S6=1 S9=1 Pair 197
@ -84,27 +84,28 @@ Node 181 RN_VMA Reg ; S6=1 S9=1
Node 179 RN_AMIGA_BUS_ENABLE Reg ; S6=1 S9=0
Node 143 RN_IPL_030_1_ Reg ; S6=1 S9=1
Node 137 RN_IPL_030_0_ Reg ; S6=1 S9=1
Node 176 cpu_est_0_ Reg ; S6=1 S9=0
Node 193 cpu_est_1_ Reg ; S6=1 S9=0
Node 265 cpu_est_0_ Reg ; S6=1 S9=1
Node 247 cpu_est_1_ Reg ; S6=1 S9=1
Node 271 inst_AS_030_000_SYNC Reg ; S6=1 S9=1
Node 260 inst_DTACK_SYNC Reg ; S6=0 S9=0
Node 283 inst_VPA_D Reg ; S6=1 S9=0
Node 254 inst_VPA_SYNC Reg ; S6=0 S9=0
Node 263 inst_CLK_000_D0 Reg ; S6=1 S9=1
Node 257 inst_CLK_000_D1 Reg ; S6=1 S9=1
Node 247 inst_CLK_000_D2 Reg ; S6=1 S9=1
Node 133 inst_CLK_OUT_PRE Reg ; S6=1 S9=0
Node 101 SM_AMIGA_6_ Reg ; S6=1 S9=1
Node 265 cpu_est_2_ Reg ; S6=1 S9=1
Node 182 CLK_REF_1_ Lat ; S6=1 S9=0
Node 145 SM_AMIGA_7_ Reg ; S6=1 S9=1
Node 139 SM_AMIGA_4_ Reg ; S6=0 S9=1
Node 277 SM_AMIGA_1_ Reg ; S6=0 S9=1
Node 140 CLK_CNT_0_ Reg ; S6=1 S9=0
Node 134 CLK_CNT_1_ Reg ; S6=1 S9=0
Node 248 SM_AMIGA_3_ Reg ; S6=1 S9=0
Node 128 SM_AMIGA_5_ Reg ; S6=0 S9=1
Node 259 SM_AMIGA_2_ Reg ; S6=1 S9=0
Node 253 SM_AMIGA_0_ Reg ; S6=1 S9=0
Node 221 inst_DTACK_SYNC Reg ; S6=1 S9=1
Node 139 inst_VPA_D Reg ; S6=1 S9=0
Node 260 inst_VPA_SYNC Reg ; S6=0 S9=0
Node 257 inst_CLK_000_D0 Reg ; S6=1 S9=1
Node 193 inst_CLK_000_D1 Reg ; S6=1 S9=0
Node 254 inst_CLK_000_D2 Reg ; S6=1 S9=1
Node 101 inst_CLK_OUT_PRE Reg ; S6=1 S9=1
Node 263 SM_AMIGA_6_ Reg ; S6=1 S9=0
Node 176 cpu_est_2_ Reg ; S6=1 S9=0
Node 182 CLK_REF_0_ Lat ; S6=0 S9=0
Node 128 CLK_REF_1_ Lat ; S6=1 S9=0
Node 277 SM_AMIGA_7_ Reg ; S6=1 S9=1
Node 133 SM_AMIGA_4_ Reg ; S6=0 S9=1
Node 145 SM_AMIGA_1_ Reg ; S6=0 S9=1
Node 119 CLK_CNT_0_ Reg ; S6=1 S9=1
Node 113 CLK_CNT_1_ Reg ; S6=1 S9=1
Node 259 SM_AMIGA_3_ Reg ; S6=1 S9=0
Node 248 SM_AMIGA_5_ Reg ; S6=1 S9=0
Node 253 SM_AMIGA_2_ Reg ; S6=1 S9=0
Node 283 SM_AMIGA_0_ Reg ; S6=0 S9=1
; Unused Pins & Nodes
; -> None Found.

File diff suppressed because it is too large Load Diff

View File

@ -12,7 +12,7 @@ Project_Summary
Project Name : 68030_tk
Project Path : C:\Users\Matze\Documents\GitHub\68030tk\Logic
Project Fitted on : Sun May 18 21:01:51 2014
Project Fitted on : Thu May 22 14:56:14 2014
Device : M4A5-128/64
Package : 100TQFP
@ -40,8 +40,8 @@ Design_Summary
Total Input Pins : 35
Total Output Pins : 22
Total Bidir I/O Pins : 2
Total Flip-Flops : 39
Total Product Terms : 111
Total Flip-Flops : 40
Total Product Terms : 116
Total Reserved Pins : 0
Total Reserved Blocks : 0
@ -54,13 +54,13 @@ Dedicated Pins
Input-Only Pins 2 2 0 --> 100%
Clock/Input Pins 4 4 0 --> 100%
I/O Pins 64 53 11 --> 82%
Logic Macrocells 128 46 82 --> 35%
Logic Macrocells 128 47 81 --> 36%
Input Registers 64 0 64 --> 0%
Unusable Macrocells .. 0 ..
CSM Outputs/Total Block Inputs 264 120 144 --> 45%
Logical Product Terms 640 113 527 --> 17%
Product Term Clusters 128 39 89 --> 30%
Logical Product Terms 640 117 523 --> 18%
Product Term Clusters 128 41 87 --> 32%

Blocks_Resource_Summary
@ -71,14 +71,14 @@ Blocks_Resource_Summary
---------------------------------------------------------------------------------
Maximum 33 8 8 -- -- 16 80 16 -
---------------------------------------------------------------------------------
Block A 8 7 0 2 0 14 5 15 Hi
Block B 22 8 0 11 0 5 25 7 Hi
Block A 4 7 0 4 0 12 12 13 Hi
Block B 16 8 0 9 0 7 18 11 Hi
Block C 1 8 0 2 0 14 2 16 Hi
Block D 31 8 0 10 0 6 39 5 Hi
Block D 31 8 0 10 0 6 37 4 Hi
Block E 14 3 0 3 0 13 3 16 Hi
Block F 0 4 0 0 0 16 0 16 Hi
Block G 22 7 0 11 0 5 24 9 Hi
Block H 22 8 0 7 0 9 15 11 Hi
Block F 9 4 0 1 0 15 2 15 Hi
Block G 23 7 0 11 0 5 27 7 Hi
Block H 22 8 0 7 0 9 16 10 Hi
---------------------------------------------------------------------------------
<Note> Four rightmost columns above reflect last status of the placement process.
@ -287,7 +287,7 @@ Input_Signal_List
Pin r e O Input
Pin Blk PTs Type e s E Fanout Pwr Slew Signal
----------------------------------------------------------------------
82 H . I/O ---D--GH Hi Fast AS_030
82 H . I/O ---D-FGH Hi Fast AS_030
69 G . I/O ---D---- Hi Fast A_0_
96 A . I/O -------H Hi Fast A_16_
59 F . I/O -------H Hi Fast A_17_
@ -316,12 +316,12 @@ Pin Blk PTs Type e s E Fanout Pwr Slew Signal
71 G . I/O ---DE--- Hi Fast RW
70 G . I/O ---D---- Hi Fast SIZE_0_
79 H . I/O ---D---- Hi Fast SIZE_1_
11 . . Ck/I ------G- - Fast CLK_000
11 . . Ck/I -----FG- - Fast CLK_000
14 . . Ck/I ---D---H - Fast nEXP_SPACE
36 . . Ded -------H - Fast VPA
61 . . Ck/I AB-D--GH - Fast CLK_OSZI
36 . . Ded -B------ - Fast VPA
61 . . Ck/I AB-D-FGH - Fast CLK_OSZI
64 . . Ck/I ---D---H - Fast CLK_030
86 . . Ded AB-D--GH - Fast RST
86 . . Ded -B-D-FGH - Fast RST
----------------------------------------------------------------------
<Note> Power : Hi = High
@ -375,7 +375,7 @@ Bidir_Signal_List
Pin Blk PTs Type e s E Fanout Pwr Slew Signal
----------------------------------------------------------------------
81 H 2 DFF * * ---D---- Hi Fast DSACK_1_
30 D 1 DFF * * ------G- Hi Fast DTACK
30 D 1 DFF * * -----F-- Hi Fast DTACK
----------------------------------------------------------------------
<Note> Power : Hi = High
@ -391,11 +391,12 @@ Buried_Signal_List
Pin r e O Node
#Mc Blk PTs Type e s E Fanout Pwr Slew Signal
----------------------------------------------------------------------
B10 B 2 DFF * * -B------ Hi Fast CLK_CNT_0_
B6 B 2 DFF * * -B------ Hi Fast CLK_CNT_1_
D6 D 1 LAT * * -B------ Hi Fast CLK_REF_1_
A12 A 3 DFF * * A------- Hi Fast CLK_CNT_0_
A8 A 4 DFF * * A------- Hi Fast CLK_CNT_1_
D6 D 1 LAT * * A------- Hi Fast CLK_REF_0_
B2 B 1 LAT * * A------- Hi Fast CLK_REF_1_
D4 D 3 DFF * * ---D---- Hi - RN_AMIGA_BUS_ENABLE --> AMIGA_BUS_ENABLE
D9 D 2 DFF * * -B-D--G- Hi - RN_AS_000 --> AS_000
D9 D 2 DFF * * ---D---H Hi - RN_AS_000 --> AS_000
H4 H 2 DFF * * ---D---H Hi - RN_BGACK_030 --> BGACK_030
D1 D 3 DFF * * ---D---- Hi - RN_BG_000 --> BG_000
H8 H 2 DFF * * -------H Hi - RN_DSACK_1_ --> DSACK_1_
@ -407,25 +408,25 @@ Buried_Signal_List
D8 D 12 DFF * * ---D---- Hi - RN_LDS_000 --> LDS_000
D12 D 8 DFF * * ---D---- Hi - RN_UDS_000 --> UDS_000
D5 D 2 TFF * * ---D--G- Hi - RN_VMA --> VMA
G5 G 3 DFF * * -B----G- Hi Fast SM_AMIGA_0_
H5 H 3 DFF * * ------GH Hi Fast SM_AMIGA_1_
G9 G 3 DFF * * ------GH Hi Fast SM_AMIGA_2_
G2 G 3 DFF * * ------G- Hi Fast SM_AMIGA_3_
B9 B 2 DFF * * -B-D--G- Hi Fast SM_AMIGA_4_
B2 B 2 DFF * * -B------ Hi Fast SM_AMIGA_5_
A0 A 4 DFF * * AB-D---- Hi Fast SM_AMIGA_6_
B13 B 2 DFF * * AB-D---- Hi Fast SM_AMIGA_7_
D2 D 3 DFF * * ---D--G- Hi Fast cpu_est_0_
D13 D 4 TFF * * ---D--G- Hi Fast cpu_est_1_
G13 G 3 DFF * * ---D--G- Hi Fast cpu_est_2_
H1 H 4 DFF * * AB-D---H Hi Fast inst_AS_030_000_SYNC
G12 G 1 DFF * * AB-D--GH Hi Fast inst_CLK_000_D0
G8 G 1 DFF * * AB-D--GH Hi Fast inst_CLK_000_D1
G1 G 1 DFF * * AB-D---- Hi Fast inst_CLK_000_D2
B5 B 3 DFF * * -B----GH Hi Fast inst_CLK_OUT_PRE
G10 G 2 DFF * * ------G- Hi Fast inst_DTACK_SYNC
H9 H 1 DFF * * ---D--G- Hi Fast inst_VPA_D
G6 G 2 DFF * * ------G- Hi Fast inst_VPA_SYNC
H9 H 3 DFF * * -------H Hi Fast SM_AMIGA_0_
B13 B 3 DFF * * -B-----H Hi Fast SM_AMIGA_1_
G5 G 3 DFF * * -B----G- Hi Fast SM_AMIGA_2_
G9 G 3 DFF * * -----FG- Hi Fast SM_AMIGA_3_
B5 B 2 DFF * * -B-D--G- Hi Fast SM_AMIGA_4_
G2 G 2 DFF * * -B----G- Hi Fast SM_AMIGA_5_
G12 G 4 DFF * * ---D--G- Hi Fast SM_AMIGA_6_
H5 H 2 DFF * * ---D--GH Hi Fast SM_AMIGA_7_
G13 G 3 DFF * * ---D--G- Hi Fast cpu_est_0_
G1 G 4 TFF * * ---D--G- Hi Fast cpu_est_1_
D2 D 3 DFF * * ---D--G- Hi Fast cpu_est_2_
H1 H 4 DFF * * ---D--GH Hi Fast inst_AS_030_000_SYNC
G8 G 1 DFF * * -B-D-FGH Hi Fast inst_CLK_000_D0
D13 D 1 DFF * * -B-D--GH Hi Fast inst_CLK_000_D1
G6 G 1 DFF * * ---D--G- Hi Fast inst_CLK_000_D2
A0 A 4 TFF * * -B----GH Hi Fast inst_CLK_OUT_PRE
F0 F 2 DFF * * -----FG- Hi Fast inst_DTACK_SYNC
B9 B 1 DFF * * ---D-FG- Hi Fast inst_VPA_D
G10 G 2 DFF * * ------G- Hi Fast inst_VPA_SYNC
----------------------------------------------------------------------
<Note> Power : Hi = High
@ -446,41 +447,41 @@ Signal Source : Fanout List
FC_1_{ G}: FPU_CS{ H}inst_AS_030_000_SYNC{ H}
AS_030{ I}: DSACK_1_{ H} AS_000{ D} UDS_000{ D}
: LDS_000{ D} BG_000{ D} FPU_CS{ H}
:AMIGA_BUS_ENABLE{ D}inst_AS_030_000_SYNC{ H}inst_DTACK_SYNC{ G}
:AMIGA_BUS_ENABLE{ D}inst_AS_030_000_SYNC{ H}inst_DTACK_SYNC{ F}
: inst_VPA_SYNC{ G}
DS_030{ B}: UDS_000{ D} LDS_000{ D}
SIZE_0_{ H}: LDS_000{ D}
A_30_{ C}: CIIN{ E}
nEXP_SPACE{. }: DSACK_0_{ H} DSACK_1_{ H} BG_000{ D}
:AMIGA_BUS_ENABLE{ D}inst_AS_030_000_SYNC{ H}
SIZE_0_{ H}: LDS_000{ D}
BG_030{ D}: BG_000{ D}
A_30_{ C}: CIIN{ E}
A_29_{ C}: CIIN{ E}
A_28_{ D}: CIIN{ E}
BG_030{ D}: BG_000{ D}
A_27_{ D}: CIIN{ E}
A_26_{ D}: CIIN{ E}
A_25_{ D}: CIIN{ E}
BGACK_000{ E}: BGACK_030{ H} FPU_CS{ H}inst_AS_030_000_SYNC{ H}
A_24_{ D}: CIIN{ E}
A_27_{ D}: CIIN{ E}
CLK_030{. }: BG_000{ D} FPU_CS{ H}inst_AS_030_000_SYNC{ H}
A_26_{ D}: CIIN{ E}
CLK_000{. }:inst_DTACK_SYNC{ F} inst_VPA_SYNC{ G}inst_CLK_000_D0{ G}
A_25_{ D}: CIIN{ E}
A_24_{ D}: CIIN{ E}
A_23_{ I}: CIIN{ E}
CLK_000{. }:inst_DTACK_SYNC{ G} inst_VPA_SYNC{ G}inst_CLK_000_D0{ G}
A_22_{ I}: CIIN{ E}
A_21_{ B}: CIIN{ E}
A_20_{ B}: CIIN{ E}
A_19_{ B}: FPU_CS{ H}inst_AS_030_000_SYNC{ H}
A_18_{ B}: FPU_CS{ H}inst_AS_030_000_SYNC{ H}
A_17_{ G}: FPU_CS{ H}inst_AS_030_000_SYNC{ H}
VPA{. }: inst_VPA_D{ B}
A_16_{ B}: FPU_CS{ H}inst_AS_030_000_SYNC{ H}
VPA{. }: inst_VPA_D{ H}
RST{. }: IPL_030_2_{ B} DSACK_1_{ H} AS_000{ D}
: UDS_000{ D} LDS_000{ D} BG_000{ D}
: BGACK_030{ H} FPU_CS{ H} DTACK{ D}
: VMA{ D} RESET{ B}AMIGA_BUS_ENABLE{ D}
: IPL_030_1_{ B} IPL_030_0_{ B}inst_AS_030_000_SYNC{ H}
:inst_DTACK_SYNC{ G} inst_VPA_SYNC{ G} SM_AMIGA_6_{ A}
: CLK_REF_1_{ D} SM_AMIGA_7_{ B} SM_AMIGA_4_{ B}
: SM_AMIGA_1_{ H} SM_AMIGA_3_{ G} SM_AMIGA_5_{ B}
: SM_AMIGA_2_{ G} SM_AMIGA_0_{ G}
:inst_DTACK_SYNC{ F} inst_VPA_SYNC{ G} SM_AMIGA_6_{ G}
: CLK_REF_0_{ D} CLK_REF_1_{ B} SM_AMIGA_7_{ H}
: SM_AMIGA_4_{ B} SM_AMIGA_1_{ B} SM_AMIGA_3_{ G}
: SM_AMIGA_5_{ G} SM_AMIGA_2_{ G} SM_AMIGA_0_{ H}
RW{ H}:AMIGA_BUS_DATA_DIR{ E} UDS_000{ D} LDS_000{ D}
A_0_{ H}: UDS_000{ D} LDS_000{ D}
IPL_1_{ G}: IPL_030_1_{ B}
@ -490,62 +491,63 @@ RN_IPL_030_2_{ C}: IPL_030_2_{ B}
DSACK_1_{ I}: DTACK{ D}
RN_DSACK_1_{ I}: DSACK_1_{ H}
RN_AS_000{ E}: AS_000{ D} DTACK{ D} VMA{ D}
: SM_AMIGA_7_{ B} SM_AMIGA_0_{ G}
: SM_AMIGA_7_{ H} SM_AMIGA_0_{ H}
RN_UDS_000{ E}: UDS_000{ D}
RN_LDS_000{ E}: LDS_000{ D}
RN_BG_000{ E}: BG_000{ D}
RN_BGACK_030{ I}: AS_000{ D} UDS_000{ D} LDS_000{ D}
: BGACK_030{ H} DTACK{ D}
RN_FPU_CS{ I}: BERR{ E} AVEC_EXP{ C} FPU_CS{ H}
DTACK{ E}:inst_DTACK_SYNC{ G}
RN_E{ H}: E{ G} VMA{ D} cpu_est_1_{ D}
: inst_VPA_SYNC{ G} cpu_est_2_{ G}
DTACK{ E}:inst_DTACK_SYNC{ F}
RN_E{ H}: E{ G} VMA{ D} cpu_est_1_{ G}
: inst_VPA_SYNC{ G} cpu_est_2_{ D}
RN_VMA{ E}: VMA{ D} inst_VPA_SYNC{ G}
RN_AMIGA_BUS_ENABLE{ E}:AMIGA_BUS_ENABLE{ D}
RN_IPL_030_1_{ C}: IPL_030_1_{ B}
RN_IPL_030_0_{ C}: IPL_030_0_{ B}
cpu_est_0_{ E}: E{ G} VMA{ D} cpu_est_0_{ D}
: cpu_est_1_{ D} inst_VPA_SYNC{ G} cpu_est_2_{ G}
cpu_est_1_{ E}: E{ G} VMA{ D} cpu_est_1_{ D}
: inst_VPA_SYNC{ G} cpu_est_2_{ G}
cpu_est_0_{ H}: E{ G} VMA{ D} cpu_est_0_{ G}
: cpu_est_1_{ G} inst_VPA_SYNC{ G} cpu_est_2_{ D}
cpu_est_1_{ H}: E{ G} VMA{ D} cpu_est_1_{ G}
: inst_VPA_SYNC{ G} cpu_est_2_{ D}
inst_AS_030_000_SYNC{ I}: AS_000{ D} UDS_000{ D} LDS_000{ D}
:inst_AS_030_000_SYNC{ H} SM_AMIGA_6_{ A} SM_AMIGA_5_{ B}
inst_DTACK_SYNC{ H}:inst_DTACK_SYNC{ G} SM_AMIGA_3_{ G} SM_AMIGA_2_{ G}
inst_VPA_D{ I}: VMA{ D}inst_DTACK_SYNC{ G} inst_VPA_SYNC{ G}
:inst_AS_030_000_SYNC{ H} SM_AMIGA_6_{ G} SM_AMIGA_5_{ G}
inst_DTACK_SYNC{ G}:inst_DTACK_SYNC{ F} SM_AMIGA_3_{ G} SM_AMIGA_2_{ G}
inst_VPA_D{ C}: VMA{ D}inst_DTACK_SYNC{ F} inst_VPA_SYNC{ G}
inst_VPA_SYNC{ H}: inst_VPA_SYNC{ G} SM_AMIGA_3_{ G} SM_AMIGA_2_{ G}
inst_CLK_000_D0{ H}: IPL_030_2_{ B} DSACK_1_{ H} BGACK_030{ H}
: E{ G} VMA{ D} IPL_030_1_{ B}
: IPL_030_0_{ B} cpu_est_0_{ D} cpu_est_1_{ D}
:inst_DTACK_SYNC{ G} inst_VPA_SYNC{ G}inst_CLK_000_D1{ G}
: SM_AMIGA_6_{ A} cpu_est_2_{ G} SM_AMIGA_7_{ B}
: SM_AMIGA_4_{ B} SM_AMIGA_1_{ H} SM_AMIGA_3_{ G}
: SM_AMIGA_5_{ B} SM_AMIGA_2_{ G} SM_AMIGA_0_{ G}
inst_CLK_000_D1{ H}: IPL_030_2_{ B} AS_000{ D} UDS_000{ D}
: IPL_030_0_{ B} cpu_est_0_{ G} cpu_est_1_{ G}
:inst_DTACK_SYNC{ F} inst_VPA_SYNC{ G}inst_CLK_000_D1{ D}
: SM_AMIGA_6_{ G} cpu_est_2_{ D} SM_AMIGA_7_{ H}
: SM_AMIGA_4_{ B} SM_AMIGA_1_{ B} SM_AMIGA_3_{ G}
: SM_AMIGA_5_{ G} SM_AMIGA_2_{ G} SM_AMIGA_0_{ H}
inst_CLK_000_D1{ E}: IPL_030_2_{ B} AS_000{ D} UDS_000{ D}
: LDS_000{ D} BGACK_030{ H} E{ G}
: IPL_030_1_{ B} IPL_030_0_{ B} cpu_est_0_{ D}
: cpu_est_1_{ D}inst_CLK_000_D2{ G} SM_AMIGA_6_{ A}
: cpu_est_2_{ G} SM_AMIGA_5_{ B}
: IPL_030_1_{ B} IPL_030_0_{ B} cpu_est_0_{ G}
: cpu_est_1_{ G}inst_CLK_000_D2{ G} SM_AMIGA_6_{ G}
: cpu_est_2_{ D} SM_AMIGA_5_{ G}
inst_CLK_000_D2{ H}: AS_000{ D} UDS_000{ D} LDS_000{ D}
: SM_AMIGA_6_{ A} SM_AMIGA_5_{ B}
inst_CLK_OUT_PRE{ C}: CLK_DIV_OUT{ G} DSACK_1_{ H} CLK_EXP{ B}
:inst_CLK_OUT_PRE{ B} SM_AMIGA_1_{ H} SM_AMIGA_0_{ G}
SM_AMIGA_6_{ B}: AS_000{ D} UDS_000{ D} LDS_000{ D}
: BG_000{ D}AMIGA_BUS_ENABLE{ D} SM_AMIGA_6_{ A}
: SM_AMIGA_5_{ B}
cpu_est_2_{ H}: E{ G} VMA{ D} cpu_est_1_{ D}
: inst_VPA_SYNC{ G} cpu_est_2_{ G}
CLK_REF_1_{ E}:inst_CLK_OUT_PRE{ B} CLK_CNT_0_{ B} CLK_CNT_1_{ B}
SM_AMIGA_7_{ C}: BG_000{ D} SM_AMIGA_6_{ A} SM_AMIGA_7_{ B}
: SM_AMIGA_6_{ G} SM_AMIGA_5_{ G}
inst_CLK_OUT_PRE{ B}: CLK_DIV_OUT{ G} DSACK_1_{ H} CLK_EXP{ B}
: SM_AMIGA_1_{ B} SM_AMIGA_0_{ H}
SM_AMIGA_6_{ H}: AS_000{ D} UDS_000{ D} LDS_000{ D}
: BG_000{ D}AMIGA_BUS_ENABLE{ D} SM_AMIGA_6_{ G}
: SM_AMIGA_5_{ G}
cpu_est_2_{ E}: E{ G} VMA{ D} cpu_est_1_{ G}
: inst_VPA_SYNC{ G} cpu_est_2_{ D}
CLK_REF_0_{ E}:inst_CLK_OUT_PRE{ A} CLK_CNT_0_{ A} CLK_CNT_1_{ A}
CLK_REF_1_{ C}:inst_CLK_OUT_PRE{ A} CLK_CNT_0_{ A} CLK_CNT_1_{ A}
SM_AMIGA_7_{ I}: BG_000{ D} SM_AMIGA_6_{ G} SM_AMIGA_7_{ H}
SM_AMIGA_4_{ C}: UDS_000{ D} LDS_000{ D} SM_AMIGA_4_{ B}
: SM_AMIGA_3_{ G}
SM_AMIGA_1_{ I}: DSACK_1_{ H} SM_AMIGA_1_{ H} SM_AMIGA_0_{ G}
CLK_CNT_0_{ C}:inst_CLK_OUT_PRE{ B} CLK_CNT_0_{ B} CLK_CNT_1_{ B}
CLK_CNT_1_{ C}:inst_CLK_OUT_PRE{ B} CLK_CNT_0_{ B} CLK_CNT_1_{ B}
SM_AMIGA_3_{ H}:inst_DTACK_SYNC{ G} inst_VPA_SYNC{ G} SM_AMIGA_3_{ G}
SM_AMIGA_1_{ C}: DSACK_1_{ H} SM_AMIGA_1_{ B} SM_AMIGA_0_{ H}
CLK_CNT_0_{ B}:inst_CLK_OUT_PRE{ A} CLK_CNT_0_{ A} CLK_CNT_1_{ A}
CLK_CNT_1_{ B}:inst_CLK_OUT_PRE{ A} CLK_CNT_0_{ A} CLK_CNT_1_{ A}
SM_AMIGA_3_{ H}:inst_DTACK_SYNC{ F} inst_VPA_SYNC{ G} SM_AMIGA_3_{ G}
: SM_AMIGA_2_{ G}
SM_AMIGA_5_{ C}: SM_AMIGA_4_{ B} SM_AMIGA_5_{ B}
SM_AMIGA_2_{ H}: SM_AMIGA_1_{ H} SM_AMIGA_2_{ G}
SM_AMIGA_0_{ H}: SM_AMIGA_7_{ B} SM_AMIGA_0_{ G}
SM_AMIGA_5_{ H}: SM_AMIGA_4_{ B} SM_AMIGA_5_{ G}
SM_AMIGA_2_{ H}: SM_AMIGA_1_{ B} SM_AMIGA_2_{ G}
SM_AMIGA_0_{ I}: SM_AMIGA_7_{ H} SM_AMIGA_0_{ H}
-----------------------------------------------------------------------------
<Note> {.} : Indicates block location of signal
@ -556,13 +558,15 @@ Set_Reset_Summary
Block A
block level set pt : GND
block level reset pt : !RST
block level reset pt : GND
Equations :
| | |Block|Block| Signal
| Reg |Mode |Set |Reset| Name
+-----+-----+-----+-----+------------------------
| | | | | AVEC
| * | S | BS | BR | SM_AMIGA_6_
| * | S | BS | BR | inst_CLK_OUT_PRE
| * | S | BS | BR | CLK_CNT_1_
| * | S | BS | BR | CLK_CNT_0_
| | | | | DS_030
| | | | | A_19_
| | | | | A_16_
@ -583,15 +587,13 @@ Equations :
| * | S | BS | BR | IPL_030_1_
| * | A | | | CLK_EXP
| * | A | | | RESET
| * | A | | | inst_CLK_OUT_PRE
| * | S | BR | BS | SM_AMIGA_4_
| * | S | BS | BR | SM_AMIGA_7_
| * | A | | | inst_VPA_D
| * | S | BR | BS | SM_AMIGA_1_
| * | S | BS | BR | RN_IPL_030_0_
| * | S | BS | BR | RN_IPL_030_1_
| * | S | BS | BR | RN_IPL_030_2_
| * | S | BR | BS | SM_AMIGA_5_
| * | A | | | CLK_CNT_1_
| * | A | | | CLK_CNT_0_
| * | A | | | CLK_REF_1_
| | | | | A_29_
| | | | | A_30_
| | | | | A_31_
@ -628,15 +630,15 @@ Equations :
| * | S | BS | BR | BG_000
| * | S | BS | BR | VMA
| * | S | BS | BR | AS_000
| * | S | BS | BR | RN_AS_000
| * | A | | | cpu_est_1_
| * | A | | | cpu_est_0_
| * | A | | | inst_CLK_000_D1
| * | A | | | cpu_est_2_
| * | S | BS | BR | RN_VMA
| * | S | BS | BR | RN_AS_000
| * | S | BS | BR | RN_LDS_000
| * | S | BS | BR | RN_UDS_000
| * | A | | | RN_AMIGA_BUS_ENABLE
| * | S | BS | BR | RN_BG_000
| * | A | | | CLK_REF_1_
| * | A | | | CLK_REF_0_
| | | | | BGACK_000
@ -653,12 +655,13 @@ Equations :
Block F
block level set pt :
block level reset pt :
block level set pt : !RST
block level reset pt : GND
Equations :
| | |Block|Block| Signal
| Reg |Mode |Set |Reset| Name
+-----+-----+-----+-----+------------------------
| * | S | BS | BR | inst_DTACK_SYNC
| | | | | A_17_
| | | | | FC_1_
| | | | | FC_0_
@ -674,16 +677,16 @@ Equations :
+-----+-----+-----+-----+------------------------
| * | S | BS | BR | E
| * | S | BS | BR | CLK_DIV_OUT
| * | S | BS | BR | inst_CLK_000_D1
| * | S | BS | BR | inst_CLK_000_D0
| * | S | BS | BR | inst_CLK_000_D2
| * | A | | | SM_AMIGA_6_
| * | S | BS | BR | cpu_est_1_
| * | S | BS | BR | RN_E
| * | A | | | SM_AMIGA_0_
| * | A | | | SM_AMIGA_2_
| * | S | BS | BR | cpu_est_2_
| * | A | | | SM_AMIGA_3_
| * | S | BS | BR | cpu_est_0_
| * | A | | | SM_AMIGA_5_
| * | S | BS | BR | inst_CLK_000_D2
| * | A | | | inst_VPA_SYNC
| * | A | | | inst_DTACK_SYNC
| | | | | RW
| | | | | SIZE_0_
| | | | | A_0_
@ -704,9 +707,9 @@ Equations :
| | | | | DSACK_0_
| * | S | BS | BR | inst_AS_030_000_SYNC
| * | S | BS | BR | RN_FPU_CS
| * | S | BR | BS | SM_AMIGA_1_
| * | S | BS | BR | SM_AMIGA_7_
| * | S | BS | BR | RN_BGACK_030
| * | A | | | inst_VPA_D
| * | S | BR | BS | SM_AMIGA_0_
| * | S | BS | BR | RN_DSACK_1_
| | | | | AS_030
| | | | | A_22_
@ -728,22 +731,22 @@ BLOCK_A_LOGIC_ARRAY_FANIN
~~~~~~~~~~~~~~~~~~~~~~~~~
CSM Signal Source CSM Signal Source
------------------------------------ ------------------------------------
mx A0 RST pin 86 mx A17 ... ...
mx A0 ... ... mx A17 ... ...
mx A1 ... ... mx A18 ... ...
mx A2 ... ... mx A19 ... ...
mx A3 ... ... mx A20 ... ...
mx A4 CLK_OSZI pin 61 mx A21 ... ...
mx A3 CLK_CNT_1_ mcell A8 mx A20 ... ...
mx A4 CLK_REF_0_ mcell D6 mx A21 ... ...
mx A5 ... ... mx A22 ... ...
mx A6 ... ... mx A23 ... ...
mx A7 inst_CLK_000_D0 mcell G12 mx A24 inst_CLK_000_D2 mcell G1
mx A7 ... ... mx A24 ... ...
mx A8 ... ... mx A25 ... ...
mx A9 ... ... mx A26 ... ...
mx A10 SM_AMIGA_7_ mcell B13 mx A27 ... ...
mx A9 CLK_CNT_0_ mcell A12 mx A26 ... ...
mx A10 CLK_REF_1_ mcell B2 mx A27 ... ...
mx A11 ... ... mx A28 ... ...
mx A12inst_AS_030_000_SYNC mcell H1 mx A29 ... ...
mx A13 inst_CLK_000_D1 mcell G8 mx A30 ... ...
mx A12 ... ... mx A29 ... ...
mx A13 ... ... mx A30 ... ...
mx A14 ... ... mx A31 ... ...
mx A15 SM_AMIGA_6_ mcell A0 mx A32 ... ...
mx A15 ... ... mx A32 ... ...
mx A16 ... ...
----------------------------------------------------------------------------
@ -753,22 +756,22 @@ BLOCK_B_LOGIC_ARRAY_FANIN
CSM Signal Source CSM Signal Source
------------------------------------ ------------------------------------
mx B0 IPL_0_ pin 67 mx B17 ... ...
mx B1 RN_IPL_030_1_ mcell B12 mx B18 ... ...
mx B2 CLK_CNT_0_ mcell B10 mx B19 ... ...
mx B1 inst_CLK_000_D1 mcell D13 mx B18 ... ...
mx B2 ... ... mx B19 ... ...
mx B3 IPL_1_ pin 56 mx B20 ... ...
mx B4 IPL_2_ pin 68 mx B21 RST pin 86
mx B5 ... ... mx B22 SM_AMIGA_0_ mcell G5
mx B6 SM_AMIGA_4_ mcell B9 mx B23 ... ...
mx B7 RN_AS_000 mcell D9 mx B24 inst_CLK_000_D2 mcell G1
mx B5 ... ... mx B22 SM_AMIGA_2_ mcell G5
mx B6 ... ... mx B23 SM_AMIGA_5_ mcell G2
mx B7 ... ... mx B24 ... ...
mx B8 RN_IPL_030_0_ mcell B8 mx B25 ... ...
mx B9 ... ... mx B26 ... ...
mx B10 SM_AMIGA_5_ mcell B2 mx B27 RN_IPL_030_2_ mcell B4
mx B11 CLK_CNT_1_ mcell B6 mx B28 SM_AMIGA_7_ mcell B13
mx B12inst_AS_030_000_SYNC mcell H1 mx B29 CLK_OSZI pin 61
mx B13 inst_CLK_000_D1 mcell G8 mx B30 ... ...
mx B14 inst_CLK_000_D0 mcell G12 mx B31inst_CLK_OUT_PRE mcell B5
mx B15 SM_AMIGA_6_ mcell A0 mx B32 ... ...
mx B16 CLK_REF_1_ mcell D6
mx B10 VPA pin 36 mx B27 RN_IPL_030_2_ mcell B4
mx B11 ... ... mx B28 SM_AMIGA_1_ mcell B13
mx B12 RN_IPL_030_1_ mcell B12 mx B29 CLK_OSZI pin 61
mx B13 inst_CLK_000_D0 mcell G8 mx B30 ... ...
mx B14 ... ... mx B31 SM_AMIGA_4_ mcell B5
mx B15inst_CLK_OUT_PRE mcell A0 mx B32 ... ...
mx B16 ... ...
----------------------------------------------------------------------------
@ -800,22 +803,22 @@ BLOCK_D_LOGIC_ARRAY_FANIN
~~~~~~~~~~~~~~~~~~~~~~~~~
CSM Signal Source CSM Signal Source
------------------------------------ ------------------------------------
mx D0 RST pin 86 mx D17 DSACK_1_ pin 81
mx D1 cpu_est_1_ mcell D13 mx D18 A_0_ pin 69
mx D2 RN_BG_000 mcell D1 mx D19inst_AS_030_000_SYNC mcell H1
mx D3 cpu_est_0_ mcell D2 mx D20 CLK_030 pin 64
mx D4 SM_AMIGA_6_ mcell A0 mx D21 RN_E mcell G4
mx D5 DS_030 pin 98 mx D22 BG_030 pin 21
mx D6 SIZE_1_ pin 79 mx D23 RN_BGACK_030 mcell H4
mx D7 inst_CLK_000_D0 mcell G12 mx D24 RN_VMA mcell D5
mx D8 RW pin 71 mx D25 cpu_est_2_ mcell G13
mx D0 SIZE_0_ pin 70 mx D17 DSACK_1_ pin 81
mx D1 inst_CLK_000_D1 mcell D13 mx D18 DS_030 pin 98
mx D2 RN_E mcell G4 mx D19inst_AS_030_000_SYNC mcell H1
mx D3 cpu_est_2_ mcell D2 mx D20 RN_BGACK_030 mcell H4
mx D4 CLK_030 pin 64 mx D21 RST pin 86
mx D5 nEXP_SPACE pin 14 mx D22 BG_030 pin 21
mx D6 SIZE_1_ pin 79 mx D23 inst_CLK_000_D2 mcell G6
mx D7 SM_AMIGA_6_ mcell G12 mx D24 RN_VMA mcell D5
mx D8 RW pin 71 mx D25 cpu_est_0_ mcell G13
mx D9 AS_030 pin 82 mx D26 ... ...
mx D10RN_AMIGA_BUS_ENABLE mcell D4 mx D27 inst_VPA_D mcell H9
mx D11 RN_UDS_000 mcell D12 mx D28 SM_AMIGA_7_ mcell B13
mx D10 inst_CLK_000_D0 mcell G8 mx D27 RN_BG_000 mcell D1
mx D11 RN_UDS_000 mcell D12 mx D28 SM_AMIGA_4_ mcell B5
mx D12 RN_AS_000 mcell D9 mx D29 CLK_OSZI pin 61
mx D13 inst_CLK_000_D1 mcell G8 mx D30 ... ...
mx D14 SIZE_0_ pin 70 mx D31 SM_AMIGA_4_ mcell B9
mx D15 nEXP_SPACE pin 14 mx D32 inst_CLK_000_D2 mcell G1
mx D13 SM_AMIGA_7_ mcell H5 mx D30 ... ...
mx D14RN_AMIGA_BUS_ENABLE mcell D4 mx D31 inst_VPA_D mcell B9
mx D15 A_0_ pin 69 mx D32 cpu_est_1_ mcell G1
mx D16 RN_LDS_000 mcell D8
----------------------------------------------------------------------------
@ -844,27 +847,51 @@ mx E16 ... ...
----------------------------------------------------------------------------
BLOCK_F_LOGIC_ARRAY_FANIN
~~~~~~~~~~~~~~~~~~~~~~~~~
CSM Signal Source CSM Signal Source
------------------------------------ ------------------------------------
mx F0 RST pin 86 mx F17 ... ...
mx F1 ... ... mx F18 ... ...
mx F2 ... ... mx F19 ... ...
mx F3 CLK_000 pin 11 mx F20 ... ...
mx F4 CLK_OSZI pin 61 mx F21 ... ...
mx F5 inst_DTACK_SYNC mcell F0 mx F22 ... ...
mx F6 inst_VPA_D mcell B9 mx F23 ... ...
mx F7 ... ... mx F24 ... ...
mx F8 ... ... mx F25 ... ...
mx F9 AS_030 pin 82 mx F26 ... ...
mx F10 SM_AMIGA_3_ mcell G9 mx F27 ... ...
mx F11 ... ... mx F28 ... ...
mx F12 ... ... mx F29 ... ...
mx F13 inst_CLK_000_D0 mcell G8 mx F30 ... ...
mx F14 DTACK pin 30 mx F31 ... ...
mx F15 ... ... mx F32 ... ...
mx F16 ... ...
----------------------------------------------------------------------------
BLOCK_G_LOGIC_ARRAY_FANIN
~~~~~~~~~~~~~~~~~~~~~~~~~
CSM Signal Source CSM Signal Source
------------------------------------ ------------------------------------
mx G0 RST pin 86 mx G17 ... ...
mx G1 cpu_est_1_ mcell D13 mx G18 ... ...
mx G2 RN_E mcell G4 mx G19 AS_030 pin 82
mx G1 inst_CLK_000_D1 mcell D13 mx G18 ... ...
mx G2 RN_E mcell G4 mx G19inst_AS_030_000_SYNC mcell H1
mx G3 CLK_000 pin 11 mx G20 ... ...
mx G4 SM_AMIGA_1_ mcell H5 mx G21 CLK_OSZI pin 61
mx G5 inst_DTACK_SYNC mcell G10 mx G22 SM_AMIGA_0_ mcell G5
mx G6 SM_AMIGA_4_ mcell B9 mx G23 inst_VPA_SYNC mcell G6
mx G7 RN_VMA mcell D5 mx G24 ... ...
mx G8 ... ... mx G25 RN_AS_000 mcell D9
mx G9 DTACK pin 30 mx G26 ... ...
mx G10 SM_AMIGA_2_ mcell G9 mx G27 ... ...
mx G11 inst_VPA_D mcell H9 mx G28inst_CLK_OUT_PRE mcell B5
mx G12 cpu_est_2_ mcell G13 mx G29 ... ...
mx G13 inst_CLK_000_D1 mcell G8 mx G30 ... ...
mx G14 inst_CLK_000_D0 mcell G12 mx G31 SM_AMIGA_3_ mcell G2
mx G15 ... ... mx G32 ... ...
mx G16 cpu_est_0_ mcell D2
mx G4 CLK_OSZI pin 61 mx G21 ... ...
mx G5 inst_VPA_SYNC mcell G10 mx G22 SM_AMIGA_2_ mcell G5
mx G6 inst_VPA_D mcell B9 mx G23 inst_CLK_000_D2 mcell G6
mx G7 RN_VMA mcell D5 mx G24 cpu_est_1_ mcell G1
mx G8 ... ... mx G25 inst_DTACK_SYNC mcell F0
mx G9 cpu_est_0_ mcell G13 mx G26 ... ...
mx G10 inst_CLK_000_D0 mcell G8 mx G27 ... ...
mx G11 ... ... mx G28 SM_AMIGA_4_ mcell B5
mx G12 SM_AMIGA_3_ mcell G9 mx G29 ... ...
mx G13 SM_AMIGA_7_ mcell H5 mx G30 ... ...
mx G14 SM_AMIGA_6_ mcell G12 mx G31 SM_AMIGA_5_ mcell G2
mx G15inst_CLK_OUT_PRE mcell A0 mx G32 AS_030 pin 82
mx G16 cpu_est_2_ mcell D2
----------------------------------------------------------------------------
@ -873,21 +900,21 @@ BLOCK_H_LOGIC_ARRAY_FANIN
CSM Signal Source CSM Signal Source
------------------------------------ ------------------------------------
mx H0 RST pin 86 mx H17 A_18_ pin 95
mx H1 FC_1_ pin 58 mx H18 BGACK_000 pin 28
mx H1 FC_1_ pin 58 mx H18 ... ...
mx H2 ... ... mx H19inst_AS_030_000_SYNC mcell H1
mx H3 RN_DSACK_1_ mcell H8 mx H20 CLK_030 pin 64
mx H4 SM_AMIGA_1_ mcell H5 mx H21 CLK_OSZI pin 61
mx H4 BGACK_000 pin 28 mx H21 inst_CLK_000_D1 mcell D13
mx H5 nEXP_SPACE pin 14 mx H22 ... ...
mx H6 FC_0_ pin 57 mx H23 RN_BGACK_030 mcell H4
mx H7 inst_CLK_000_D0 mcell G12 mx H24 ... ...
mx H7 RN_AS_000 mcell D9 mx H24 ... ...
mx H8 A_17_ pin 59 mx H25 ... ...
mx H9 AS_030 pin 82 mx H26 ... ...
mx H10 inst_CLK_000_D1 mcell G8 mx H27 SM_AMIGA_2_ mcell G9
mx H11 A_16_ pin 96 mx H28inst_CLK_OUT_PRE mcell B5
mx H10 SM_AMIGA_1_ mcell B13 mx H27 SM_AMIGA_0_ mcell H9
mx H11 A_16_ pin 96 mx H28 inst_CLK_000_D0 mcell G8
mx H12 A_19_ pin 97 mx H29 ... ...
mx H13 VPA pin 36 mx H30 RN_FPU_CS mcell H0
mx H13 SM_AMIGA_7_ mcell H5 mx H30 RN_FPU_CS mcell H0
mx H14 ... ... mx H31 ... ...
mx H15 ... ... mx H32 ... ...
mx H15inst_CLK_OUT_PRE mcell A0 mx H32 ... ...
mx H16 ... ...
----------------------------------------------------------------------------
@ -986,8 +1013,7 @@ PostFit_Equations
1 1 1 Node inst_CLK_000_D1.C
1 1 1 Node inst_CLK_000_D2.D
1 1 1 Node inst_CLK_000_D2.C
3 3 1 NodeX1 inst_CLK_OUT_PRE.D.X1
1 2 1 NodeX2 inst_CLK_OUT_PRE.D.X2
4 4 1 Node inst_CLK_OUT_PRE.T
1 1 1 Node inst_CLK_OUT_PRE.C
1 1 1 Node SM_AMIGA_6_.AR
4 6 1 Node SM_AMIGA_6_.D
@ -995,6 +1021,9 @@ PostFit_Equations
3 6 1 NodeX1 cpu_est_2_.D.X1
1 1 1 NodeX2 cpu_est_2_.D.X2
1 1 1 Node cpu_est_2_.C
0 0 1 Node CLK_REF_0_.D
1 1 1 Node CLK_REF_0_.AP
0 0 1 Node CLK_REF_0_.LH
1 1 1 Node CLK_REF_1_.AR
0 0 1 Node CLK_REF_1_.D
0 0 1 Node CLK_REF_1_.LH
@ -1007,9 +1036,9 @@ PostFit_Equations
1 1 1 Node SM_AMIGA_1_.AR
3 4 1 Node SM_AMIGA_1_.D
1 1 1 Node SM_AMIGA_1_.C
2 3 1 Node CLK_CNT_0_.D
3 4 1 Node CLK_CNT_0_.D
1 1 1 Node CLK_CNT_0_.C
2 3 1 Node CLK_CNT_1_.D
4 4 1 Node CLK_CNT_1_.D
1 1 1 Node CLK_CNT_1_.C
1 1 1 Node SM_AMIGA_3_.AR
3 5 1 Node SM_AMIGA_3_.D
@ -1024,9 +1053,9 @@ PostFit_Equations
3 5 1 Node SM_AMIGA_0_.D
1 1 1 Node SM_AMIGA_0_.C
=========
181 P-Term Total: 181
185 P-Term Total: 185
Total Pins: 59
Total Nodes: 22
Total Nodes: 23
Average P-Term/Output: 2
@ -1058,8 +1087,8 @@ DSACK_0_ = (1);
DSACK_0_.OE = (nEXP_SPACE);
IPL_030_2_.D = (IPL_030_2_.Q & !inst_CLK_000_D0.Q
# IPL_030_2_.Q & inst_CLK_000_D1.Q
IPL_030_2_.D = (!inst_CLK_000_D0.Q & IPL_030_2_.Q
# inst_CLK_000_D1.Q & IPL_030_2_.Q
# IPL_2_ & inst_CLK_000_D0.Q & !inst_CLK_000_D1.Q);
IPL_030_2_.AP = (!RST);
@ -1243,11 +1272,10 @@ inst_CLK_000_D2.D = (inst_CLK_000_D1.Q);
inst_CLK_000_D2.C = (CLK_OSZI);
inst_CLK_OUT_PRE.D.X1 = (inst_CLK_OUT_PRE.Q & CLK_CNT_0_.Q
# inst_CLK_OUT_PRE.Q & CLK_CNT_1_.Q
# !inst_CLK_OUT_PRE.Q & !CLK_CNT_0_.Q & !CLK_CNT_1_.Q);
inst_CLK_OUT_PRE.D.X2 = (CLK_REF_1_.Q & !CLK_CNT_0_.Q);
inst_CLK_OUT_PRE.T = (CLK_REF_0_.Q & CLK_REF_1_.Q & CLK_CNT_0_.Q & CLK_CNT_1_.Q
# !CLK_REF_0_.Q & CLK_REF_1_.Q & !CLK_CNT_0_.Q & CLK_CNT_1_.Q
# CLK_REF_0_.Q & !CLK_REF_1_.Q & CLK_CNT_0_.Q & !CLK_CNT_1_.Q
# !CLK_REF_0_.Q & !CLK_REF_1_.Q & !CLK_CNT_0_.Q & !CLK_CNT_1_.Q);
inst_CLK_OUT_PRE.C = (CLK_OSZI);
@ -1268,6 +1296,12 @@ cpu_est_2_.D.X2 = (cpu_est_2_.Q);
cpu_est_2_.C = (CLK_OSZI);
CLK_REF_0_.D = (0);
CLK_REF_0_.AP = (!RST);
CLK_REF_0_.LH = (0);
CLK_REF_1_.AR = (!RST);
CLK_REF_1_.D = (0);
@ -1296,13 +1330,16 @@ SM_AMIGA_1_.D = (inst_CLK_000_D0.Q & SM_AMIGA_1_.Q
SM_AMIGA_1_.C = (CLK_OSZI);
CLK_CNT_0_.D = (!CLK_REF_1_.Q & !CLK_CNT_0_.Q & CLK_CNT_1_.Q
CLK_CNT_0_.D = (CLK_REF_0_.Q & !CLK_CNT_0_.Q
# !CLK_REF_1_.Q & !CLK_CNT_0_.Q & CLK_CNT_1_.Q
# CLK_REF_1_.Q & !CLK_CNT_0_.Q & !CLK_CNT_1_.Q);
CLK_CNT_0_.C = (CLK_OSZI);
CLK_CNT_1_.D = (CLK_CNT_0_.Q & !CLK_CNT_1_.Q
# !CLK_REF_1_.Q & !CLK_CNT_0_.Q & CLK_CNT_1_.Q);
CLK_CNT_1_.D = (CLK_REF_0_.Q & !CLK_CNT_0_.Q & CLK_CNT_1_.Q
# !CLK_REF_1_.Q & !CLK_CNT_0_.Q & CLK_CNT_1_.Q
# !CLK_REF_0_.Q & CLK_CNT_0_.Q & !CLK_CNT_1_.Q
# CLK_REF_1_.Q & CLK_CNT_0_.Q & !CLK_CNT_1_.Q);
CLK_CNT_1_.C = (CLK_OSZI);

View File

@ -74,6 +74,7 @@ inst_AS_030_000_SYNC 1 1 .. .. .. .. 1 1
inst_CLK_OUT_PRE .. .. .. .. .. .. 1 1
SM_AMIGA_6_ .. .. .. .. .. .. 1 1
cpu_est_2_ .. .. .. .. .. .. 1 1
CLK_REF_0_ .. .. .. .. .. .. 1 1
CLK_REF_1_ .. .. .. .. .. .. 1 1
SM_AMIGA_7_ .. .. .. .. .. .. 1 1
SM_AMIGA_4_ .. .. .. .. .. .. 1 1

View File

@ -1,317 +1,320 @@
#$ TOOL ispLEVER Classic 1.7.00.05.28.13
#$ DATE Sun May 18 21:01:47 2014
#$ DATE Thu May 22 14:56:10 2014
#$ MODULE 68030_tk
#$ PINS 59 SIZE_1_ A_31_ IPL_2_ FC_1_ AS_030 DS_030 SIZE_0_ A_30_ nEXP_SPACE A_29_ BERR A_28_ BG_030 A_27_ A_26_ A_25_ BGACK_000 A_24_ CLK_030 A_23_ CLK_000 A_22_ CLK_OSZI A_21_ CLK_DIV_OUT A_20_ A_19_ A_18_ A_17_ AVEC A_16_ AVEC_EXP VPA RST RW AMIGA_BUS_DATA_DIR AMIGA_BUS_ENABLE_LOW CIIN A_0_ IPL_1_ IPL_0_ DSACK_0_ FC_0_ IPL_030_2_ DSACK_1_ AS_000 UDS_000 LDS_000 BG_000 BGACK_030 CLK_EXP FPU_CS DTACK E VMA RESET AMIGA_BUS_ENABLE IPL_030_1_ IPL_030_0_
#$ NODES 22 cpu_est_0_ cpu_est_1_ inst_AS_030_000_SYNC inst_DTACK_SYNC inst_VPA_D inst_VPA_SYNC inst_CLK_000_D0 inst_CLK_000_D1 inst_CLK_000_D2 inst_CLK_OUT_PRE SM_AMIGA_6_ cpu_est_2_ CLK_REF_1_ SM_AMIGA_7_ SM_AMIGA_4_ SM_AMIGA_1_ CLK_CNT_0_ CLK_CNT_1_ SM_AMIGA_3_ SM_AMIGA_5_ SM_AMIGA_2_ SM_AMIGA_0_
#$ PINS 59 SIZE_1_ A_31_ IPL_2_ FC_1_ AS_030 DS_030 nEXP_SPACE BERR SIZE_0_ BG_030 A_30_ A_29_ A_28_ BGACK_000 A_27_ CLK_030 A_26_ CLK_000 A_25_ CLK_OSZI A_24_ CLK_DIV_OUT A_23_ A_22_ A_21_ A_20_ AVEC A_19_ AVEC_EXP A_18_ A_17_ VPA A_16_ RST RW AMIGA_BUS_DATA_DIR AMIGA_BUS_ENABLE_LOW CIIN A_0_ IPL_1_ IPL_0_ DSACK_0_ FC_0_ IPL_030_2_ DSACK_1_ AS_000 UDS_000 LDS_000 BG_000 BGACK_030 CLK_EXP FPU_CS DTACK E VMA RESET AMIGA_BUS_ENABLE IPL_030_1_ IPL_030_0_
#$ NODES 23 cpu_est_0_ cpu_est_1_ inst_AS_030_000_SYNC inst_DTACK_SYNC inst_VPA_D inst_VPA_SYNC inst_CLK_000_D0 inst_CLK_000_D1 inst_CLK_000_D2 inst_CLK_OUT_PRE SM_AMIGA_6_ cpu_est_2_ CLK_REF_0_ CLK_REF_1_ SM_AMIGA_7_ SM_AMIGA_4_ SM_AMIGA_1_ CLK_CNT_0_ CLK_CNT_1_ SM_AMIGA_3_ SM_AMIGA_5_ SM_AMIGA_2_ SM_AMIGA_0_
.type fr
.i 72
.o 118
.ilb SIZE_1_ A_31_ IPL_2_ FC_1_ AS_030 DS_030 nEXP_SPACE BG_030 BGACK_000 CLK_030 CLK_000 CLK_OSZI VPA RST RW SIZE_0_ A_30_ A_29_ A_28_ A_27_ A_26_ A_25_ A_24_ A_23_ A_22_ A_21_ A_20_ A_19_ A_18_ A_17_ A_16_ A_0_ IPL_1_ IPL_0_ FC_0_ BGACK_030.Q FPU_CS.Q E.Q VMA.Q cpu_est_0_.Q cpu_est_1_.Q IPL_030_0_.Q AS_000.Q inst_AS_030_000_SYNC.Q IPL_030_1_.Q inst_DTACK_SYNC.Q inst_VPA_D.Q IPL_030_2_.Q inst_VPA_SYNC.Q inst_CLK_000_D0.Q inst_CLK_000_D1.Q inst_CLK_000_D2.Q inst_CLK_OUT_PRE.Q SM_AMIGA_6_.Q cpu_est_2_.Q CLK_REF_1_.Q SM_AMIGA_7_.Q UDS_000.Q LDS_000.Q DSACK_1_.Q SM_AMIGA_4_.Q SM_AMIGA_1_.Q CLK_CNT_0_.Q CLK_CNT_1_.Q SM_AMIGA_3_.Q SM_AMIGA_5_.Q SM_AMIGA_2_.Q SM_AMIGA_0_.Q AMIGA_BUS_ENABLE.Q BG_000.Q DSACK_1_.PIN DTACK.PIN
.ob BERR AVEC AVEC_EXP AMIGA_BUS_DATA_DIR AMIGA_BUS_ENABLE_LOW CIIN SM_AMIGA_5_.C SM_AMIGA_5_.AR SM_AMIGA_4_.C SM_AMIGA_4_.AR SM_AMIGA_3_.C SM_AMIGA_3_.AR SM_AMIGA_2_.C SM_AMIGA_2_.AR SM_AMIGA_1_.C SM_AMIGA_1_.AR SM_AMIGA_0_.C SM_AMIGA_0_.AR cpu_est_2_.C E.C IPL_030_0_.C IPL_030_0_.AP IPL_030_1_.C IPL_030_1_.AP IPL_030_2_.C IPL_030_2_.AP SM_AMIGA_7_.C SM_AMIGA_7_.AP SM_AMIGA_6_.C SM_AMIGA_6_.AR inst_CLK_OUT_PRE.C BGACK_030.C BGACK_030.AP CLK_CNT_0_.C CLK_CNT_1_.C cpu_est_0_.C cpu_est_1_.C inst_VPA_SYNC.C inst_VPA_SYNC.AP FPU_CS.C FPU_CS.AP inst_AS_030_000_SYNC.C inst_AS_030_000_SYNC.AP AS_000.C AS_000.AP AMIGA_BUS_ENABLE.C BG_000.C BG_000.AP DSACK_1_.C DSACK_1_.AP VMA.C VMA.AP UDS_000.C UDS_000.AP LDS_000.C LDS_000.AP inst_DTACK_SYNC.C inst_DTACK_SYNC.AP DTACK.C DTACK.AP CLK_EXP.C inst_CLK_000_D2.C inst_VPA_D.C inst_CLK_000_D0.C RESET.C inst_CLK_000_D1.C CLK_REF_1_.LH CLK_REF_1_.AR DSACK_0_ DSACK_1_.OE DTACK.OE AS_000.OE UDS_000.OE LDS_000.OE BERR.OE DSACK_0_.OE AVEC_EXP.OE CIIN.OE CLK_DIV_OUT.C CLK_DIV_OUT.D BGACK_030.D FPU_CS.D E.T CLK_EXP.D VMA.T cpu_est_0_.D cpu_est_1_.T IPL_030_0_.D AS_000.D inst_AS_030_000_SYNC.D IPL_030_1_.D inst_DTACK_SYNC.D inst_VPA_D.D IPL_030_2_.D inst_VPA_SYNC.D inst_CLK_000_D0.D inst_CLK_000_D1.D inst_CLK_000_D2.D inst_CLK_OUT_PRE.D SM_AMIGA_6_.D cpu_est_2_.D CLK_REF_1_.D SM_AMIGA_7_.D UDS_000.D LDS_000.D DSACK_1_.D SM_AMIGA_4_.D SM_AMIGA_1_.D DTACK.D CLK_CNT_0_.D CLK_CNT_1_.D RESET.D SM_AMIGA_3_.D SM_AMIGA_5_.D SM_AMIGA_2_.D SM_AMIGA_0_.D AMIGA_BUS_ENABLE.D BG_000.D
.p 305
------------------------------------------------------------------------ ~1~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
----1------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~
----11------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~~~
------1----------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
-------1---------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1
---0-----1-------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
------0--1-------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~
--------01-------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
----0----0-------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1
------1--0-------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1
----------1------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~
----1-----0------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~1~~~~~~~~~~~~~~~~~~~~~~~
-----------1------------------------------------------------------------ ~~~~~~1~1~1~1~1~1~111~1~1~1~1~11~11111~1~1~1~11~1~1~1~1~1~1~111111~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
------------1----------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~
-------------1---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~
-------------0---------------------------------------------------------- ~~~~~~~1~1~1~1~1~1~~~1~1~1~1~1~~1~~~~~1~1~1~1~~1~1~1~1~1~1~1~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
--------------0--------------------------------------------------------- ~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
-0--------------0000000------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
-----------------------1111--------------------------------------------- ~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
---------1-----------------1-------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
---------1------------------1------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
---------1-------------------0------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
---------1--------------------1----------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
---1----11-----------------0010---1------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~
---------1------------------------0------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
-----------------------------------1------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~111~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
--------1--------------------------1------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
-----------------------------------0------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
---------0--------------------------1----------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
------------------------------------0----------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
----1--------------------------------0---------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~
----1---------------------------------1--------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~
----1----------------------------------1-------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~
----1-----------------------------------1------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~
------------------------------------------1----------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~
----1--------------------------------------1---------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
---------0---------------------------------1---------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~
----1---------1----------------------------1---------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~~~
------------------------------------------11---------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
----------0----------------------------------1-------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~
----1-----------------------------------------1------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~
----1-----------------------------------------0------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~
---------------------------------------------10------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~
----------0-------------------------------------1----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~
-------------------------------------0----------1----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~
--------------------------------------1---------1----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~
---------------------------------------1--------1----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~
----------------------------------------1-------1----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~
----------------------------------------------1-1----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~
-------------------------------------------------1---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~
----1--------------------------------------------1---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~
----1--------------------------------------------0---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~1~~~~~~~~~~~~~~~~~~~~~~~
---------------------------------------1---------0---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
-----------------------------------------1-------0---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
--------------------------------------------1----0---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~
---------------------------------------------1---0---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~
-----------------------------------------------1-0---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~
------------------------------------------------10---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~
--------------------------------------------------1--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~
---------------------------------------1----------1--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
-----------------------------------------1--------1--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
--------------------------------------------1-----1--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~
-----------------------------------------------1--1--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~
----1---------------------------------------------0--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
----1---------1-----------------------------------0--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~~~
------------------------------------------1-------0--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
--1----------------------------------------------10--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~
--------1----------------------------------------10--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
--------------------------------1----------------10--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~
---------------------------------1---------------10--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
-------------------------------------1-1---------10--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~
---------------------------------------0---------10--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
---------------------------------------00--------10--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~
-------------------------------------1-00--------10--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
----1----------------------------------------------1-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
----1---------1------------------------------------1-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~~~
------------------------------------------1--------1-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
----------------------------------------------------1------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
----1-----------------------------------------------0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~
------0------1---------------------------------------1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~
-------------------------------------------1---------1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~
--------------------------------------------------0--1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~
---------------------------------------------------1-1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~
-------------------------------------------0------10-1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~
-----0--------1----------------1-----------0------10-1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~
0----0--------11---------------0-----------0------10-1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~
----1------------------------------------------------0------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
----1--------1---------------------------------------0------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~
----1---------1--------------------------------------0------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~~~
------------------------------------------1----------0------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
----------------------------------------1-------------1----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~
-------------------------------------0001-1------1----1----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
-------------------------------------------------0----1----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~
-------------------------------------0110-----0--0----1----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
--------------------------------------------------1---1----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~
-------------------------------------0-1---------10---1----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
-------------------------------------1-11--------10---1----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
----1-------------------------------------------------0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~
------------------------------------------------1-----0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~
-------------------------------------1-11--------10---0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
-------------------------------------0-11--------10---0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
-------------------------------------0--0--------10---0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
-------------------------------------0-00--------10---0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
-------------------------------------------------1------1--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~
-------------------------------------------------0------1--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~
---------0-------------------------------------------0--0--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1
-----1---------------------------------------------------1-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~
--------------1----------------------------1-------------1-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~
--------------1-----------------------------------0------1-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~
--------------1------------------------------------1-----1-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~
--------------1--------------------------------------0---1-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~
-----1----------------------------------------------------1------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~
--------------1----------------------------1--------------1------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~
--------------1-----------------------------------0-------1------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~
--------------1------------------------------------1------1------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~
--------------1--------------------------------------0----1------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~
-------------------------------------------------1---------1------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~
----------------------------------------------------0------1------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~
-----0--------0----------------1----------------------------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~
0----0--------01---------------0----------------------------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~
-------------------------------------------------1----------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~
-------------------------------------------------0----------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~
----1---------0---------------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~~~
--------------0------------------------------------------1--0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~
--------------0-------------------------------------------1-0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~
-------------------------------------------------1-----------1---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~
-------------------------------------------------0--1--------1---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~
----1--------------------------------------------------------0---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~
-----------------------------------------------------------1-0---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~
----------------------------------------------------1---------1--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~
----------------------------------------------------1--0-------1-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~
----------------------------------------------------0--1------01-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~
-------------------------------------------------------0------01-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~
----------------------------------------------------1--1-------0-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~
--------------------------------------------------------------10-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~
-------------------------------------------------------1------00-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~
----------------------------------------------------0--0------00-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~
---------------------------------------------1--1---------------1------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~
-------------------------------------------------1--------------1------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~
---------------------------------------------0---0--------------1------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~
------------------------------------------------00--------------1------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~
----1-----------------------------------------------------------0------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~1~~~~~~~~~~~~~~~~~~~~~~~
---------------------------------------------1------------------0------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~
------------------------------------------------1---------------0------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~
-------------------------------------------------1---------------1------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~
-------------------------------------------------0---------------1------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~
-------------------------------------------------1----------------1----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~
-------------------------------------------------0----------------1----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~
----------------------------------------------------0--------1----0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~
------------------------------------------0------------------------1---- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~
------------------------------------------1------1-----------------1---- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~
-------------------------------------------------0-----------------1---- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~
-------------0------------------------------------------------------1--- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~
-----------------------------------------------------0--------------1--- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~
---------1-----------------------------------------------------------1-- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1
----------------------------------------------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~
----1------------------------------------------------------------------1 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~
---------------------------------------------1-------------------------1 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~
------------------------------------------------------------------------ 0~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~
-1---------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
------0----------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
--------0--------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
---00-1--1-------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~
----0-1-01-------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~
----------0------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~
-----------0------------------------------------------------------------ ~~~~~~0~0~0~0~0~0~000~0~0~0~0~00~00000~0~0~0~00~0~0~0~0~0~0~000000~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
------------0----------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~
-------------1---------------------------------------------------------- ~~~~~~~0~0~0~0~0~0~~~0~0~0~0~0~~0~~~~~0~0~0~0~~0~0~0~0~0~0~0~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
-------------0---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~
--------------1--------------------------------------------------------- ~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
----------------1------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
-----------------1------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
------------------1----------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
-------------------1---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
--------------------1--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
---------------------1-------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
----------------------1------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
-----------------------0------------------------------------------------ ~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
------------------------0----------------------------------------------- ~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
-------------------------0---------------------------------------------- ~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
--------------------------0--------------------------------------------- ~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
----0-1--1-----------------1-------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~
----0-1--1------------------1------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~
----0-1--1-------------------0------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~
----0-1--1--------------------1----------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~
---10---11-----------------0010---1------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
----0-1--1------------------------0------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~
-----------------------------------1------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
-----------------------------------0------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~000~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
------------------------------------1----------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
----0----0--------------------------0----------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
-------------------------------------1---------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
--------------------------------------01-------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
---------------------------------------01------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
---------------------------------------10------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
-------------------------------------1-10------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
---------------------------------------00------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
----0-------------------------------------0----------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
----0----0---------------------------------0---------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~
----0----------------------------------------0-------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~
----0-------------------------------------------0----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~
-------------------------------------------------1---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~0~~~
--------------------------------------1----------1---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
------------------------------------------1------1---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~
------------------------------------------0------1---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
-------------------------------------------------0---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~0~~~~~~~~~0~~~~~0~~~~~~~~~~~~~~~
-----------------------------------0-------------0---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
---------------------------------------0---------0---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
----------------------------------------1--------0---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
-----------------------------------------0-------0---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
-------------------------------------------1-----0---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~
--------------------------------------------0----0---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~
---------------------------------------------0---0---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~
----------------------------------------------1--0---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
-----------------------------------------------0-0---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~
------------------------------------------------00---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~
--------------------------------------------------1--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
-----------------------------------0--------------1--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
---------------------------------------0----------1--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
-----------------------------------------0--------1--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
--------------------------------------------0-----1--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~
-----------------------------------------------0--1--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~
--------------------------------------------------0--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~
--0----------------------------------------------10--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~
--------------------------------0----------------10--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~
---------------------------------0---------------10--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
---------------------------------------1---------10--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
-------------------------------------0-10--------10--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~
-------------------------------------------------00--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~
-------------------------------------------------0-1-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~
-------------------------------------------0-----110-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~
-------------------------------------------------0--1------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~
----------------------------------------------------0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
----1-00-0-------------------------------------------1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0
------1------1---------------------------------------1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~
-------------------------------------------0------10-1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
1----0--------1----------------------------0------10-1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~
-----0--------10---------------------------0------10-1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~
-----0--------1----------------1-----------0------10-1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~
-----0--------1----------------0-----------0------10-1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~
-------------------------------------------------1---0------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~
-------------------------------------------------0---0------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~
-------------------------------------0----------------1----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
---------------------------------------0--------------1----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
-------------------------------------0-0--------------1----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
-------------------------------------1--1-------------1----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
------------------------------------------------------0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
-------------------------------------1----------------0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
-------------------------------------0--1-------------0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~
---------------------------------------01-------------0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~
-------------------------------------------------0----0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~
--------------------------------------------------1---0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~
----1-00-0----------------------------------------------1--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0
------------------------------------------0-------------0--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~
-------------------------------------------0------10----0--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~
-----------------------------------------------------0--0--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~
----01---------------------------------------------------0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~
----0---------1----------------------------1-------------0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~
----0---------1-----------------------------------0------0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~
----0---------1------------------------------------1-----0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~
----0---------1--------------------------------------0---0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~
----01----------------------------------------------------0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~
----0---------1----------------------------1--------------0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~
----0---------1-----------------------------------0-------0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~
----0---------1------------------------------------1------0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~
----0---------1--------------------------------------0----0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~
----0------------------------------------------------------0------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~
1----0--------0---------------------------------------------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~
-----0--------00--------------------------------------------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~
-----0--------0----------------1----------------------------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~
-----0--------0----------------0----------------------------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~
----0---------0------------------------------------------0--0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~
----0---------0-------------------------------------------0-0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~
-------------------------------------------------0--1--------1---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~
--------------------------------------------------------------1--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~
----------------------------------------------------0---------1--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~
-------------------------------------------------------1-------1-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~00~~~~~~~
----------------------------------------------------0--0-------1-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~
--------------------------------------------------------------11-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~
----------------------------------------------------1--1------01-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~
----------------------------------------------------0--1-------0-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~
-------------------------------------------------------0-------0-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~
--------------------------------------------------------------00-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~
----------------------------------------------------1--0------00-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~
----------1--------------------------1000-----0--1----1---------1------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~
-------------------------------------------------0--------------0------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~
------------------------------------------------------------0---0------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~
-------------------------------------------1---------------------0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~
--------------------------------------------------0--------------0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~
---------------------------------------------------1-------------0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~
-----------------------------------------------------0-----------0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~
------------------------------------------------------------0----0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~
-------------------------------------------------0----------------1----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~
---------------------------------------------1--1-----------------0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~
-------------------------------------------------------------0----0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~
----------------------------------------------------------------0-0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~
-------------------------------------------------1-----------------0---- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~
----------------------------------------------------0--------------0---- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~
--------------------------------------------------------0----------0---- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~
-------------------------------------------------------------0-----0---- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~
-------------0------------------------------------------------------0--- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~
----0------------------------------------------------0--------------0--- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~
-------0-1-----------------------------------------------------------0-- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0
------------------------------------------0---------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~
----------1-----------------------------------1--1--------------1------0 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~
.i 73
.o 121
.ilb SIZE_1_ A_31_ IPL_2_ FC_1_ AS_030 DS_030 nEXP_SPACE BG_030 BGACK_000 CLK_030 CLK_000 CLK_OSZI VPA RST RW SIZE_0_ A_30_ A_29_ A_28_ A_27_ A_26_ A_25_ A_24_ A_23_ A_22_ A_21_ A_20_ A_19_ A_18_ A_17_ A_16_ A_0_ IPL_1_ IPL_0_ FC_0_ BG_000.Q BGACK_030.Q FPU_CS.Q E.Q VMA.Q cpu_est_0_.Q cpu_est_1_.Q AS_000.Q inst_AS_030_000_SYNC.Q inst_DTACK_SYNC.Q IPL_030_0_.Q inst_VPA_D.Q inst_VPA_SYNC.Q IPL_030_1_.Q inst_CLK_000_D0.Q inst_CLK_000_D1.Q IPL_030_2_.Q inst_CLK_000_D2.Q inst_CLK_OUT_PRE.Q SM_AMIGA_6_.Q cpu_est_2_.Q CLK_REF_0_.Q CLK_REF_1_.Q SM_AMIGA_7_.Q UDS_000.Q LDS_000.Q DSACK_1_.Q SM_AMIGA_4_.Q SM_AMIGA_1_.Q CLK_CNT_0_.Q CLK_CNT_1_.Q SM_AMIGA_3_.Q SM_AMIGA_5_.Q SM_AMIGA_2_.Q SM_AMIGA_0_.Q AMIGA_BUS_ENABLE.Q DSACK_1_.PIN DTACK.PIN
.ob BERR AVEC AVEC_EXP AMIGA_BUS_DATA_DIR AMIGA_BUS_ENABLE_LOW CIIN SM_AMIGA_6_.C SM_AMIGA_6_.AR SM_AMIGA_5_.C SM_AMIGA_5_.AR SM_AMIGA_4_.C SM_AMIGA_4_.AR SM_AMIGA_3_.C SM_AMIGA_3_.AR SM_AMIGA_2_.C SM_AMIGA_2_.AR SM_AMIGA_1_.C SM_AMIGA_1_.AR SM_AMIGA_0_.C SM_AMIGA_0_.AR cpu_est_1_.C cpu_est_2_.C E.C IPL_030_0_.C IPL_030_0_.AP IPL_030_1_.C IPL_030_1_.AP IPL_030_2_.C IPL_030_2_.AP SM_AMIGA_7_.C SM_AMIGA_7_.AP VMA.C VMA.AP BGACK_030.C BGACK_030.AP inst_CLK_OUT_PRE.C CLK_CNT_0_.C CLK_CNT_1_.C cpu_est_0_.C inst_VPA_SYNC.C inst_VPA_SYNC.AP FPU_CS.C FPU_CS.AP inst_AS_030_000_SYNC.C inst_AS_030_000_SYNC.AP AS_000.C AS_000.AP AMIGA_BUS_ENABLE.C BG_000.C BG_000.AP DSACK_1_.C DSACK_1_.AP UDS_000.C UDS_000.AP LDS_000.C LDS_000.AP inst_DTACK_SYNC.C inst_DTACK_SYNC.AP CLK_EXP.C DTACK.C DTACK.AP inst_CLK_000_D2.C inst_VPA_D.C inst_CLK_000_D0.C RESET.C inst_CLK_000_D1.C CLK_REF_0_.LH CLK_REF_0_.AP CLK_REF_1_.LH CLK_REF_1_.AR DSACK_0_ DSACK_1_.OE DTACK.OE AS_000.OE UDS_000.OE LDS_000.OE BERR.OE DSACK_0_.OE AVEC_EXP.OE CIIN.OE CLK_DIV_OUT.C CLK_DIV_OUT.D BG_000.D BGACK_030.D FPU_CS.D E.T VMA.T cpu_est_0_.D cpu_est_1_.T CLK_EXP.D AS_000.D inst_AS_030_000_SYNC.D inst_DTACK_SYNC.D IPL_030_0_.D inst_VPA_D.D inst_VPA_SYNC.D IPL_030_1_.D inst_CLK_000_D0.D inst_CLK_000_D1.D IPL_030_2_.D inst_CLK_000_D2.D inst_CLK_OUT_PRE.T SM_AMIGA_6_.D cpu_est_2_.D CLK_REF_0_.D CLK_REF_1_.D SM_AMIGA_7_.D UDS_000.D LDS_000.D DSACK_1_.D SM_AMIGA_4_.D SM_AMIGA_1_.D DTACK.D CLK_CNT_0_.D CLK_CNT_1_.D SM_AMIGA_3_.D RESET.D SM_AMIGA_5_.D SM_AMIGA_2_.D SM_AMIGA_0_.D AMIGA_BUS_ENABLE.D
.p 308
------------------------------------------------------------------------- ~1~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
----1-------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
----11------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~~
------1------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
-------1----------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
---0-----1--------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
------0--1--------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
--------01--------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
----0----0--------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
------1--0--------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
----------1-------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~
----1-----0-------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~1~~~~~~~~~~~~~~~~~~~~~~~~~
-----------1------------------------------------------------------------- ~~~~~~1~1~1~1~1~1~1~1111~1~1~1~1~1~11111~1~1~1~11~1~1~1~1~11~11111~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
------------1------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~
-------------1----------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~
-------------0----------------------------------------------------------- ~~~~~~~1~1~1~1~1~1~1~~~~1~1~1~1~1~1~~~~~1~1~1~1~~1~1~1~1~1~~1~~~~~~1~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
--------------0---------------------------------------------------------- ~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
-0--------------0000000-------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
-----------------------1111---------------------------------------------- ~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
---------1-----------------1--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
---------1------------------1-------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
---------1-------------------0------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
---------1--------------------1------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
---1----11-----------------0010---1-------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
---------1------------------------0-------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
---------1-------------------------1------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
------------------------------------1------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~111~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
--------1---------------------------1------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
------------------------------------0------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
---------0---------------------------1----------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
-------------------------------------0----------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
----1---------------------------------0---------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~
----1----------------------------------1--------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~
----1-----------------------------------1-------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~
----1------------------------------------1------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~
------------------------------------------1------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~
----1--------------------------------------1----------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
---------0---------------------------------1----------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
----1---------1----------------------------1----------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~~
------------------------------------------11----------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
----------0---------------------------------1---------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~
----1-----------------------------------------1-------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~
----1-----------------------------------------0-------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~
--------------------------------------------1-0-------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~
----------0------------------------------------1------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~
--------------------------------------0--------1------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~
---------------------------------------1-------1------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~
----------------------------------------1------1------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~
-----------------------------------------1-----1------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~
----------------------------------------------11------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~
-------------------------------------------------1----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~
----1--------------------------------------------1----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~
----1--------------------------------------------0----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~1~~~~~~~~~~~~~~~~~~~~~~~~~
----------------------------------------1--------0----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
--------------------------------------------1----0----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~
---------------------------------------------1---0----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~
-----------------------------------------------1-0----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~
------------------------------------------------10----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~
--------------------------------------------------1---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~
----------------------------------------1---------1---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
---------------------------------------------1----1---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~
------------------------------------------------1-1---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~
----1---------------------------------------------0---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
----1---------1-----------------------------------0---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~~
------------------------------------------1-------0---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
--1----------------------------------------------10---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~
--------1----------------------------------------10---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
--------------------------------1----------------10---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~
---------------------------------1---------------10---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~
--------------------------------------1-1--------10---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~
----------------------------------------0--------10---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
----------------------------------------00-------10---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~
--------------------------------------1-00-------10---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
-------------------------------------------------0-1--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~
--------------------------------------------------11--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~
----1-----------------------------------------------1-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
----1---------1-------------------------------------1-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~~
------------------------------------------1---------1-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
-----------------------------------------------------1------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
----1------------------------------------------------0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~
------0------1----------------------------------------1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1
-------------------------------------------1----------1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~
--------------------------------------------------0---1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~
----------------------------------------------------1-1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~
-------------------------------------------0------1-0-1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~
-----0--------1----------------1-----------0------1-0-1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~
0----0--------11---------------0-----------0------1-0-1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~
----1-------------------------------------------------0------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
----1--------1----------------------------------------0------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1
----1---------1---------------------------------------0------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~~
------------------------------------------1-----------0------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
-----------------------------------------1-------------1----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~
--------------------------------------00011------1-----1----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
-------------------------------------------------0-----1----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~
--------------------------------------0110----0--0-----1----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
--------------------------------------------------1----1----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~
--------------------------------------0-1--------10----1----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
--------------------------------------1-11-------10----1----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
----1--------------------------------------------------0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~
-----------------------------------------------1-------0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~
--------------------------------------1-11-------10----0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
--------------------------------------0-11-------10----0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
--------------------------------------0--0-------10----0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
--------------------------------------0-00-------10----0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
-------------------------------------------------1--------1-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~
-------------------------------------------------0--------1-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~
---------0--------------------------------------------0---0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
-----1-----------------------------------------------------1------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~
--------------1----------------------------1---------------1------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~
--------------1-----------------------------------0--------1------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~
--------------1-------------------------------------1------1------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~
--------------1---------------------------------------0----1------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~
-----1------------------------------------------------------1------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~
--------------1----------------------------1----------------1------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~
--------------1-----------------------------------0---------1------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~
--------------1-------------------------------------1-------1------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~
--------------1---------------------------------------0-----1------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~
-------------------------------------------------1-----------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~
-----------------------------------------------------0-------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~
-----0--------0----------------1------------------------------1---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~
0----0--------01---------------0------------------------------1---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~
-------------------------------------------------1------------1---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~
-------------------------------------------------0------------1---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~
----1---------0-----------------------------------------------0---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~~
--------------0--------------------------------------------1--0---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~
--------------0---------------------------------------------1-0---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~
-------------------------------------------------1-------------1--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~
-------------------------------------------------0---1---------1--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~
----1----------------------------------------------------------0--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~
-------------------------------------------------------------1-0--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~
--------------------------------------------------------1-------0-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~
--------------------------------------------------------11------11------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~
--------------------------------------------------------1-------01------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~
--------------------------------------------------------01------01------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~
---------------------------------------------------------0------01------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~
--------------------------------------------------------0-------10------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~
---------------------------------------------------------1------10------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~
--------------------------------------------------------10------10------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~
---------------------------------------------------------1------00------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~
--------------------------------------------------------00------00------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~
--------------------------------------------1--1------------------1------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~
-------------------------------------------------1----------------1------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~
--------------------------------------------0----0----------------1------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~
-----------------------------------------------0-0----------------1------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~
----1-------------------------------------------------------------0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~1~~~~~~~~~~~~~~~~~~~~~~~~~
--------------------------------------------1---------------------0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~
-----------------------------------------------1------------------0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~
-------------------------------------------------1-----------------1----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~
-------------------------------------------------0-----------------1----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~
-------------------------------------------------1------------------1---- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~
-------------------------------------------------0------------------1---- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~
-----------------------------------------------------0---------1----0---- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~
------------------------------------------0--------------------------1--- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~
------------------------------------------1------1-------------------1--- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~
-------------------------------------------------0-------------------1--- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~
-------------0--------------------------------------------------------1-- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1
------------------------------------------------------0---------------1-- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1
-----------------------------------------------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~
----1-------------------------------------------------------------------1 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~
--------------------------------------------1---------------------------1 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~
------------------------------------------------------------------------- 0~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~00~~~~~~~~~~~~~~~
-1----------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
------0------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
--------0---------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
---00-1--1--------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
----0-1-01--------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
----------0-------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~
-----------0------------------------------------------------------------- ~~~~~~0~0~0~0~0~0~0~0000~0~0~0~0~0~00000~0~0~0~00~0~0~0~0~00~00000~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
------------0------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~
-------------1----------------------------------------------------------- ~~~~~~~0~0~0~0~0~0~0~~~~0~0~0~0~0~0~~~~~0~0~0~0~~0~0~0~0~0~~0~~~~~~0~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
-------------0----------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~
--------------1---------------------------------------------------------- ~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
----------------1-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
-----------------1------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
------------------1------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
-------------------1----------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
--------------------1---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
---------------------1--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
----------------------1-------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
-----------------------0------------------------------------------------- ~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
------------------------0------------------------------------------------ ~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
-------------------------0----------------------------------------------- ~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
--------------------------0---------------------------------------------- ~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
----0-1--1-----------------1--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
----0-1--1------------------1-------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
----0-1--1-------------------0------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
----0-1--1--------------------1------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
---10---11-----------------0010---1-------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
----0-1--1------------------------0-------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
-------0-1-------------------------0------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
------------------------------------1------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
------------------------------------0------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~000~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
-------------------------------------1----------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
----0----0---------------------------0----------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
--------------------------------------1---------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
---------------------------------------01-------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
----------------------------------------01------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
----------------------------------------10------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
--------------------------------------1-10------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
----------------------------------------00------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
----0-------------------------------------0------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
----0----0---------------------------------0----------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
----0---------------------------------------0---------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~
----0------------------------------------------0------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~
-------------------------------------------------1----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~0~~
---------------------------------------1---------1----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
------------------------------------------1------1----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~
------------------------------------------0------1----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
-------------------------------------------------0----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~0~~~~~~~~~0~~~~~~~0~~~~~~~~~~~~~~
------------------------------------0------------0----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
----------------------------------------0--------0----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
-----------------------------------------1-------0----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
-------------------------------------------1-----0----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~
--------------------------------------------0----0----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~
---------------------------------------------0---0----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~
----------------------------------------------1--0----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
-----------------------------------------------0-0----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~
------------------------------------------------00----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~
--------------------------------------------------1---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
------------------------------------0-------------1---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
----------------------------------------0---------1---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
---------------------------------------------0----1---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~
------------------------------------------------0-1---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~
--------------------------------------------------0---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~
--0----------------------------------------------10---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~
--------------------------------0----------------10---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~
---------------------------------0---------------10---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~
----------------------------------------1--------10---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
--------------------------------------0-10-------10---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~
-------------------------------------------------00---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~
-------------------------------------------------0-0--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~
--------------------------------------------------10--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~
-------------------------------------------------0--1-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~
-------------------------------------------0-----11-0-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~
-------------------------------------------------0---1------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~
-----------------------------------------------------0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
----1-00-0--------------------------------------------1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
------1------1----------------------------------------1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0
-------------------------------------------0------1-0-1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
1----0--------1----------------------------0------1-0-1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~
-----0--------10---------------------------0------1-0-1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~
-----0--------1----------------1-----------0------1-0-1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~
-----0--------1----------------0-----------0------1-0-1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~
-------------------------------------------------1----0------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~
-------------------------------------------------0----0------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~
--------------------------------------0----------------1----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
----------------------------------------0--------------1----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
--------------------------------------0-0--------------1----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
--------------------------------------1--1-------------1----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
-------------------------------------------------------0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
--------------------------------------1----------------0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
--------------------------------------0--1-------------0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~
----------------------------------------01-------------0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~
-------------------------------------------------0-----0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~
--------------------------------------------------1----0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~
----1-00-0------------------------------------------------1-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
------------------------------------------0---------------0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~
-------------------------------------------0------1-0-----0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~
------------------------------------------------------0---0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~
----01-----------------------------------------------------0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~
----0---------1----------------------------1---------------0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~
----0---------1-----------------------------------0--------0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~
----0---------1-------------------------------------1------0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~
----0---------1---------------------------------------0----0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~
----01------------------------------------------------------0------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~
----0---------1----------------------------1----------------0------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~
----0---------1-----------------------------------0---------0------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~
----0---------1-------------------------------------1-------0------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~
----0---------1---------------------------------------0-----0------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~
----0--------------------------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~
1----0--------0-----------------------------------------------1---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~
-----0--------00----------------------------------------------1---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~
-----0--------0----------------1------------------------------1---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~
-----0--------0----------------0------------------------------1---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~
----0---------0--------------------------------------------0--0---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~
----0---------0---------------------------------------------0-0---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~
-------------------------------------------------0---1---------1--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~
----------------------------------------------------------------1-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~
--------------------------------------------------------0-------1-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~
--------------------------------------------------------1-------0-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~
--------------------------------------------------------01------0-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~
--------------------------------------------------------01-------1------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~
---------------------------------------------------------0-------1------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~
----------------------------------------------------------------11------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~
---------------------------------------------------------1-------0------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~
--------------------------------------------------------10-------0------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~
--------------------------------------------------------00-------0------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~
----------------------------------------------------------------00------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~
----------1---------------------------1000----0--1-----1----------1------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~
-------------------------------------------------0----------------0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~
--------------------------------------------------------------0---0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~
-------------------------------------------1-----------------------0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~
--------------------------------------------------0----------------0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~
----------------------------------------------------1--------------0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~
------------------------------------------------------0------------0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~
--------------------------------------------------------------0----0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~
-------------------------------------------------0------------------1---- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~
--------------------------------------------1--1--------------------0---- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~
---------------------------------------------------------------0----0---- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~
------------------------------------------------------------------0-0---- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~
-------------------------------------------------1-------------------0--- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~
-----------------------------------------------------0---------------0--- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~
----------------------------------------------------------0----------0--- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~
---------------------------------------------------------------0-----0--- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~
-------------0--------------------------------------------------------0-- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0
----0-------------------------------------------------0---------------0-- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0
------------------------------------------0----------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~
----------1-----------------------------------1--1----------------1-----0 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~
.end

View File

@ -1,317 +1,320 @@
#$ TOOL ispLEVER Classic 1.7.00.05.28.13
#$ DATE Sun May 18 21:01:47 2014
#$ DATE Thu May 22 14:56:10 2014
#$ MODULE 68030_tk
#$ PINS 59 SIZE_1_ A_31_ IPL_2_ FC_1_ AS_030 DS_030 SIZE_0_ A_30_ nEXP_SPACE A_29_ BERR A_28_ BG_030 A_27_ A_26_ A_25_ BGACK_000 A_24_ CLK_030 A_23_ CLK_000 A_22_ CLK_OSZI A_21_ CLK_DIV_OUT A_20_ A_19_ A_18_ A_17_ AVEC A_16_ AVEC_EXP VPA RST RW AMIGA_BUS_DATA_DIR AMIGA_BUS_ENABLE_LOW CIIN A_0_ IPL_1_ IPL_0_ DSACK_0_ FC_0_ IPL_030_2_ DSACK_1_ AS_000 UDS_000 LDS_000 BG_000 BGACK_030 CLK_EXP FPU_CS DTACK E VMA RESET AMIGA_BUS_ENABLE IPL_030_1_ IPL_030_0_
#$ NODES 22 cpu_est_0_ cpu_est_1_ inst_AS_030_000_SYNC inst_DTACK_SYNC inst_VPA_D inst_VPA_SYNC inst_CLK_000_D0 inst_CLK_000_D1 inst_CLK_000_D2 inst_CLK_OUT_PRE SM_AMIGA_6_ cpu_est_2_ CLK_REF_1_ SM_AMIGA_7_ SM_AMIGA_4_ SM_AMIGA_1_ CLK_CNT_0_ CLK_CNT_1_ SM_AMIGA_3_ SM_AMIGA_5_ SM_AMIGA_2_ SM_AMIGA_0_
#$ PINS 59 SIZE_1_ A_31_ IPL_2_ FC_1_ AS_030 DS_030 nEXP_SPACE BERR SIZE_0_ BG_030 A_30_ A_29_ A_28_ BGACK_000 A_27_ CLK_030 A_26_ CLK_000 A_25_ CLK_OSZI A_24_ CLK_DIV_OUT A_23_ A_22_ A_21_ A_20_ AVEC A_19_ AVEC_EXP A_18_ A_17_ VPA A_16_ RST RW AMIGA_BUS_DATA_DIR AMIGA_BUS_ENABLE_LOW CIIN A_0_ IPL_1_ IPL_0_ DSACK_0_ FC_0_ IPL_030_2_ DSACK_1_ AS_000 UDS_000 LDS_000 BG_000 BGACK_030 CLK_EXP FPU_CS DTACK E VMA RESET AMIGA_BUS_ENABLE IPL_030_1_ IPL_030_0_
#$ NODES 23 cpu_est_0_ cpu_est_1_ inst_AS_030_000_SYNC inst_DTACK_SYNC inst_VPA_D inst_VPA_SYNC inst_CLK_000_D0 inst_CLK_000_D1 inst_CLK_000_D2 inst_CLK_OUT_PRE SM_AMIGA_6_ cpu_est_2_ CLK_REF_0_ CLK_REF_1_ SM_AMIGA_7_ SM_AMIGA_4_ SM_AMIGA_1_ CLK_CNT_0_ CLK_CNT_1_ SM_AMIGA_3_ SM_AMIGA_5_ SM_AMIGA_2_ SM_AMIGA_0_
.type fr
.i 72
.o 118
.ilb SIZE_1_ A_31_ IPL_2_ FC_1_ AS_030 DS_030 nEXP_SPACE BG_030 BGACK_000 CLK_030 CLK_000 CLK_OSZI VPA RST RW SIZE_0_ A_30_ A_29_ A_28_ A_27_ A_26_ A_25_ A_24_ A_23_ A_22_ A_21_ A_20_ A_19_ A_18_ A_17_ A_16_ A_0_ IPL_1_ IPL_0_ FC_0_ BGACK_030.Q FPU_CS.Q E.Q VMA.Q cpu_est_0_.Q cpu_est_1_.Q IPL_030_0_.Q AS_000.Q inst_AS_030_000_SYNC.Q IPL_030_1_.Q inst_DTACK_SYNC.Q inst_VPA_D.Q IPL_030_2_.Q inst_VPA_SYNC.Q inst_CLK_000_D0.Q inst_CLK_000_D1.Q inst_CLK_000_D2.Q inst_CLK_OUT_PRE.Q SM_AMIGA_6_.Q cpu_est_2_.Q CLK_REF_1_.Q SM_AMIGA_7_.Q UDS_000.Q LDS_000.Q DSACK_1_.Q SM_AMIGA_4_.Q SM_AMIGA_1_.Q CLK_CNT_0_.Q CLK_CNT_1_.Q SM_AMIGA_3_.Q SM_AMIGA_5_.Q SM_AMIGA_2_.Q SM_AMIGA_0_.Q AMIGA_BUS_ENABLE.Q BG_000.Q DSACK_1_.PIN DTACK.PIN
.ob BERR AVEC AVEC_EXP AMIGA_BUS_DATA_DIR AMIGA_BUS_ENABLE_LOW CIIN SM_AMIGA_5_.C SM_AMIGA_5_.AR SM_AMIGA_4_.C SM_AMIGA_4_.AR SM_AMIGA_3_.C SM_AMIGA_3_.AR SM_AMIGA_2_.C SM_AMIGA_2_.AR SM_AMIGA_1_.C SM_AMIGA_1_.AR SM_AMIGA_0_.C SM_AMIGA_0_.AR cpu_est_2_.C E.C IPL_030_0_.C IPL_030_0_.AP IPL_030_1_.C IPL_030_1_.AP IPL_030_2_.C IPL_030_2_.AP SM_AMIGA_7_.C SM_AMIGA_7_.AP SM_AMIGA_6_.C SM_AMIGA_6_.AR inst_CLK_OUT_PRE.C BGACK_030.C BGACK_030.AP CLK_CNT_0_.C CLK_CNT_1_.C cpu_est_0_.C cpu_est_1_.C inst_VPA_SYNC.C inst_VPA_SYNC.AP FPU_CS.C FPU_CS.AP inst_AS_030_000_SYNC.C inst_AS_030_000_SYNC.AP AS_000.C AS_000.AP AMIGA_BUS_ENABLE.C BG_000.C BG_000.AP DSACK_1_.C DSACK_1_.AP VMA.C VMA.AP UDS_000.C UDS_000.AP LDS_000.C LDS_000.AP inst_DTACK_SYNC.C inst_DTACK_SYNC.AP DTACK.C DTACK.AP CLK_EXP.C inst_CLK_000_D2.C inst_VPA_D.C inst_CLK_000_D0.C RESET.C inst_CLK_000_D1.C CLK_REF_1_.LH CLK_REF_1_.AR DSACK_0_ DSACK_1_.OE DTACK.OE AS_000.OE UDS_000.OE LDS_000.OE BERR.OE DSACK_0_.OE AVEC_EXP.OE CIIN.OE CLK_DIV_OUT.C CLK_DIV_OUT.D BGACK_030.D FPU_CS.D E.T CLK_EXP.D VMA.T cpu_est_0_.D cpu_est_1_.T IPL_030_0_.D AS_000.D inst_AS_030_000_SYNC.D IPL_030_1_.D inst_DTACK_SYNC.D inst_VPA_D.D IPL_030_2_.D inst_VPA_SYNC.D inst_CLK_000_D0.D inst_CLK_000_D1.D inst_CLK_000_D2.D inst_CLK_OUT_PRE.D SM_AMIGA_6_.D cpu_est_2_.D CLK_REF_1_.D SM_AMIGA_7_.D UDS_000.D LDS_000.D DSACK_1_.D SM_AMIGA_4_.D SM_AMIGA_1_.D DTACK.D CLK_CNT_0_.D CLK_CNT_1_.D RESET.D SM_AMIGA_3_.D SM_AMIGA_5_.D SM_AMIGA_2_.D SM_AMIGA_0_.D AMIGA_BUS_ENABLE.D BG_000.D
.p 305
------------------------------------------------------------------------ ~1~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
----1------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~
----11------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~~~
------1----------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
-------1---------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1
---0-----1-------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
------0--1-------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~
--------01-------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
----0----0-------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1
------1--0-------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1
----------1------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~
----1-----0------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~1~~~~~~~~~~~~~~~~~~~~~~~
-----------1------------------------------------------------------------ ~~~~~~1~1~1~1~1~1~111~1~1~1~1~11~11111~1~1~1~11~1~1~1~1~1~1~111111~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
------------1----------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~
-------------1---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~
-------------0---------------------------------------------------------- ~~~~~~~1~1~1~1~1~1~~~1~1~1~1~1~~1~~~~~1~1~1~1~~1~1~1~1~1~1~1~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
--------------0--------------------------------------------------------- ~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
-0--------------0000000------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
-----------------------1111--------------------------------------------- ~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
---------1-----------------1-------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
---------1------------------1------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
---------1-------------------0------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
---------1--------------------1----------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
---1----11-----------------0010---1------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~
---------1------------------------0------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
-----------------------------------1------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~111~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
--------1--------------------------1------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
-----------------------------------0------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
---------0--------------------------1----------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
------------------------------------0----------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
----1--------------------------------0---------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~
----1---------------------------------1--------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~
----1----------------------------------1-------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~
----1-----------------------------------1------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~
------------------------------------------1----------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~
----1--------------------------------------1---------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
---------0---------------------------------1---------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~
----1---------1----------------------------1---------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~~~
------------------------------------------11---------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
----------0----------------------------------1-------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~
----1-----------------------------------------1------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~
----1-----------------------------------------0------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~
---------------------------------------------10------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~
----------0-------------------------------------1----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~
-------------------------------------0----------1----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~
--------------------------------------1---------1----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~
---------------------------------------1--------1----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~
----------------------------------------1-------1----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~
----------------------------------------------1-1----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~
-------------------------------------------------1---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~
----1--------------------------------------------1---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~
----1--------------------------------------------0---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~1~~~~~~~~~~~~~~~~~~~~~~~
---------------------------------------1---------0---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
-----------------------------------------1-------0---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
--------------------------------------------1----0---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~
---------------------------------------------1---0---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~
-----------------------------------------------1-0---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~
------------------------------------------------10---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~
--------------------------------------------------1--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~
---------------------------------------1----------1--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
-----------------------------------------1--------1--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
--------------------------------------------1-----1--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~
-----------------------------------------------1--1--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~
----1---------------------------------------------0--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
----1---------1-----------------------------------0--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~~~
------------------------------------------1-------0--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
--1----------------------------------------------10--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~
--------1----------------------------------------10--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
--------------------------------1----------------10--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~
---------------------------------1---------------10--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
-------------------------------------1-1---------10--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~
---------------------------------------0---------10--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
---------------------------------------00--------10--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~
-------------------------------------1-00--------10--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
----1----------------------------------------------1-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
----1---------1------------------------------------1-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~~~
------------------------------------------1--------1-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
----------------------------------------------------1------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
----1-----------------------------------------------0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~
------0------1---------------------------------------1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~
-------------------------------------------1---------1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~
--------------------------------------------------0--1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~
---------------------------------------------------1-1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~
-------------------------------------------0------10-1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~
-----0--------1----------------1-----------0------10-1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~
0----0--------11---------------0-----------0------10-1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~
----1------------------------------------------------0------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
----1--------1---------------------------------------0------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~
----1---------1--------------------------------------0------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~~~
------------------------------------------1----------0------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
----------------------------------------1-------------1----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~
-------------------------------------0001-1------1----1----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
-------------------------------------------------0----1----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~
-------------------------------------0110-----0--0----1----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
--------------------------------------------------1---1----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~
-------------------------------------0-1---------10---1----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
-------------------------------------1-11--------10---1----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
----1-------------------------------------------------0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~
------------------------------------------------1-----0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~
-------------------------------------1-11--------10---0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
-------------------------------------0-11--------10---0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
-------------------------------------0--0--------10---0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
-------------------------------------0-00--------10---0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
-------------------------------------------------1------1--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~
-------------------------------------------------0------1--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~
---------0-------------------------------------------0--0--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1
-----1---------------------------------------------------1-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~
--------------1----------------------------1-------------1-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~
--------------1-----------------------------------0------1-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~
--------------1------------------------------------1-----1-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~
--------------1--------------------------------------0---1-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~
-----1----------------------------------------------------1------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~
--------------1----------------------------1--------------1------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~
--------------1-----------------------------------0-------1------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~
--------------1------------------------------------1------1------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~
--------------1--------------------------------------0----1------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~
-------------------------------------------------1---------1------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~
----------------------------------------------------0------1------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~
-----0--------0----------------1----------------------------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~
0----0--------01---------------0----------------------------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~
-------------------------------------------------1----------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~
-------------------------------------------------0----------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~
----1---------0---------------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~~~
--------------0------------------------------------------1--0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~
--------------0-------------------------------------------1-0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~
-------------------------------------------------1-----------1---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~
-------------------------------------------------0--1--------1---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~
----1--------------------------------------------------------0---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~
-----------------------------------------------------------1-0---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~
----------------------------------------------------1---------1--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~
----------------------------------------------------1--0-------1-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~
----------------------------------------------------0--1------01-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~
-------------------------------------------------------0------01-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~
----------------------------------------------------1--1-------0-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~
--------------------------------------------------------------10-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~
-------------------------------------------------------1------00-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~
----------------------------------------------------0--0------00-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~
---------------------------------------------1--1---------------1------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~
-------------------------------------------------1--------------1------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~
---------------------------------------------0---0--------------1------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~
------------------------------------------------00--------------1------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~
----1-----------------------------------------------------------0------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~1~~~~~~~~~~~~~~~~~~~~~~~
---------------------------------------------1------------------0------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~
------------------------------------------------1---------------0------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~
-------------------------------------------------1---------------1------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~
-------------------------------------------------0---------------1------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~
-------------------------------------------------1----------------1----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~
-------------------------------------------------0----------------1----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~
----------------------------------------------------0--------1----0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~
------------------------------------------0------------------------1---- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~
------------------------------------------1------1-----------------1---- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~
-------------------------------------------------0-----------------1---- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~
-------------0------------------------------------------------------1--- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~
-----------------------------------------------------0--------------1--- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~
---------1-----------------------------------------------------------1-- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1
----------------------------------------------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~
----1------------------------------------------------------------------1 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~
---------------------------------------------1-------------------------1 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~
------------------------------------------------------------------------ 0~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~
-1---------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
------0----------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
--------0--------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
---00-1--1-------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~
----0-1-01-------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~
----------0------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~
-----------0------------------------------------------------------------ ~~~~~~0~0~0~0~0~0~000~0~0~0~0~00~00000~0~0~0~00~0~0~0~0~0~0~000000~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
------------0----------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~
-------------1---------------------------------------------------------- ~~~~~~~0~0~0~0~0~0~~~0~0~0~0~0~~0~~~~~0~0~0~0~~0~0~0~0~0~0~0~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
-------------0---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~
--------------1--------------------------------------------------------- ~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
----------------1------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
-----------------1------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
------------------1----------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
-------------------1---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
--------------------1--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
---------------------1-------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
----------------------1------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
-----------------------0------------------------------------------------ ~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
------------------------0----------------------------------------------- ~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
-------------------------0---------------------------------------------- ~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
--------------------------0--------------------------------------------- ~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
----0-1--1-----------------1-------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~
----0-1--1------------------1------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~
----0-1--1-------------------0------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~
----0-1--1--------------------1----------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~
---10---11-----------------0010---1------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
----0-1--1------------------------0------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~
-----------------------------------1------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
-----------------------------------0------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~000~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
------------------------------------1----------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
----0----0--------------------------0----------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
-------------------------------------1---------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
--------------------------------------01-------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
---------------------------------------01------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
---------------------------------------10------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
-------------------------------------1-10------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
---------------------------------------00------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
----0-------------------------------------0----------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
----0----0---------------------------------0---------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~
----0----------------------------------------0-------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~
----0-------------------------------------------0----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~
-------------------------------------------------1---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~0~~~
--------------------------------------1----------1---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
------------------------------------------1------1---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~
------------------------------------------0------1---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
-------------------------------------------------0---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~0~~~~~~~~~0~~~~~0~~~~~~~~~~~~~~~
-----------------------------------0-------------0---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
---------------------------------------0---------0---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
----------------------------------------1--------0---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
-----------------------------------------0-------0---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
-------------------------------------------1-----0---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~
--------------------------------------------0----0---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~
---------------------------------------------0---0---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~
----------------------------------------------1--0---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
-----------------------------------------------0-0---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~
------------------------------------------------00---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~
--------------------------------------------------1--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
-----------------------------------0--------------1--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
---------------------------------------0----------1--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
-----------------------------------------0--------1--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
--------------------------------------------0-----1--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~
-----------------------------------------------0--1--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~
--------------------------------------------------0--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~
--0----------------------------------------------10--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~
--------------------------------0----------------10--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~
---------------------------------0---------------10--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
---------------------------------------1---------10--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
-------------------------------------0-10--------10--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~
-------------------------------------------------00--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~
-------------------------------------------------0-1-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~
-------------------------------------------0-----110-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~
-------------------------------------------------0--1------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~
----------------------------------------------------0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
----1-00-0-------------------------------------------1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0
------1------1---------------------------------------1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~
-------------------------------------------0------10-1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
1----0--------1----------------------------0------10-1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~
-----0--------10---------------------------0------10-1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~
-----0--------1----------------1-----------0------10-1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~
-----0--------1----------------0-----------0------10-1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~
-------------------------------------------------1---0------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~
-------------------------------------------------0---0------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~
-------------------------------------0----------------1----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
---------------------------------------0--------------1----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
-------------------------------------0-0--------------1----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
-------------------------------------1--1-------------1----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
------------------------------------------------------0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
-------------------------------------1----------------0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
-------------------------------------0--1-------------0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~
---------------------------------------01-------------0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~
-------------------------------------------------0----0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~
--------------------------------------------------1---0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~
----1-00-0----------------------------------------------1--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0
------------------------------------------0-------------0--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~
-------------------------------------------0------10----0--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~
-----------------------------------------------------0--0--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~
----01---------------------------------------------------0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~
----0---------1----------------------------1-------------0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~
----0---------1-----------------------------------0------0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~
----0---------1------------------------------------1-----0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~
----0---------1--------------------------------------0---0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~
----01----------------------------------------------------0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~
----0---------1----------------------------1--------------0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~
----0---------1-----------------------------------0-------0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~
----0---------1------------------------------------1------0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~
----0---------1--------------------------------------0----0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~
----0------------------------------------------------------0------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~
1----0--------0---------------------------------------------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~
-----0--------00--------------------------------------------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~
-----0--------0----------------1----------------------------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~
-----0--------0----------------0----------------------------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~
----0---------0------------------------------------------0--0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~
----0---------0-------------------------------------------0-0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~
-------------------------------------------------0--1--------1---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~
--------------------------------------------------------------1--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~
----------------------------------------------------0---------1--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~
-------------------------------------------------------1-------1-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~00~~~~~~~
----------------------------------------------------0--0-------1-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~
--------------------------------------------------------------11-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~
----------------------------------------------------1--1------01-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~
----------------------------------------------------0--1-------0-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~
-------------------------------------------------------0-------0-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~
--------------------------------------------------------------00-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~
----------------------------------------------------1--0------00-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~
----------1--------------------------1000-----0--1----1---------1------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~
-------------------------------------------------0--------------0------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~
------------------------------------------------------------0---0------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~
-------------------------------------------1---------------------0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~
--------------------------------------------------0--------------0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~
---------------------------------------------------1-------------0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~
-----------------------------------------------------0-----------0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~
------------------------------------------------------------0----0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~
-------------------------------------------------0----------------1----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~
---------------------------------------------1--1-----------------0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~
-------------------------------------------------------------0----0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~
----------------------------------------------------------------0-0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~
-------------------------------------------------1-----------------0---- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~
----------------------------------------------------0--------------0---- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~
--------------------------------------------------------0----------0---- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~
-------------------------------------------------------------0-----0---- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~
-------------0------------------------------------------------------0--- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~
----0------------------------------------------------0--------------0--- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~
-------0-1-----------------------------------------------------------0-- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0
------------------------------------------0---------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~
----------1-----------------------------------1--1--------------1------0 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~
.i 73
.o 121
.ilb SIZE_1_ A_31_ IPL_2_ FC_1_ AS_030 DS_030 nEXP_SPACE BG_030 BGACK_000 CLK_030 CLK_000 CLK_OSZI VPA RST RW SIZE_0_ A_30_ A_29_ A_28_ A_27_ A_26_ A_25_ A_24_ A_23_ A_22_ A_21_ A_20_ A_19_ A_18_ A_17_ A_16_ A_0_ IPL_1_ IPL_0_ FC_0_ BG_000.Q BGACK_030.Q FPU_CS.Q E.Q VMA.Q cpu_est_0_.Q cpu_est_1_.Q AS_000.Q inst_AS_030_000_SYNC.Q inst_DTACK_SYNC.Q IPL_030_0_.Q inst_VPA_D.Q inst_VPA_SYNC.Q IPL_030_1_.Q inst_CLK_000_D0.Q inst_CLK_000_D1.Q IPL_030_2_.Q inst_CLK_000_D2.Q inst_CLK_OUT_PRE.Q SM_AMIGA_6_.Q cpu_est_2_.Q CLK_REF_0_.Q CLK_REF_1_.Q SM_AMIGA_7_.Q UDS_000.Q LDS_000.Q DSACK_1_.Q SM_AMIGA_4_.Q SM_AMIGA_1_.Q CLK_CNT_0_.Q CLK_CNT_1_.Q SM_AMIGA_3_.Q SM_AMIGA_5_.Q SM_AMIGA_2_.Q SM_AMIGA_0_.Q AMIGA_BUS_ENABLE.Q DSACK_1_.PIN DTACK.PIN
.ob BERR AVEC AVEC_EXP AMIGA_BUS_DATA_DIR AMIGA_BUS_ENABLE_LOW CIIN SM_AMIGA_6_.C SM_AMIGA_6_.AR SM_AMIGA_5_.C SM_AMIGA_5_.AR SM_AMIGA_4_.C SM_AMIGA_4_.AR SM_AMIGA_3_.C SM_AMIGA_3_.AR SM_AMIGA_2_.C SM_AMIGA_2_.AR SM_AMIGA_1_.C SM_AMIGA_1_.AR SM_AMIGA_0_.C SM_AMIGA_0_.AR cpu_est_1_.C cpu_est_2_.C E.C IPL_030_0_.C IPL_030_0_.AP IPL_030_1_.C IPL_030_1_.AP IPL_030_2_.C IPL_030_2_.AP SM_AMIGA_7_.C SM_AMIGA_7_.AP VMA.C VMA.AP BGACK_030.C BGACK_030.AP inst_CLK_OUT_PRE.C CLK_CNT_0_.C CLK_CNT_1_.C cpu_est_0_.C inst_VPA_SYNC.C inst_VPA_SYNC.AP FPU_CS.C FPU_CS.AP inst_AS_030_000_SYNC.C inst_AS_030_000_SYNC.AP AS_000.C AS_000.AP AMIGA_BUS_ENABLE.C BG_000.C BG_000.AP DSACK_1_.C DSACK_1_.AP UDS_000.C UDS_000.AP LDS_000.C LDS_000.AP inst_DTACK_SYNC.C inst_DTACK_SYNC.AP CLK_EXP.C DTACK.C DTACK.AP inst_CLK_000_D2.C inst_VPA_D.C inst_CLK_000_D0.C RESET.C inst_CLK_000_D1.C CLK_REF_0_.LH CLK_REF_0_.AP CLK_REF_1_.LH CLK_REF_1_.AR DSACK_0_ DSACK_1_.OE DTACK.OE AS_000.OE UDS_000.OE LDS_000.OE BERR.OE DSACK_0_.OE AVEC_EXP.OE CIIN.OE CLK_DIV_OUT.C CLK_DIV_OUT.D BG_000.D BGACK_030.D FPU_CS.D E.T VMA.T cpu_est_0_.D cpu_est_1_.T CLK_EXP.D AS_000.D inst_AS_030_000_SYNC.D inst_DTACK_SYNC.D IPL_030_0_.D inst_VPA_D.D inst_VPA_SYNC.D IPL_030_1_.D inst_CLK_000_D0.D inst_CLK_000_D1.D IPL_030_2_.D inst_CLK_000_D2.D inst_CLK_OUT_PRE.T SM_AMIGA_6_.D cpu_est_2_.D CLK_REF_0_.D CLK_REF_1_.D SM_AMIGA_7_.D UDS_000.D LDS_000.D DSACK_1_.D SM_AMIGA_4_.D SM_AMIGA_1_.D DTACK.D CLK_CNT_0_.D CLK_CNT_1_.D SM_AMIGA_3_.D RESET.D SM_AMIGA_5_.D SM_AMIGA_2_.D SM_AMIGA_0_.D AMIGA_BUS_ENABLE.D
.p 308
------------------------------------------------------------------------- ~1~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
----1-------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
----11------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~~
------1------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
-------1----------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
---0-----1--------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
------0--1--------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
--------01--------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
----0----0--------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
------1--0--------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
----------1-------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~
----1-----0-------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~1~~~~~~~~~~~~~~~~~~~~~~~~~
-----------1------------------------------------------------------------- ~~~~~~1~1~1~1~1~1~1~1111~1~1~1~1~1~11111~1~1~1~11~1~1~1~1~11~11111~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
------------1------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~
-------------1----------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~
-------------0----------------------------------------------------------- ~~~~~~~1~1~1~1~1~1~1~~~~1~1~1~1~1~1~~~~~1~1~1~1~~1~1~1~1~1~~1~~~~~~1~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
--------------0---------------------------------------------------------- ~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
-0--------------0000000-------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
-----------------------1111---------------------------------------------- ~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
---------1-----------------1--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
---------1------------------1-------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
---------1-------------------0------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
---------1--------------------1------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
---1----11-----------------0010---1-------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
---------1------------------------0-------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
---------1-------------------------1------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
------------------------------------1------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~111~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
--------1---------------------------1------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
------------------------------------0------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
---------0---------------------------1----------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
-------------------------------------0----------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
----1---------------------------------0---------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~
----1----------------------------------1--------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~
----1-----------------------------------1-------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~
----1------------------------------------1------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~
------------------------------------------1------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~
----1--------------------------------------1----------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
---------0---------------------------------1----------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
----1---------1----------------------------1----------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~~
------------------------------------------11----------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
----------0---------------------------------1---------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~
----1-----------------------------------------1-------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~
----1-----------------------------------------0-------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~
--------------------------------------------1-0-------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~
----------0------------------------------------1------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~
--------------------------------------0--------1------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~
---------------------------------------1-------1------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~
----------------------------------------1------1------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~
-----------------------------------------1-----1------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~
----------------------------------------------11------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~
-------------------------------------------------1----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~
----1--------------------------------------------1----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~
----1--------------------------------------------0----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~1~~~~~~~~~~~~~~~~~~~~~~~~~
----------------------------------------1--------0----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
--------------------------------------------1----0----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~
---------------------------------------------1---0----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~
-----------------------------------------------1-0----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~
------------------------------------------------10----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~
--------------------------------------------------1---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~
----------------------------------------1---------1---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
---------------------------------------------1----1---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~
------------------------------------------------1-1---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~
----1---------------------------------------------0---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
----1---------1-----------------------------------0---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~~
------------------------------------------1-------0---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
--1----------------------------------------------10---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~
--------1----------------------------------------10---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
--------------------------------1----------------10---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~
---------------------------------1---------------10---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~
--------------------------------------1-1--------10---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~
----------------------------------------0--------10---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
----------------------------------------00-------10---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~
--------------------------------------1-00-------10---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
-------------------------------------------------0-1--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~
--------------------------------------------------11--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~
----1-----------------------------------------------1-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
----1---------1-------------------------------------1-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~~
------------------------------------------1---------1-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
-----------------------------------------------------1------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
----1------------------------------------------------0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~
------0------1----------------------------------------1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1
-------------------------------------------1----------1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~
--------------------------------------------------0---1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~
----------------------------------------------------1-1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~
-------------------------------------------0------1-0-1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~
-----0--------1----------------1-----------0------1-0-1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~
0----0--------11---------------0-----------0------1-0-1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~
----1-------------------------------------------------0------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
----1--------1----------------------------------------0------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1
----1---------1---------------------------------------0------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~~
------------------------------------------1-----------0------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
-----------------------------------------1-------------1----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~
--------------------------------------00011------1-----1----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
-------------------------------------------------0-----1----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~
--------------------------------------0110----0--0-----1----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
--------------------------------------------------1----1----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~
--------------------------------------0-1--------10----1----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
--------------------------------------1-11-------10----1----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
----1--------------------------------------------------0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~
-----------------------------------------------1-------0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~
--------------------------------------1-11-------10----0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
--------------------------------------0-11-------10----0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
--------------------------------------0--0-------10----0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
--------------------------------------0-00-------10----0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
-------------------------------------------------1--------1-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~
-------------------------------------------------0--------1-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~
---------0--------------------------------------------0---0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
-----1-----------------------------------------------------1------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~
--------------1----------------------------1---------------1------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~
--------------1-----------------------------------0--------1------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~
--------------1-------------------------------------1------1------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~
--------------1---------------------------------------0----1------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~
-----1------------------------------------------------------1------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~
--------------1----------------------------1----------------1------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~
--------------1-----------------------------------0---------1------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~
--------------1-------------------------------------1-------1------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~
--------------1---------------------------------------0-----1------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~
-------------------------------------------------1-----------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~
-----------------------------------------------------0-------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~
-----0--------0----------------1------------------------------1---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~
0----0--------01---------------0------------------------------1---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~
-------------------------------------------------1------------1---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~
-------------------------------------------------0------------1---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~
----1---------0-----------------------------------------------0---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~~
--------------0--------------------------------------------1--0---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~
--------------0---------------------------------------------1-0---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~
-------------------------------------------------1-------------1--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~
-------------------------------------------------0---1---------1--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~
----1----------------------------------------------------------0--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~
-------------------------------------------------------------1-0--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~
--------------------------------------------------------1-------0-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~
--------------------------------------------------------11------11------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~
--------------------------------------------------------1-------01------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~
--------------------------------------------------------01------01------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~
---------------------------------------------------------0------01------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~
--------------------------------------------------------0-------10------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~
---------------------------------------------------------1------10------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~
--------------------------------------------------------10------10------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~
---------------------------------------------------------1------00------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~
--------------------------------------------------------00------00------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~
--------------------------------------------1--1------------------1------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~
-------------------------------------------------1----------------1------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~
--------------------------------------------0----0----------------1------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~
-----------------------------------------------0-0----------------1------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~
----1-------------------------------------------------------------0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~1~~~~~~~~~~~~~~~~~~~~~~~~~
--------------------------------------------1---------------------0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~
-----------------------------------------------1------------------0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~
-------------------------------------------------1-----------------1----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~
-------------------------------------------------0-----------------1----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~
-------------------------------------------------1------------------1---- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~
-------------------------------------------------0------------------1---- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~
-----------------------------------------------------0---------1----0---- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~
------------------------------------------0--------------------------1--- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~
------------------------------------------1------1-------------------1--- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~
-------------------------------------------------0-------------------1--- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~
-------------0--------------------------------------------------------1-- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1
------------------------------------------------------0---------------1-- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1
-----------------------------------------------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~
----1-------------------------------------------------------------------1 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~
--------------------------------------------1---------------------------1 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~
------------------------------------------------------------------------- 0~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~00~~~~~~~~~~~~~~~
-1----------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
------0------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
--------0---------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
---00-1--1--------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
----0-1-01--------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
----------0-------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~
-----------0------------------------------------------------------------- ~~~~~~0~0~0~0~0~0~0~0000~0~0~0~0~0~00000~0~0~0~00~0~0~0~0~00~00000~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
------------0------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~
-------------1----------------------------------------------------------- ~~~~~~~0~0~0~0~0~0~0~~~~0~0~0~0~0~0~~~~~0~0~0~0~~0~0~0~0~0~~0~~~~~~0~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
-------------0----------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~
--------------1---------------------------------------------------------- ~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
----------------1-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
-----------------1------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
------------------1------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
-------------------1----------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
--------------------1---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
---------------------1--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
----------------------1-------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
-----------------------0------------------------------------------------- ~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
------------------------0------------------------------------------------ ~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
-------------------------0----------------------------------------------- ~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
--------------------------0---------------------------------------------- ~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
----0-1--1-----------------1--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
----0-1--1------------------1-------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
----0-1--1-------------------0------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
----0-1--1--------------------1------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
---10---11-----------------0010---1-------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
----0-1--1------------------------0-------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
-------0-1-------------------------0------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
------------------------------------1------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
------------------------------------0------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~000~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
-------------------------------------1----------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
----0----0---------------------------0----------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
--------------------------------------1---------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
---------------------------------------01-------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
----------------------------------------01------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
----------------------------------------10------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
--------------------------------------1-10------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
----------------------------------------00------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
----0-------------------------------------0------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
----0----0---------------------------------0----------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
----0---------------------------------------0---------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~
----0------------------------------------------0------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~
-------------------------------------------------1----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~0~~
---------------------------------------1---------1----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
------------------------------------------1------1----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~
------------------------------------------0------1----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
-------------------------------------------------0----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~0~~~~~~~~~0~~~~~~~0~~~~~~~~~~~~~~
------------------------------------0------------0----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
----------------------------------------0--------0----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
-----------------------------------------1-------0----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
-------------------------------------------1-----0----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~
--------------------------------------------0----0----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~
---------------------------------------------0---0----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~
----------------------------------------------1--0----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
-----------------------------------------------0-0----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~
------------------------------------------------00----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~
--------------------------------------------------1---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
------------------------------------0-------------1---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
----------------------------------------0---------1---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
---------------------------------------------0----1---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~
------------------------------------------------0-1---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~
--------------------------------------------------0---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~
--0----------------------------------------------10---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~
--------------------------------0----------------10---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~
---------------------------------0---------------10---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~
----------------------------------------1--------10---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
--------------------------------------0-10-------10---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~
-------------------------------------------------00---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~
-------------------------------------------------0-0--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~
--------------------------------------------------10--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~
-------------------------------------------------0--1-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~
-------------------------------------------0-----11-0-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~
-------------------------------------------------0---1------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~
-----------------------------------------------------0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
----1-00-0--------------------------------------------1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
------1------1----------------------------------------1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0
-------------------------------------------0------1-0-1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
1----0--------1----------------------------0------1-0-1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~
-----0--------10---------------------------0------1-0-1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~
-----0--------1----------------1-----------0------1-0-1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~
-----0--------1----------------0-----------0------1-0-1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~
-------------------------------------------------1----0------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~
-------------------------------------------------0----0------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~
--------------------------------------0----------------1----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
----------------------------------------0--------------1----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
--------------------------------------0-0--------------1----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
--------------------------------------1--1-------------1----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
-------------------------------------------------------0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
--------------------------------------1----------------0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
--------------------------------------0--1-------------0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~
----------------------------------------01-------------0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~
-------------------------------------------------0-----0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~
--------------------------------------------------1----0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~
----1-00-0------------------------------------------------1-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
------------------------------------------0---------------0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~
-------------------------------------------0------1-0-----0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~
------------------------------------------------------0---0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~
----01-----------------------------------------------------0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~
----0---------1----------------------------1---------------0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~
----0---------1-----------------------------------0--------0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~
----0---------1-------------------------------------1------0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~
----0---------1---------------------------------------0----0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~
----01------------------------------------------------------0------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~
----0---------1----------------------------1----------------0------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~
----0---------1-----------------------------------0---------0------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~
----0---------1-------------------------------------1-------0------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~
----0---------1---------------------------------------0-----0------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~
----0--------------------------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~
1----0--------0-----------------------------------------------1---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~
-----0--------00----------------------------------------------1---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~
-----0--------0----------------1------------------------------1---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~
-----0--------0----------------0------------------------------1---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~
----0---------0--------------------------------------------0--0---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~
----0---------0---------------------------------------------0-0---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~
-------------------------------------------------0---1---------1--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~
----------------------------------------------------------------1-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~
--------------------------------------------------------0-------1-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~
--------------------------------------------------------1-------0-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~
--------------------------------------------------------01------0-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~
--------------------------------------------------------01-------1------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~
---------------------------------------------------------0-------1------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~
----------------------------------------------------------------11------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~
---------------------------------------------------------1-------0------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~
--------------------------------------------------------10-------0------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~
--------------------------------------------------------00-------0------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~
----------------------------------------------------------------00------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~
----------1---------------------------1000----0--1-----1----------1------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~
-------------------------------------------------0----------------0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~
--------------------------------------------------------------0---0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~
-------------------------------------------1-----------------------0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~
--------------------------------------------------0----------------0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~
----------------------------------------------------1--------------0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~
------------------------------------------------------0------------0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~
--------------------------------------------------------------0----0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~
-------------------------------------------------0------------------1---- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~
--------------------------------------------1--1--------------------0---- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~
---------------------------------------------------------------0----0---- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~
------------------------------------------------------------------0-0---- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~
-------------------------------------------------1-------------------0--- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~
-----------------------------------------------------0---------------0--- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~
----------------------------------------------------------0----------0--- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~
---------------------------------------------------------------0-----0--- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~
-------------0--------------------------------------------------------0-- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0
----0-------------------------------------------------0---------------0-- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0
------------------------------------------0----------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~
----------1-----------------------------------1--1----------------1-----0 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~
.end

View File

@ -1,30 +1,30 @@
#$ TOOL ispLEVER Classic 1.7.00.05.28.13
#$ DATE Sun May 18 21:01:47 2014
#$ DATE Thu May 22 14:56:10 2014
#$ MODULE BUS68030
#$ PINS 59 SIZE_1_ A_31_ IPL_2_ FC_1_ AS_030 DS_030 SIZE_0_ A_30_ nEXP_SPACE
A_29_ BERR A_28_ BG_030 A_27_ A_26_ A_25_ BGACK_000 A_24_ CLK_030 A_23_ CLK_000
A_22_ CLK_OSZI A_21_ CLK_DIV_OUT A_20_ A_19_ A_18_ A_17_ AVEC A_16_ AVEC_EXP VPA
RST RW AMIGA_BUS_DATA_DIR AMIGA_BUS_ENABLE_LOW CIIN A_0_ IPL_1_ IPL_0_ DSACK_0_
FC_0_ IPL_030_2_ DSACK_1_ AS_000 UDS_000 LDS_000 BG_000 BGACK_030 CLK_EXP FPU_CS
DTACK E VMA RESET AMIGA_BUS_ENABLE IPL_030_1_ IPL_030_0_
#$ NODES 22 cpu_est_0_ cpu_est_1_ inst_AS_030_000_SYNC inst_DTACK_SYNC
#$ PINS 59 SIZE_1_ A_31_ IPL_2_ FC_1_ AS_030 DS_030 nEXP_SPACE BERR SIZE_0_
BG_030 A_30_ A_29_ A_28_ BGACK_000 A_27_ CLK_030 A_26_ CLK_000 A_25_ CLK_OSZI
A_24_ CLK_DIV_OUT A_23_ A_22_ A_21_ A_20_ AVEC A_19_ AVEC_EXP A_18_ A_17_ VPA
A_16_ RST RW AMIGA_BUS_DATA_DIR AMIGA_BUS_ENABLE_LOW CIIN A_0_ IPL_1_ IPL_0_
DSACK_0_ FC_0_ IPL_030_2_ DSACK_1_ AS_000 UDS_000 LDS_000 BG_000 BGACK_030
CLK_EXP FPU_CS DTACK E VMA RESET AMIGA_BUS_ENABLE IPL_030_1_ IPL_030_0_
#$ NODES 23 cpu_est_0_ cpu_est_1_ inst_AS_030_000_SYNC inst_DTACK_SYNC
inst_VPA_D inst_VPA_SYNC inst_CLK_000_D0 inst_CLK_000_D1 inst_CLK_000_D2
inst_CLK_OUT_PRE SM_AMIGA_6_ cpu_est_2_ CLK_REF_1_ SM_AMIGA_7_ SM_AMIGA_4_
SM_AMIGA_1_ CLK_CNT_0_ CLK_CNT_1_ SM_AMIGA_3_ SM_AMIGA_5_ SM_AMIGA_2_
SM_AMIGA_0_
inst_CLK_OUT_PRE SM_AMIGA_6_ cpu_est_2_ CLK_REF_0_ CLK_REF_1_ SM_AMIGA_7_
SM_AMIGA_4_ SM_AMIGA_1_ CLK_CNT_0_ CLK_CNT_1_ SM_AMIGA_3_ SM_AMIGA_5_
SM_AMIGA_2_ SM_AMIGA_0_
.type f
.i 72
.o 120
.i 73
.o 122
.ilb SIZE_1_ A_31_ IPL_2_ FC_1_ AS_030 DS_030 nEXP_SPACE BG_030 BGACK_000
CLK_030 CLK_000 CLK_OSZI VPA RST RW SIZE_0_ A_30_ A_29_ A_28_ A_27_ A_26_ A_25_
A_24_ A_23_ A_22_ A_21_ A_20_ A_19_ A_18_ A_17_ A_16_ A_0_ IPL_1_ IPL_0_ FC_0_
BGACK_030.Q FPU_CS.Q E.Q VMA.Q cpu_est_0_.Q cpu_est_1_.Q IPL_030_0_.Q AS_000.Q
inst_AS_030_000_SYNC.Q IPL_030_1_.Q inst_DTACK_SYNC.Q inst_VPA_D.Q IPL_030_2_.Q
inst_VPA_SYNC.Q inst_CLK_000_D0.Q inst_CLK_000_D1.Q inst_CLK_000_D2.Q
inst_CLK_OUT_PRE.Q SM_AMIGA_6_.Q cpu_est_2_.Q CLK_REF_1_.Q SM_AMIGA_7_.Q
UDS_000.Q LDS_000.Q DSACK_1_.Q SM_AMIGA_4_.Q SM_AMIGA_1_.Q CLK_CNT_0_.Q
CLK_CNT_1_.Q SM_AMIGA_3_.Q SM_AMIGA_5_.Q SM_AMIGA_2_.Q SM_AMIGA_0_.Q
AMIGA_BUS_ENABLE.Q BG_000.Q DSACK_1_.PIN DTACK.PIN
BG_000.Q BGACK_030.Q FPU_CS.Q E.Q VMA.Q cpu_est_0_.Q cpu_est_1_.Q AS_000.Q
inst_AS_030_000_SYNC.Q inst_DTACK_SYNC.Q IPL_030_0_.Q inst_VPA_D.Q
inst_VPA_SYNC.Q IPL_030_1_.Q inst_CLK_000_D0.Q inst_CLK_000_D1.Q IPL_030_2_.Q
inst_CLK_000_D2.Q inst_CLK_OUT_PRE.Q SM_AMIGA_6_.Q cpu_est_2_.Q CLK_REF_0_.Q
CLK_REF_1_.Q SM_AMIGA_7_.Q UDS_000.Q LDS_000.Q DSACK_1_.Q SM_AMIGA_4_.Q
SM_AMIGA_1_.Q CLK_CNT_0_.Q CLK_CNT_1_.Q SM_AMIGA_3_.Q SM_AMIGA_5_.Q
SM_AMIGA_2_.Q SM_AMIGA_0_.Q AMIGA_BUS_ENABLE.Q DSACK_1_.PIN DTACK.PIN
.ob BERR BERR.OE CLK_DIV_OUT.D CLK_DIV_OUT.C AVEC AVEC_EXP AVEC_EXP.OE
AMIGA_BUS_DATA_DIR AMIGA_BUS_ENABLE_LOW CIIN CIIN.OE DSACK_0_ DSACK_0_.OE
IPL_030_2_.D IPL_030_2_.C IPL_030_2_.AP DSACK_1_.D% DSACK_1_.C DSACK_1_.AP
@ -39,125 +39,128 @@
inst_DTACK_SYNC.C inst_DTACK_SYNC.AP inst_VPA_D.D inst_VPA_D.C inst_VPA_SYNC.D%
inst_VPA_SYNC.C inst_VPA_SYNC.AP inst_CLK_000_D0.D inst_CLK_000_D0.C
inst_CLK_000_D1.D inst_CLK_000_D1.C inst_CLK_000_D2.D inst_CLK_000_D2.C
inst_CLK_OUT_PRE.D.X1 inst_CLK_OUT_PRE.D.X2 inst_CLK_OUT_PRE.C SM_AMIGA_6_.D
SM_AMIGA_6_.C SM_AMIGA_6_.AR cpu_est_2_.D.X1 cpu_est_2_.D.X2 cpu_est_2_.C
CLK_REF_1_.D CLK_REF_1_.LH CLK_REF_1_.AR SM_AMIGA_7_.D SM_AMIGA_7_.C
SM_AMIGA_7_.AP SM_AMIGA_4_.D SM_AMIGA_4_.C SM_AMIGA_4_.AR SM_AMIGA_1_.D
SM_AMIGA_1_.C SM_AMIGA_1_.AR CLK_CNT_0_.D CLK_CNT_0_.C CLK_CNT_1_.D CLK_CNT_1_.C
SM_AMIGA_3_.D SM_AMIGA_3_.C SM_AMIGA_3_.AR SM_AMIGA_5_.D SM_AMIGA_5_.C
SM_AMIGA_5_.AR SM_AMIGA_2_.D SM_AMIGA_2_.C SM_AMIGA_2_.AR SM_AMIGA_0_.D
SM_AMIGA_0_.C SM_AMIGA_0_.AR
.phase 111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
.p 111
------------------------------------------------------------------------ 000010001001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
------------------------------------0----------------------------------- 010000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
----------------------------------------------------1------------------- 001000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000
-----------1------------------------------------------------------------ 000100000000001001000100010001000100100101001000101001010100100101010010010100101010010100010000100100100101010010010010
--------------0--------------------------------------------------------- 000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
-----------------------1111--------------------------------------------- 000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
-0--------------0000000------------------------------------------------- 000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
------1----------------------------------------------------------------- 000000000000100000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
-----------------------------------------------1-0---------------------- 000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
-----------------------------------------------1--1--------------------- 000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
--1----------------------------------------------10--------------------- 000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
-------------0---------------------------------------------------------- 000000000000000100100010001000100010010000100100000100000010010000001001000010000000000010000010010010010000001001001001
----0------------------------------------------------------0------------ 000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
-------------------------------------------------0--1--------1---------- 000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100
----0-------------------------------------0----------------------------- 000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
-------------------------------------------0------10-1------------------ 000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000
-----------------------------------1------------------------------------ 000000000000000000000001000100010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
-----0--------1----------------0-----------0------10-1------------------ 000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
----01---------------------------------------------------0-------------- 000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
----0---------1----------------------------1-------------0-------------- 000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
----0---------1-----------------------------------0------0-------------- 000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
----0---------1------------------------------------1-----0-------------- 000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
----0---------1--------------------------------------0---0-------------- 000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
-----0--------0----------------0----------------------------1----------- 000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
----0---------0------------------------------------------0--0----------- 000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
1----0--------1----------------------------0------10-1------------------ 000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
-----0--------10---------------------------0------10-1------------------ 000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
-----0--------1----------------1-----------0------10-1------------------ 000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
----01----------------------------------------------------0------------- 000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
----0---------1----------------------------1--------------0------------- 000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
----0---------1-----------------------------------0-------0------------- 000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
----0---------1------------------------------------1------0------------- 000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
----0---------1--------------------------------------0----0------------- 000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
1----0--------0---------------------------------------------1----------- 000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
-----0--------00--------------------------------------------1----------- 000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
-----0--------0----------------1----------------------------1----------- 000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
----0---------0-------------------------------------------0-0----------- 000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
----1-00-0-------------------------------------------1------------------ 000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
----1-00-0----------------------------------------------1--------------- 000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
-------0-1-----------------------------------------------------------0-- 000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
--------1--------------------------1------------------------------------ 000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000
--------1----------------------------------------10--------------------- 000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000
---10---11-----------------0010---1------------------------------------- 000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000
----0----0--------------------------0----------------------------------- 000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000
------------------------------------------0---------------------------0- 000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000
-----------------------------------0------------------------------------ 000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000
-------------------------------------1-11--------10---1----------------- 000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000
-------------------------------------0-11--------10---0----------------- 000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000
-------------------------------------0-00--------10---0----------------- 000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000
-------------------------------------0001-1------1----1----------------- 000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000
-------------------------------------0110-----0--0----1----------------- 000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000
-------------1---------------------------------------------------------- 000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000
------1------1---------------------------------------1------------------ 000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000
-------------0------------------------------------------------------0--- 000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000
----0------------------------------------------------0--------------0--- 000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000
--------------------------------------------1----0---------------------- 000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000
--------------------------------------------1-----1--------------------- 000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000
--------------------------------1----------------10--------------------- 000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000
-----------------------------------------1-------0---------------------- 000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000
-----------------------------------------1--------1--------------------- 000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000
---------------------------------1---------------10--------------------- 000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000
---------------------------------------1---------0---------------------- 000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000
---------------------------------------1----------1--------------------- 000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000
---------------------------------------0---------10--------------------- 000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000
-------------------------------------1-00--------10--------------------- 000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000
-------------------------------------0-1---------10---1----------------- 000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000
-------------------------------------1-11--------10---0----------------- 000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000
-------------------------------------0--0--------10---0----------------- 000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000
----1------------------------------------------------------------------- 000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000
------0--1-------------------------------------------------------------- 000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000
---1----11-----------------0010---1------------------------------------- 000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000
---------0---------------------------------1---------------------------- 000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000
----0----------------------------------------0-------------------------- 000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000
----------1-----------------------------------1--1--------------1------0 000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000
------------1----------------------------------------------------------- 000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000
----0-------------------------------------------0----------------------- 000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000
----------1--------------------------1000-----0--1----1---------1------- 000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000
----------1------------------------------------------------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000
-------------------------------------------------1---------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000
--------------------------------------------------1--------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000
----------------------------------------------------1---------1--------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000
----------------------------------------------------1----------1-------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000
----------------------------------------------------0---------00-------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000
-------------------------------------------------------1------0--------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000
-------------------------------------------1---------1------------------ 000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000
--------------------------------------------------0--1------------------ 000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000
---------------------------------------------------1-1------------------ 000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000
-------------------------------------------------0------1--------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000
-------------------------------------1-1---------10---0----------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000
---------------------------------------00--------10---0----------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000
-------------------------------------0-10--------10---1----------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000
------------------------------------------------------1----------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000
-------------------------------------------------1------1--------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000
------------------------------------------1------1-----------------1---- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000
-------------------------------------------------0----------1----------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000
-------------------------------------------------0---------------1------ 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000
-------------------------------------------------1-----------1---------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000
-------------------------------------------------1----------------1----- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000
----------------------------------------------------0--------1----0----- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000
-------------------------------------------------------0------01-------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000000000000
-------------------------------------------------------1------00-------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000
--------------------------------------------------------------10-------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000
-------------------------------------------------1----------1----------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000
---------------------------------------------1--1---------------1------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000
-------------------------------------------------1--------------1------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000
-------------------------------------------------1---------------1------ 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000
---------------------------------------------0---0--------------1------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000
------------------------------------------------00--------------1------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000
-------------------------------------------------0----------------1----- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000
------------------------------------------0------------------------1---- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100
-------------------------------------------------0-----------------1---- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100
inst_CLK_OUT_PRE.T inst_CLK_OUT_PRE.C SM_AMIGA_6_.D SM_AMIGA_6_.C SM_AMIGA_6_.AR
cpu_est_2_.D.X1 cpu_est_2_.D.X2 cpu_est_2_.C CLK_REF_0_.D CLK_REF_0_.LH
CLK_REF_0_.AP CLK_REF_1_.D CLK_REF_1_.LH CLK_REF_1_.AR SM_AMIGA_7_.D
SM_AMIGA_7_.C SM_AMIGA_7_.AP SM_AMIGA_4_.D SM_AMIGA_4_.C SM_AMIGA_4_.AR
SM_AMIGA_1_.D SM_AMIGA_1_.C SM_AMIGA_1_.AR CLK_CNT_0_.D CLK_CNT_0_.C
CLK_CNT_1_.D CLK_CNT_1_.C SM_AMIGA_3_.D SM_AMIGA_3_.C SM_AMIGA_3_.AR
SM_AMIGA_5_.D SM_AMIGA_5_.C SM_AMIGA_5_.AR SM_AMIGA_2_.D SM_AMIGA_2_.C
SM_AMIGA_2_.AR SM_AMIGA_0_.D SM_AMIGA_0_.C SM_AMIGA_0_.AR
.phase 11111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
.p 114
------------------------------------------------------------------------- 00001000100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
-------------------------------------0----------------------------------- 01000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
-----------------------------------------------------1------------------- 00100000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000
-----------1------------------------------------------------------------- 00010000000000100100010001000100010010010100100010100101010010010101001001010010101010100010000000100100100101010010010010
--------------0---------------------------------------------------------- 00000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
-----------------------1111---------------------------------------------- 00000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
-0--------------0000000-------------------------------------------------- 00000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
------1------------------------------------------------------------------ 00000000000010000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
--1----------------------------------------------10---------------------- 00000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
-------------------------------------------------0-1--------------------- 00000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
--------------------------------------------------11--------------------- 00000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
-------------0----------------------------------------------------------- 00000000000000010010001000100010001001000010010000010000001001000000100100001000000000010000010010010010010000001001001001
----0--------------------------------------------------------0----------- 00000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
-------------------------------------------------0---1---------1--------- 00000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100
----0-------------------------------------0------------------------------ 00000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
-------------------------------------------0------1-0-1------------------ 00000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000
------------------------------------1------------------------------------ 00000000000000000000000100010001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
-----0--------1----------------0-----------0------1-0-1------------------ 00000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
----01-----------------------------------------------------0------------- 00000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
----0---------1----------------------------1---------------0------------- 00000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
----0---------1-----------------------------------0--------0------------- 00000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
----0---------1-------------------------------------1------0------------- 00000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
----0---------1---------------------------------------0----0------------- 00000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
-----0--------0----------------0------------------------------1---------- 00000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
----0---------0--------------------------------------------0--0---------- 00000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
1----0--------1----------------------------0------1-0-1------------------ 00000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
-----0--------10---------------------------0------1-0-1------------------ 00000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
-----0--------1----------------1-----------0------1-0-1------------------ 00000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
----01------------------------------------------------------0------------ 00000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
----0---------1----------------------------1----------------0------------ 00000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
----0---------1-----------------------------------0---------0------------ 00000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
----0---------1-------------------------------------1-------0------------ 00000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
----0---------1---------------------------------------0-----0------------ 00000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
1----0--------0-----------------------------------------------1---------- 00000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
-----0--------00----------------------------------------------1---------- 00000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
-----0--------0----------------1------------------------------1---------- 00000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
----0---------0---------------------------------------------0-0---------- 00000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
-------0-1-------------------------0------------------------------------- 00000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
----1-00-0--------------------------------------------1------------------ 00000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
----1-00-0------------------------------------------------1-------------- 00000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
--------1---------------------------1------------------------------------ 00000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000
--------1----------------------------------------10---------------------- 00000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000
---10---11-----------------0010---1-------------------------------------- 00000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000
----0----0---------------------------0----------------------------------- 00000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000
------------------------------------------0----------------------------0- 00000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000
------------------------------------0------------------------------------ 00000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000
--------------------------------------1-11-------10----1----------------- 00000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000
--------------------------------------0-11-------10----0----------------- 00000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000
--------------------------------------0-00-------10----0----------------- 00000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000
--------------------------------------00011------1-----1----------------- 00000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000
--------------------------------------0110----0--0-----1----------------- 00000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000
-------------1----------------------------------------------------------- 00000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000
------1------1----------------------------------------1------------------ 00000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000
-------------0--------------------------------------------------------0-- 00000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000
----0-------------------------------------------------0---------------0-- 00000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000
------------------------------------------------10----------------------- 00000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000
------------------------------------------------1-1---------------------- 00000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000
--------------------------------1----------------10---------------------- 00000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000
---------------------------------------------1---0----------------------- 00000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000
---------------------------------------------1----1---------------------- 00000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000
---------------------------------1---------------10---------------------- 00000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000
----------------------------------------1--------0----------------------- 00000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000
----------------------------------------1---------1---------------------- 00000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000
----------------------------------------0--------10---------------------- 00000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000
--------------------------------------1-00-------10---------------------- 00000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000
--------------------------------------0-1--------10----1----------------- 00000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000
--------------------------------------1-11-------10----0----------------- 00000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000
--------------------------------------0--0-------10----0----------------- 00000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000
----1-------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000
------0--1--------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000
---1----11-----------------0010---1-------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000
---------0---------------------------------1----------------------------- 00000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000
----0---------------------------------------0---------------------------- 00000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000
----------1-----------------------------------1--1----------------1-----0 00000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000
------------1------------------------------------------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000
----0------------------------------------------0------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000
----------1---------------------------1000----0--1-----1----------1------ 00000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000
----------1-------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000
-------------------------------------------------1----------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000
--------------------------------------------------1---------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000
--------------------------------------------------------11------11------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000
--------------------------------------------------------01------01------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000
--------------------------------------------------------10------10------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000
--------------------------------------------------------00------00------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000
-------------------------------------------1----------1------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000
--------------------------------------------------0---1------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000
----------------------------------------------------1-1------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000
-------------------------------------------------0--------1-------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000
--------------------------------------1-1--------10----0----------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000
----------------------------------------00-------10----0----------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000
--------------------------------------0-10-------10----1----------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000
-------------------------------------------------------1----------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000
-------------------------------------------------1--------1-------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000
------------------------------------------1------1-------------------1--- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000
-------------------------------------------------0------------1---------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000
-------------------------------------------------0-----------------1----- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000
-------------------------------------------------1-------------1--------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000
-------------------------------------------------1------------------1---- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000
-----------------------------------------------------0---------1----0---- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000
--------------------------------------------------------1-------0-------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000
---------------------------------------------------------0------01------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000000000000
---------------------------------------------------------1------00------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000
--------------------------------------------------------1-------01------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000
--------------------------------------------------------0-------10------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000
---------------------------------------------------------1------10------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000
-------------------------------------------------1------------1---------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000
--------------------------------------------1--1------------------1------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000
-------------------------------------------------1----------------1------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000
-------------------------------------------------1-----------------1----- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000
--------------------------------------------0----0----------------1------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000
-----------------------------------------------0-0----------------1------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000
-------------------------------------------------0------------------1---- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000
------------------------------------------0--------------------------1--- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100
-------------------------------------------------0-------------------1--- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100
.end

View File

@ -1,30 +1,30 @@
#$ TOOL ispLEVER Classic 1.7.00.05.28.13
#$ DATE Sun May 18 21:01:47 2014
#$ DATE Thu May 22 14:56:10 2014
#$ MODULE BUS68030
#$ PINS 59 SIZE_1_ A_31_ IPL_2_ FC_1_ AS_030 DS_030 SIZE_0_ A_30_ nEXP_SPACE
A_29_ BERR A_28_ BG_030 A_27_ A_26_ A_25_ BGACK_000 A_24_ CLK_030 A_23_ CLK_000
A_22_ CLK_OSZI A_21_ CLK_DIV_OUT A_20_ A_19_ A_18_ A_17_ AVEC A_16_ AVEC_EXP VPA
RST RW AMIGA_BUS_DATA_DIR AMIGA_BUS_ENABLE_LOW CIIN A_0_ IPL_1_ IPL_0_ DSACK_0_
FC_0_ IPL_030_2_ DSACK_1_ AS_000 UDS_000 LDS_000 BG_000 BGACK_030 CLK_EXP FPU_CS
DTACK E VMA RESET AMIGA_BUS_ENABLE IPL_030_1_ IPL_030_0_
#$ NODES 22 cpu_est_0_ cpu_est_1_ inst_AS_030_000_SYNC inst_DTACK_SYNC
#$ PINS 59 SIZE_1_ A_31_ IPL_2_ FC_1_ AS_030 DS_030 nEXP_SPACE BERR SIZE_0_
BG_030 A_30_ A_29_ A_28_ BGACK_000 A_27_ CLK_030 A_26_ CLK_000 A_25_ CLK_OSZI
A_24_ CLK_DIV_OUT A_23_ A_22_ A_21_ A_20_ AVEC A_19_ AVEC_EXP A_18_ A_17_ VPA
A_16_ RST RW AMIGA_BUS_DATA_DIR AMIGA_BUS_ENABLE_LOW CIIN A_0_ IPL_1_ IPL_0_
DSACK_0_ FC_0_ IPL_030_2_ DSACK_1_ AS_000 UDS_000 LDS_000 BG_000 BGACK_030
CLK_EXP FPU_CS DTACK E VMA RESET AMIGA_BUS_ENABLE IPL_030_1_ IPL_030_0_
#$ NODES 23 cpu_est_0_ cpu_est_1_ inst_AS_030_000_SYNC inst_DTACK_SYNC
inst_VPA_D inst_VPA_SYNC inst_CLK_000_D0 inst_CLK_000_D1 inst_CLK_000_D2
inst_CLK_OUT_PRE SM_AMIGA_6_ cpu_est_2_ CLK_REF_1_ SM_AMIGA_7_ SM_AMIGA_4_
SM_AMIGA_1_ CLK_CNT_0_ CLK_CNT_1_ SM_AMIGA_3_ SM_AMIGA_5_ SM_AMIGA_2_
SM_AMIGA_0_
inst_CLK_OUT_PRE SM_AMIGA_6_ cpu_est_2_ CLK_REF_0_ CLK_REF_1_ SM_AMIGA_7_
SM_AMIGA_4_ SM_AMIGA_1_ CLK_CNT_0_ CLK_CNT_1_ SM_AMIGA_3_ SM_AMIGA_5_
SM_AMIGA_2_ SM_AMIGA_0_
.type f
.i 72
.o 120
.i 73
.o 122
.ilb SIZE_1_ A_31_ IPL_2_ FC_1_ AS_030 DS_030 nEXP_SPACE BG_030 BGACK_000
CLK_030 CLK_000 CLK_OSZI VPA RST RW SIZE_0_ A_30_ A_29_ A_28_ A_27_ A_26_ A_25_
A_24_ A_23_ A_22_ A_21_ A_20_ A_19_ A_18_ A_17_ A_16_ A_0_ IPL_1_ IPL_0_ FC_0_
BGACK_030.Q FPU_CS.Q E.Q VMA.Q cpu_est_0_.Q cpu_est_1_.Q IPL_030_0_.Q AS_000.Q
inst_AS_030_000_SYNC.Q IPL_030_1_.Q inst_DTACK_SYNC.Q inst_VPA_D.Q IPL_030_2_.Q
inst_VPA_SYNC.Q inst_CLK_000_D0.Q inst_CLK_000_D1.Q inst_CLK_000_D2.Q
inst_CLK_OUT_PRE.Q SM_AMIGA_6_.Q cpu_est_2_.Q CLK_REF_1_.Q SM_AMIGA_7_.Q
UDS_000.Q LDS_000.Q DSACK_1_.Q SM_AMIGA_4_.Q SM_AMIGA_1_.Q CLK_CNT_0_.Q
CLK_CNT_1_.Q SM_AMIGA_3_.Q SM_AMIGA_5_.Q SM_AMIGA_2_.Q SM_AMIGA_0_.Q
AMIGA_BUS_ENABLE.Q BG_000.Q DSACK_1_.PIN DTACK.PIN
BG_000.Q BGACK_030.Q FPU_CS.Q E.Q VMA.Q cpu_est_0_.Q cpu_est_1_.Q AS_000.Q
inst_AS_030_000_SYNC.Q inst_DTACK_SYNC.Q IPL_030_0_.Q inst_VPA_D.Q
inst_VPA_SYNC.Q IPL_030_1_.Q inst_CLK_000_D0.Q inst_CLK_000_D1.Q IPL_030_2_.Q
inst_CLK_000_D2.Q inst_CLK_OUT_PRE.Q SM_AMIGA_6_.Q cpu_est_2_.Q CLK_REF_0_.Q
CLK_REF_1_.Q SM_AMIGA_7_.Q UDS_000.Q LDS_000.Q DSACK_1_.Q SM_AMIGA_4_.Q
SM_AMIGA_1_.Q CLK_CNT_0_.Q CLK_CNT_1_.Q SM_AMIGA_3_.Q SM_AMIGA_5_.Q
SM_AMIGA_2_.Q SM_AMIGA_0_.Q AMIGA_BUS_ENABLE.Q DSACK_1_.PIN DTACK.PIN
.ob BERR BERR.OE CLK_DIV_OUT.D CLK_DIV_OUT.C AVEC AVEC_EXP AVEC_EXP.OE
AMIGA_BUS_DATA_DIR AMIGA_BUS_ENABLE_LOW CIIN CIIN.OE DSACK_0_ DSACK_0_.OE
IPL_030_2_.D IPL_030_2_.C IPL_030_2_.AP DSACK_1_.D- DSACK_1_.C DSACK_1_.AP
@ -39,125 +39,128 @@
inst_DTACK_SYNC.C inst_DTACK_SYNC.AP inst_VPA_D.D inst_VPA_D.C inst_VPA_SYNC.D-
inst_VPA_SYNC.C inst_VPA_SYNC.AP inst_CLK_000_D0.D inst_CLK_000_D0.C
inst_CLK_000_D1.D inst_CLK_000_D1.C inst_CLK_000_D2.D inst_CLK_000_D2.C
inst_CLK_OUT_PRE.D.X1 inst_CLK_OUT_PRE.D.X2 inst_CLK_OUT_PRE.C SM_AMIGA_6_.D
SM_AMIGA_6_.C SM_AMIGA_6_.AR cpu_est_2_.D.X1 cpu_est_2_.D.X2 cpu_est_2_.C
CLK_REF_1_.D CLK_REF_1_.LH CLK_REF_1_.AR SM_AMIGA_7_.D SM_AMIGA_7_.C
SM_AMIGA_7_.AP SM_AMIGA_4_.D SM_AMIGA_4_.C SM_AMIGA_4_.AR SM_AMIGA_1_.D
SM_AMIGA_1_.C SM_AMIGA_1_.AR CLK_CNT_0_.D CLK_CNT_0_.C CLK_CNT_1_.D CLK_CNT_1_.C
SM_AMIGA_3_.D SM_AMIGA_3_.C SM_AMIGA_3_.AR SM_AMIGA_5_.D SM_AMIGA_5_.C
SM_AMIGA_5_.AR SM_AMIGA_2_.D SM_AMIGA_2_.C SM_AMIGA_2_.AR SM_AMIGA_0_.D
SM_AMIGA_0_.C SM_AMIGA_0_.AR
.phase 111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
.p 111
------------------------------------------------------------------------ 000010001001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
------------------------------------0----------------------------------- 010000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
----------------------------------------------------1------------------- 001000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000
-----------1------------------------------------------------------------ 000100000000001001000100010001000100100101001000101001010100100101010010010100101010010100010000100100100101010010010010
--------------0--------------------------------------------------------- 000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
-----------------------1111--------------------------------------------- 000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
-0--------------0000000------------------------------------------------- 000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
------1----------------------------------------------------------------- 000000000000100000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
-----------------------------------------------1-0---------------------- 000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
-----------------------------------------------1--1--------------------- 000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
--1----------------------------------------------10--------------------- 000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
-------------0---------------------------------------------------------- 000000000000000100100010001000100010010000100100000100000010010000001001000010000000000010000010010010010000001001001001
----0------------------------------------------------------0------------ 000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
-------------------------------------------------0--1--------1---------- 000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100
----0-------------------------------------0----------------------------- 000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
-------------------------------------------0------10-1------------------ 000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000
-----------------------------------1------------------------------------ 000000000000000000000001000100010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
-----0--------1----------------0-----------0------10-1------------------ 000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
----01---------------------------------------------------0-------------- 000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
----0---------1----------------------------1-------------0-------------- 000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
----0---------1-----------------------------------0------0-------------- 000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
----0---------1------------------------------------1-----0-------------- 000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
----0---------1--------------------------------------0---0-------------- 000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
-----0--------0----------------0----------------------------1----------- 000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
----0---------0------------------------------------------0--0----------- 000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
1----0--------1----------------------------0------10-1------------------ 000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
-----0--------10---------------------------0------10-1------------------ 000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
-----0--------1----------------1-----------0------10-1------------------ 000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
----01----------------------------------------------------0------------- 000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
----0---------1----------------------------1--------------0------------- 000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
----0---------1-----------------------------------0-------0------------- 000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
----0---------1------------------------------------1------0------------- 000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
----0---------1--------------------------------------0----0------------- 000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
1----0--------0---------------------------------------------1----------- 000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
-----0--------00--------------------------------------------1----------- 000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
-----0--------0----------------1----------------------------1----------- 000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
----0---------0-------------------------------------------0-0----------- 000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
----1-00-0-------------------------------------------1------------------ 000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
----1-00-0----------------------------------------------1--------------- 000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
-------0-1-----------------------------------------------------------0-- 000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
--------1--------------------------1------------------------------------ 000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000
--------1----------------------------------------10--------------------- 000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000
---10---11-----------------0010---1------------------------------------- 000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000
----0----0--------------------------0----------------------------------- 000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000
------------------------------------------0---------------------------0- 000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000
-----------------------------------0------------------------------------ 000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000
-------------------------------------1-11--------10---1----------------- 000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000
-------------------------------------0-11--------10---0----------------- 000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000
-------------------------------------0-00--------10---0----------------- 000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000
-------------------------------------0001-1------1----1----------------- 000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000
-------------------------------------0110-----0--0----1----------------- 000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000
-------------1---------------------------------------------------------- 000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000
------1------1---------------------------------------1------------------ 000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000
-------------0------------------------------------------------------0--- 000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000
----0------------------------------------------------0--------------0--- 000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000
--------------------------------------------1----0---------------------- 000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000
--------------------------------------------1-----1--------------------- 000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000
--------------------------------1----------------10--------------------- 000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000
-----------------------------------------1-------0---------------------- 000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000
-----------------------------------------1--------1--------------------- 000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000
---------------------------------1---------------10--------------------- 000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000
---------------------------------------1---------0---------------------- 000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000
---------------------------------------1----------1--------------------- 000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000
---------------------------------------0---------10--------------------- 000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000
-------------------------------------1-00--------10--------------------- 000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000
-------------------------------------0-1---------10---1----------------- 000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000
-------------------------------------1-11--------10---0----------------- 000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000
-------------------------------------0--0--------10---0----------------- 000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000
----1------------------------------------------------------------------- 000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000
------0--1-------------------------------------------------------------- 000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000
---1----11-----------------0010---1------------------------------------- 000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000
---------0---------------------------------1---------------------------- 000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000
----0----------------------------------------0-------------------------- 000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000
----------1-----------------------------------1--1--------------1------0 000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000
------------1----------------------------------------------------------- 000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000
----0-------------------------------------------0----------------------- 000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000
----------1--------------------------1000-----0--1----1---------1------- 000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000
----------1------------------------------------------------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000
-------------------------------------------------1---------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000
--------------------------------------------------1--------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000
----------------------------------------------------1---------1--------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000
----------------------------------------------------1----------1-------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000
----------------------------------------------------0---------00-------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000
-------------------------------------------------------1------0--------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000
-------------------------------------------1---------1------------------ 000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000
--------------------------------------------------0--1------------------ 000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000
---------------------------------------------------1-1------------------ 000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000
-------------------------------------------------0------1--------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000
-------------------------------------1-1---------10---0----------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000
---------------------------------------00--------10---0----------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000
-------------------------------------0-10--------10---1----------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000
------------------------------------------------------1----------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000
-------------------------------------------------1------1--------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000
------------------------------------------1------1-----------------1---- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000
-------------------------------------------------0----------1----------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000
-------------------------------------------------0---------------1------ 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000
-------------------------------------------------1-----------1---------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000
-------------------------------------------------1----------------1----- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000
----------------------------------------------------0--------1----0----- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000
-------------------------------------------------------0------01-------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000000000000
-------------------------------------------------------1------00-------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000
--------------------------------------------------------------10-------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000
-------------------------------------------------1----------1----------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000
---------------------------------------------1--1---------------1------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000
-------------------------------------------------1--------------1------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000
-------------------------------------------------1---------------1------ 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000
---------------------------------------------0---0--------------1------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000
------------------------------------------------00--------------1------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000
-------------------------------------------------0----------------1----- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000
------------------------------------------0------------------------1---- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100
-------------------------------------------------0-----------------1---- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100
inst_CLK_OUT_PRE.T inst_CLK_OUT_PRE.C SM_AMIGA_6_.D SM_AMIGA_6_.C SM_AMIGA_6_.AR
cpu_est_2_.D.X1 cpu_est_2_.D.X2 cpu_est_2_.C CLK_REF_0_.D CLK_REF_0_.LH
CLK_REF_0_.AP CLK_REF_1_.D CLK_REF_1_.LH CLK_REF_1_.AR SM_AMIGA_7_.D
SM_AMIGA_7_.C SM_AMIGA_7_.AP SM_AMIGA_4_.D SM_AMIGA_4_.C SM_AMIGA_4_.AR
SM_AMIGA_1_.D SM_AMIGA_1_.C SM_AMIGA_1_.AR CLK_CNT_0_.D CLK_CNT_0_.C
CLK_CNT_1_.D CLK_CNT_1_.C SM_AMIGA_3_.D SM_AMIGA_3_.C SM_AMIGA_3_.AR
SM_AMIGA_5_.D SM_AMIGA_5_.C SM_AMIGA_5_.AR SM_AMIGA_2_.D SM_AMIGA_2_.C
SM_AMIGA_2_.AR SM_AMIGA_0_.D SM_AMIGA_0_.C SM_AMIGA_0_.AR
.phase 11111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
.p 114
------------------------------------------------------------------------- 00001000100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
-------------------------------------0----------------------------------- 01000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
-----------------------------------------------------1------------------- 00100000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000
-----------1------------------------------------------------------------- 00010000000000100100010001000100010010010100100010100101010010010101001001010010101010100010000000100100100101010010010010
--------------0---------------------------------------------------------- 00000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
-----------------------1111---------------------------------------------- 00000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
-0--------------0000000-------------------------------------------------- 00000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
------1------------------------------------------------------------------ 00000000000010000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
--1----------------------------------------------10---------------------- 00000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
-------------------------------------------------0-1--------------------- 00000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
--------------------------------------------------11--------------------- 00000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
-------------0----------------------------------------------------------- 00000000000000010010001000100010001001000010010000010000001001000000100100001000000000010000010010010010010000001001001001
----0--------------------------------------------------------0----------- 00000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
-------------------------------------------------0---1---------1--------- 00000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100
----0-------------------------------------0------------------------------ 00000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
-------------------------------------------0------1-0-1------------------ 00000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000
------------------------------------1------------------------------------ 00000000000000000000000100010001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
-----0--------1----------------0-----------0------1-0-1------------------ 00000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
----01-----------------------------------------------------0------------- 00000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
----0---------1----------------------------1---------------0------------- 00000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
----0---------1-----------------------------------0--------0------------- 00000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
----0---------1-------------------------------------1------0------------- 00000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
----0---------1---------------------------------------0----0------------- 00000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
-----0--------0----------------0------------------------------1---------- 00000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
----0---------0--------------------------------------------0--0---------- 00000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
1----0--------1----------------------------0------1-0-1------------------ 00000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
-----0--------10---------------------------0------1-0-1------------------ 00000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
-----0--------1----------------1-----------0------1-0-1------------------ 00000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
----01------------------------------------------------------0------------ 00000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
----0---------1----------------------------1----------------0------------ 00000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
----0---------1-----------------------------------0---------0------------ 00000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
----0---------1-------------------------------------1-------0------------ 00000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
----0---------1---------------------------------------0-----0------------ 00000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
1----0--------0-----------------------------------------------1---------- 00000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
-----0--------00----------------------------------------------1---------- 00000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
-----0--------0----------------1------------------------------1---------- 00000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
----0---------0---------------------------------------------0-0---------- 00000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
-------0-1-------------------------0------------------------------------- 00000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
----1-00-0--------------------------------------------1------------------ 00000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
----1-00-0------------------------------------------------1-------------- 00000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
--------1---------------------------1------------------------------------ 00000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000
--------1----------------------------------------10---------------------- 00000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000
---10---11-----------------0010---1-------------------------------------- 00000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000
----0----0---------------------------0----------------------------------- 00000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000
------------------------------------------0----------------------------0- 00000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000
------------------------------------0------------------------------------ 00000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000
--------------------------------------1-11-------10----1----------------- 00000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000
--------------------------------------0-11-------10----0----------------- 00000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000
--------------------------------------0-00-------10----0----------------- 00000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000
--------------------------------------00011------1-----1----------------- 00000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000
--------------------------------------0110----0--0-----1----------------- 00000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000
-------------1----------------------------------------------------------- 00000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000
------1------1----------------------------------------1------------------ 00000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000
-------------0--------------------------------------------------------0-- 00000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000
----0-------------------------------------------------0---------------0-- 00000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000
------------------------------------------------10----------------------- 00000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000
------------------------------------------------1-1---------------------- 00000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000
--------------------------------1----------------10---------------------- 00000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000
---------------------------------------------1---0----------------------- 00000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000
---------------------------------------------1----1---------------------- 00000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000
---------------------------------1---------------10---------------------- 00000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000
----------------------------------------1--------0----------------------- 00000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000
----------------------------------------1---------1---------------------- 00000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000
----------------------------------------0--------10---------------------- 00000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000
--------------------------------------1-00-------10---------------------- 00000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000
--------------------------------------0-1--------10----1----------------- 00000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000
--------------------------------------1-11-------10----0----------------- 00000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000
--------------------------------------0--0-------10----0----------------- 00000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000
----1-------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000
------0--1--------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000
---1----11-----------------0010---1-------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000
---------0---------------------------------1----------------------------- 00000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000
----0---------------------------------------0---------------------------- 00000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000
----------1-----------------------------------1--1----------------1-----0 00000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000
------------1------------------------------------------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000
----0------------------------------------------0------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000
----------1---------------------------1000----0--1-----1----------1------ 00000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000
----------1-------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000
-------------------------------------------------1----------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000
--------------------------------------------------1---------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000
--------------------------------------------------------11------11------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000
--------------------------------------------------------01------01------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000
--------------------------------------------------------10------10------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000
--------------------------------------------------------00------00------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000
-------------------------------------------1----------1------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000
--------------------------------------------------0---1------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000
----------------------------------------------------1-1------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000
-------------------------------------------------0--------1-------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000
--------------------------------------1-1--------10----0----------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000
----------------------------------------00-------10----0----------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000
--------------------------------------0-10-------10----1----------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000
-------------------------------------------------------1----------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000
-------------------------------------------------1--------1-------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000
------------------------------------------1------1-------------------1--- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000
-------------------------------------------------0------------1---------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000
-------------------------------------------------0-----------------1----- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000
-------------------------------------------------1-------------1--------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000
-------------------------------------------------1------------------1---- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000
-----------------------------------------------------0---------1----0---- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000
--------------------------------------------------------1-------0-------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000
---------------------------------------------------------0------01------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000000000000
---------------------------------------------------------1------00------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000
--------------------------------------------------------1-------01------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000
--------------------------------------------------------0-------10------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000
---------------------------------------------------------1------10------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000
-------------------------------------------------1------------1---------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000
--------------------------------------------1--1------------------1------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000
-------------------------------------------------1----------------1------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000
-------------------------------------------------1-----------------1----- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000
--------------------------------------------0----0----------------1------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000
-----------------------------------------------0-0----------------1------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000
-------------------------------------------------0------------------1---- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000
------------------------------------------0--------------------------1--- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100
-------------------------------------------------0-------------------1--- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100
.end

View File

@ -17,8 +17,8 @@ Parent = m4a5.lci;
SDS_file = m4a5.sds;
Design = 68030_tk.tt4;
Rev = 0.01;
DATE = 5/18/14;
TIME = 21:01:51;
DATE = 5/22/14;
TIME = 14:56:14;
Type = TT2;
Pre_Fit_Time = 1;
Source_Format = Pure_VHDL;
@ -155,39 +155,40 @@ AVEC_EXP = OUTPUT,22,2,-;
AMIGA_BUS_ENABLE_LOW = OUTPUT,20,2,-;
CLK_EXP = OUTPUT,10,1,-;
RESET = OUTPUT,3,1,-;
inst_CLK_000_D1 = NODE,*,6,-;
inst_CLK_000_D0 = NODE,*,6,-;
inst_CLK_000_D1 = NODE,*,3,-;
inst_CLK_OUT_PRE = NODE,*,0,-;
inst_AS_030_000_SYNC = NODE,*,7,-;
SM_AMIGA_6_ = NODE,*,0,-;
inst_CLK_OUT_PRE = NODE,*,1,-;
RN_FPU_CS = NODE,-1,7,-;
RN_AS_000 = NODE,-1,3,-;
SM_AMIGA_4_ = NODE,*,1,-;
SM_AMIGA_7_ = NODE,*,1,-;
inst_CLK_000_D2 = NODE,*,6,-;
cpu_est_1_ = NODE,*,3,-;
SM_AMIGA_7_ = NODE,*,7,-;
inst_VPA_D = NODE,*,1,-;
SM_AMIGA_6_ = NODE,*,6,-;
cpu_est_1_ = NODE,*,6,-;
RN_E = NODE,-1,6,-;
SM_AMIGA_0_ = NODE,*,6,-;
SM_AMIGA_2_ = NODE,*,6,-;
SM_AMIGA_1_ = NODE,*,7,-;
cpu_est_2_ = NODE,*,6,-;
cpu_est_0_ = NODE,*,3,-;
SM_AMIGA_3_ = NODE,*,6,-;
SM_AMIGA_1_ = NODE,*,1,-;
cpu_est_2_ = NODE,*,3,-;
cpu_est_0_ = NODE,*,6,-;
RN_VMA = NODE,-1,3,-;
RN_BGACK_030 = NODE,-1,7,-;
inst_VPA_D = NODE,*,7,-;
RN_AS_000 = NODE,-1,3,-;
SM_AMIGA_5_ = NODE,*,6,-;
inst_DTACK_SYNC = NODE,*,5,-;
inst_CLK_000_D2 = NODE,*,6,-;
RN_LDS_000 = NODE,-1,3,-;
RN_UDS_000 = NODE,-1,3,-;
CLK_CNT_1_ = NODE,*,0,-;
RN_IPL_030_0_ = NODE,-1,1,-;
RN_IPL_030_1_ = NODE,-1,1,-;
RN_AMIGA_BUS_ENABLE = NODE,-1,3,-;
RN_BG_000 = NODE,-1,3,-;
RN_IPL_030_2_ = NODE,-1,1,-;
SM_AMIGA_3_ = NODE,*,6,-;
SM_AMIGA_0_ = NODE,*,7,-;
CLK_CNT_0_ = NODE,*,0,-;
RN_DSACK_1_ = NODE,-1,7,-;
SM_AMIGA_5_ = NODE,*,1,-;
CLK_CNT_1_ = NODE,*,1,-;
CLK_CNT_0_ = NODE,*,1,-;
inst_VPA_SYNC = NODE,*,6,-;
inst_DTACK_SYNC = NODE,*,6,-;
CLK_REF_1_ = NODE,*,3,-;
CLK_REF_1_ = NODE,*,1,-;
CLK_REF_0_ = NODE,*,3,-;
CLK_OSZI = INPUT,61,-,-;

View File

@ -17,8 +17,8 @@ Parent = m4a5.lci;
SDS_file = m4a5.sds;
Design = 68030_tk.tt4;
Rev = 0.01;
DATE = 5/18/14;
TIME = 21:01:51;
DATE = 5/22/14;
TIME = 14:56:14;
Type = TT2;
Pre_Fit_Time = 1;
Source_Format = Pure_VHDL;
@ -137,33 +137,33 @@ IPL_2_ = INPUT,68, G,-;
FC_1_ = INPUT,58, F,-;
AS_030 = INPUT,82, H,-;
DS_030 = INPUT,98, A,-;
SIZE_0_ = INPUT,70, G,-;
A_30_ = INPUT,5, B,-;
nEXP_SPACE = INPUT,14,-,-;
A_29_ = INPUT,6, B,-;
BERR = OUTPUT,41, E,-;
A_28_ = INPUT,15, C,-;
SIZE_0_ = INPUT,70, G,-;
BG_030 = INPUT,21, C,-;
A_27_ = INPUT,16, C,-;
A_26_ = INPUT,17, C,-;
A_25_ = INPUT,18, C,-;
A_30_ = INPUT,5, B,-;
A_29_ = INPUT,6, B,-;
A_28_ = INPUT,15, C,-;
BGACK_000 = INPUT,28, D,-;
A_24_ = INPUT,19, C,-;
A_27_ = INPUT,16, C,-;
CLK_030 = INPUT,64,-,-;
A_23_ = INPUT,84, H,-;
A_26_ = INPUT,17, C,-;
CLK_000 = INPUT,11,-,-;
A_22_ = INPUT,85, H,-;
A_25_ = INPUT,18, C,-;
CLK_OSZI = INPUT,61,-,-;
A_21_ = INPUT,94, A,-;
A_24_ = INPUT,19, C,-;
CLK_DIV_OUT = OUTPUT,65, G,-;
A_23_ = INPUT,84, H,-;
A_22_ = INPUT,85, H,-;
A_21_ = INPUT,94, A,-;
A_20_ = INPUT,93, A,-;
AVEC = OUTPUT,92, A,-;
A_19_ = INPUT,97, A,-;
AVEC_EXP = OUTPUT,22, C,-;
A_18_ = INPUT,95, A,-;
A_17_ = INPUT,59, F,-;
AVEC = OUTPUT,92, A,-;
A_16_ = INPUT,96, A,-;
AVEC_EXP = OUTPUT,22, C,-;
VPA = INPUT,36,-,-;
A_16_ = INPUT,96, A,-;
RST = INPUT,86,-,-;
RW = INPUT,71, G,-;
AMIGA_BUS_DATA_DIR = OUTPUT,48, E,-;
@ -190,25 +190,26 @@ RESET = OUTPUT,3, B,-;
AMIGA_BUS_ENABLE = OUTPUT,34, D,-;
IPL_030_1_ = OUTPUT,7, B,-;
IPL_030_0_ = OUTPUT,8, B,-;
cpu_est_0_ = NODE,2, D,-;
cpu_est_1_ = NODE,13, D,-;
cpu_est_0_ = NODE,13, G,-;
cpu_est_1_ = NODE,1, G,-;
inst_AS_030_000_SYNC = NODE,1, H,-;
inst_DTACK_SYNC = NODE,10, G,-;
inst_VPA_D = NODE,9, H,-;
inst_VPA_SYNC = NODE,6, G,-;
inst_CLK_000_D0 = NODE,12, G,-;
inst_CLK_000_D1 = NODE,8, G,-;
inst_CLK_000_D2 = NODE,1, G,-;
inst_CLK_OUT_PRE = NODE,5, B,-;
SM_AMIGA_6_ = NODE,0, A,-;
cpu_est_2_ = NODE,13, G,-;
CLK_REF_1_ = NODE,6, D,-;
SM_AMIGA_7_ = NODE,13, B,-;
SM_AMIGA_4_ = NODE,9, B,-;
SM_AMIGA_1_ = NODE,5, H,-;
CLK_CNT_0_ = NODE,10, B,-;
CLK_CNT_1_ = NODE,6, B,-;
SM_AMIGA_3_ = NODE,2, G,-;
SM_AMIGA_5_ = NODE,2, B,-;
SM_AMIGA_2_ = NODE,9, G,-;
SM_AMIGA_0_ = NODE,5, G,-;
inst_DTACK_SYNC = NODE,0, F,-;
inst_VPA_D = NODE,9, B,-;
inst_VPA_SYNC = NODE,10, G,-;
inst_CLK_000_D0 = NODE,8, G,-;
inst_CLK_000_D1 = NODE,13, D,-;
inst_CLK_000_D2 = NODE,6, G,-;
inst_CLK_OUT_PRE = NODE,0, A,-;
SM_AMIGA_6_ = NODE,12, G,-;
cpu_est_2_ = NODE,2, D,-;
CLK_REF_0_ = NODE,6, D,-;
CLK_REF_1_ = NODE,2, B,-;
SM_AMIGA_7_ = NODE,5, H,-;
SM_AMIGA_4_ = NODE,5, B,-;
SM_AMIGA_1_ = NODE,13, B,-;
CLK_CNT_0_ = NODE,12, A,-;
CLK_CNT_1_ = NODE,8, A,-;
SM_AMIGA_3_ = NODE,9, G,-;
SM_AMIGA_5_ = NODE,2, G,-;
SM_AMIGA_2_ = NODE,5, G,-;
SM_AMIGA_0_ = NODE,9, H,-;

View File

@ -2,7 +2,7 @@ Signal Name Cross Reference File
ispLEVER Classic 1.7.00.05.28.13
Design '68030_tk' created Sun May 18 21:01:47 2014
Design '68030_tk' created Thu May 22 14:56:10 2014
LEGEND: '>' Functional Block Port Separator

File diff suppressed because it is too large Load Diff

File diff suppressed because it is too large Load Diff

8
Logic/BUS68030.cmd Normal file
View File

@ -0,0 +1,8 @@
STYFILENAME: 68030_tk.sty
PROJECT: BUS68030
WORKING_PATH: "c:/users/matze/documents/github/68030tk/logic"
MODULE: BUS68030
VHDL_FILE_LIST: 68030-68000-bus.vhd
OUTPUT_FILE_NAME: BUS68030
SUFFIX_NAME: edi
PART: M4A5-128/64-10VC

File diff suppressed because it is too large Load Diff

View File

@ -1,6 +1,6 @@
#-- Lattice Semiconductor Corporation Ltd.
#-- Synplify OEM project file c:/users/matze/documents/github/68030tk/logic\BUS68030.prj
#-- Written on Sun May 18 21:01:40 2014
#-- Written on Thu May 22 14:56:03 2014
#device options

File diff suppressed because it is too large Load Diff

View File

@ -6,7 +6,7 @@
#Implementation: logic
$ Start of Compile
#Sun May 18 21:01:41 2014
#Thu May 22 14:56:04 2014
Synopsys VHDL Compiler, version comp201209rcp1, Build 283R, built Mar 19 2013
@N|Running in 64-bit mode
@ -25,7 +25,6 @@ Post processing for work.bus68030.behavioral
@W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":116:32:116:34|Pruning register CLK_000_D4
@W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":115:32:115:34|Pruning register CLK_000_D3
@A: CL282 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":109:52:109:55|Feedback mux created for signal AMIGA_BUS_ENABLE -- possible set/reset assignment for signal missing. Specifying a reset value will improve timing and area.
@W: CL111 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":183:2:183:3|All reachable assignments to CLK_REF(0) assign '0'; register removed by optimization
@W: CL117 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":183:2:183:3|Latch generated from process for signal CLK_REF(1 downto 0); possible missing assignment in an if or case statement.
@W: CL190 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":109:52:109:55|Optimizing register bit DSACK_INT(0) to a constant 1
@W: CL260 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":109:52:109:55|Pruning register bit 0 of DSACK_INT(1 downto 0)
@ -44,7 +43,7 @@ State machine has 8 reachable states with original encodings of:
@W: CL249 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":183:2:183:3|Initial value is not supported on state machine SM_AMIGA
@END
Process took 0h:00m:01s realtime, 0h:00m:01s cputime
# Sun May 18 21:01:41 2014
# Thu May 22 14:56:04 2014
###########################################################]
Map & Optimize Report
@ -76,9 +75,10 @@ BUFTH 7 uses
OBUF 15 uses
BI_DIR 2 uses
AND2 159 uses
INV 126 uses
INV 127 uses
OR2 18 uses
XOR2 4 uses
XOR2 5 uses
DLATSH 1 use
DLATRH 1 use
@ -89,6 +89,6 @@ Mapper successful!
At Mapper Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 31MB peak: 95MB)
Process took 0h:00m:01s realtime, 0h:00m:01s cputime
# Sun May 18 21:01:42 2014
# Thu May 22 14:56:05 2014
###########################################################]

Binary file not shown.

View File

@ -55,7 +55,7 @@ Section Member Rename Array-Notation Array Number
Port FC_0_ FC[0] 4 1
End
Section Cross Reference File
Design 'BUS68030' created Sun May 18 21:01:47 2014
Design 'BUS68030' created Thu May 22 14:56:10 2014
Type New Name Original Name
// ----------------------------------------------------------------------
Inst i_z2H2H AS_000
@ -65,200 +65,212 @@ Design 'BUS68030' created Sun May 18 21:01:47 2014
Inst i_z3S3S DTACK
Inst i_z3U3U AVEC_EXP
Inst i_z4A4A CIIN
Inst SM_AMIGA_ns_i_o3_i_4_ SM_AMIGA_ns_i_o3_i[4]
Inst SM_AMIGA_ns_i_a3_3_ SM_AMIGA_ns_i_a3[3]
Inst state_machine_un14_as_000_int state_machine.un14_as_000_int
Inst IPL_030_0_2__r IPL_030_0_2_.r
Inst IPL_030_0_2__m IPL_030_0_2_.m
Inst IPL_030_0_2__n IPL_030_0_2_.n
Inst IPL_030_0_2__p IPL_030_0_2_.p
Inst IPL_030_0_1__r IPL_030_0_1_.r
Inst IPL_030_0_1__m IPL_030_0_1_.m
Inst IPL_030_0_1__n IPL_030_0_1_.n
Inst IPL_030_0_1__p IPL_030_0_1_.p
Inst IPL_030_0_0__r IPL_030_0_0_.r
Inst IPL_030_0_0__m IPL_030_0_0_.m
Inst IPL_030_0_0__n IPL_030_0_0_.n
Inst IPL_030_0_0__p IPL_030_0_0_.p
Inst cpu_est_0_2__r cpu_est_0_2_.r
Inst cpu_est_0_2__m cpu_est_0_2_.m
Inst cpu_est_0_2__n cpu_est_0_2_.n
Inst cpu_est_0_2__p cpu_est_0_2_.p
Inst cpu_est_0_1__r cpu_est_0_1_.r
Inst cpu_est_0_1__m cpu_est_0_1_.m
Inst cpu_est_0_1__n cpu_est_0_1_.n
Inst cpu_est_0_1__p cpu_est_0_1_.p
Inst cpu_est_i_2_ cpu_est_i[2]
Inst clk_cpu_est_11_i_2_ clk.cpu_est_11_i[2]
Inst clk_cpu_est_11_0_a4_1_1_ clk.cpu_est_11_0_a4_1[1]
Inst cpu_est_i_0_ cpu_est_i[0]
Inst clk_cpu_est_11_0_a4_1_ clk.cpu_est_11_0_a4[1]
Inst SM_AMIGA_ns_i_o3_4_ SM_AMIGA_ns_i_o3[4]
Inst SM_AMIGA_ns_i_o3_0_ SM_AMIGA_ns_i_o3[0]
Inst SM_AMIGA_ns_i_4_ SM_AMIGA_ns_i[4]
Inst SM_AMIGA_ns_i_3_ SM_AMIGA_ns_i[3]
Inst SM_AMIGA_i_3_ SM_AMIGA_i[3]
Inst SM_AMIGA_ns_i_a3_0_4_ SM_AMIGA_ns_i_a3_0[4]
Inst SM_AMIGA_ns_i_a3_4_ SM_AMIGA_ns_i_a3[4]
Inst SM_AMIGA_i_4_ SM_AMIGA_i[4]
Inst SM_AMIGA_i_5_ SM_AMIGA_i[5]
Inst clk_cpu_est_11_0_a4_0_1_ clk.cpu_est_11_0_a4_0[1]
Inst SM_AMIGA_5_ SM_AMIGA[5]
Inst clk_cpu_est_11_0_a4_2_1_ clk.cpu_est_11_0_a4_2[1]
Inst SM_AMIGA_4_ SM_AMIGA[4]
Inst clk_cpu_est_11_0_a4_3_ clk.cpu_est_11_0_a4[3]
Inst SM_AMIGA_3_ SM_AMIGA[3]
Inst clk_cpu_est_11_0_a4_0_3_ clk.cpu_est_11_0_a4_0[3]
Inst SM_AMIGA_2_ SM_AMIGA[2]
Inst clk_cpu_est_11_0_o4_1_ clk.cpu_est_11_0_o4[1]
Inst SM_AMIGA_1_ SM_AMIGA[1]
Inst clk_cpu_est_11_0_o4_3_ clk.cpu_est_11_0_o4[3]
Inst SM_AMIGA_0_ SM_AMIGA[0]
Inst cpu_est_2_ cpu_est[2]
Inst cpu_est_3_ cpu_est[3]
Inst SM_AMIGA_ns_i_a3_3_ SM_AMIGA_ns_i_a3[3]
Inst state_machine_un14_as_000_int state_machine.un14_as_000_int
Inst cpu_est_0_1__r cpu_est_0_1_.r
Inst cpu_est_0_1__m cpu_est_0_1_.m
Inst cpu_est_0_1__n cpu_est_0_1_.n
Inst cpu_est_0_1__p cpu_est_0_1_.p
Inst cpu_est_i_1_ cpu_est_i[1]
Inst IPL_030DFFSH_0_ IPL_030DFFSH[0]
Inst IPL_030DFFSH_1_ IPL_030DFFSH[1]
Inst state_machine_un13_clk_000_d0_1 state_machine.un13_clk_000_d0_1
Inst IPL_030DFFSH_2_ IPL_030DFFSH[2]
Inst state_machine_un13_clk_000_d0_2 state_machine.un13_clk_000_d0_2
Inst SM_AMIGA_7_ SM_AMIGA[7]
Inst cpu_est_0_0_ cpu_est_0[0]
Inst SM_AMIGA_6_ SM_AMIGA[6]
Inst clk_cpu_est_11_0_a4_2_1_ clk.cpu_est_11_0_a4_2[1]
Inst clk_cpu_est_11_0_a4_1_1_ clk.cpu_est_11_0_a4_1[1]
Inst cpu_est_i_3_ cpu_est_i[3]
Inst state_machine_un13_clk_000_d0_2_i state_machine.un13_clk_000_d0_2_i
Inst VPA_SYNC_0_r VPA_SYNC_0.r
Inst CLK_CNT_0_ CLK_CNT[0]
Inst VPA_SYNC_0_m VPA_SYNC_0.m
Inst CLK_CNT_1_ CLK_CNT[1]
Inst VPA_SYNC_0_n VPA_SYNC_0.n
Inst cpu_est_0_ cpu_est[0]
Inst VPA_SYNC_0_p VPA_SYNC_0.p
Inst cpu_est_1_ cpu_est[1]
Inst VMA_INT_0_r VMA_INT_0.r
Inst VMA_INT_0_m VMA_INT_0.m
Inst VMA_INT_0_n VMA_INT_0.n
Inst VMA_INT_0_p VMA_INT_0.p
Inst cpu_est_i_2_ cpu_est_i[2]
Inst clk_cpu_est_11_0_a4_0_1_ clk.cpu_est_11_0_a4_0[1]
Inst cpu_est_i_0_ cpu_est_i[0]
Inst clk_cpu_est_11_0_a4_1_ clk.cpu_est_11_0_a4[1]
Inst SM_AMIGA_ns_i_o3_4_ SM_AMIGA_ns_i_o3[4]
Inst SM_AMIGA_ns_i_4_ SM_AMIGA_ns_i[4]
Inst cpu_est_0_3__r cpu_est_0_3_.r
Inst cpu_est_0_3__m cpu_est_0_3_.m
Inst cpu_est_0_3__n cpu_est_0_3_.n
Inst DSACK_INT_1_ DSACK_INT[1]
Inst cpu_est_0_3__p cpu_est_0_3_.p
Inst IPL_030_0_0__r IPL_030_0_0_.r
Inst IPL_030_0_0__m IPL_030_0_0_.m
Inst IPL_030_0_0__n IPL_030_0_0_.n
Inst IPL_030_0_0__p IPL_030_0_0_.p
Inst IPL_030_0_1__r IPL_030_0_1_.r
Inst IPL_030_0_1__m IPL_030_0_1_.m
Inst SM_AMIGA_6_ SM_AMIGA[6]
Inst IPL_030_0_1__n IPL_030_0_1_.n
Inst SM_AMIGA_5_ SM_AMIGA[5]
Inst IPL_030_0_1__p IPL_030_0_1_.p
Inst SM_AMIGA_4_ SM_AMIGA[4]
Inst IPL_030_0_2__r IPL_030_0_2_.r
Inst SM_AMIGA_3_ SM_AMIGA[3]
Inst IPL_030_0_2__m IPL_030_0_2_.m
Inst SM_AMIGA_2_ SM_AMIGA[2]
Inst IPL_030_0_2__n IPL_030_0_2_.n
Inst SM_AMIGA_1_ SM_AMIGA[1]
Inst IPL_030_0_2__p IPL_030_0_2_.p
Inst SM_AMIGA_0_ SM_AMIGA[0]
Inst state_machine_un2_clk_000 state_machine.un2_clk_000
Inst cpu_est_1_ cpu_est[1]
Inst cpu_est_2_ cpu_est[2]
Inst clk_un4_clk_000_d1 clk.un4_clk_000_d1
Inst cpu_est_3_ cpu_est[3]
Inst state_machine_un15_clk_000_d0 state_machine.un15_clk_000_d0
Inst state_machine_un13_clk_000_d0_1_i state_machine.un13_clk_000_d0_1_i
Inst SM_AMIGA_ns_a3_7_ SM_AMIGA_ns_a3[7]
Inst SM_AMIGA_ns_7_ SM_AMIGA_ns[7]
Inst IPL_030DFFSH_0_ IPL_030DFFSH[0]
Inst clk_cpu_est_11_0_a4_3_ clk.cpu_est_11_0_a4[3]
Inst IPL_030DFFSH_1_ IPL_030DFFSH[1]
Inst clk_cpu_est_11_0_a4_0_3_ clk.cpu_est_11_0_a4_0[3]
Inst IPL_030DFFSH_2_ IPL_030DFFSH[2]
Inst clk_cpu_est_11_i_2_ clk.cpu_est_11_i[2]
Inst SM_AMIGA_7_ SM_AMIGA[7]
Inst clk_cpu_est_11_0_o4_1_ clk.cpu_est_11_0_o4[1]
Inst clk_cpu_est_11_0_o4_3_ clk.cpu_est_11_0_o4[3]
Inst state_machine_un13_clk_000_d0_2_i state_machine.un13_clk_000_d0_2_i
Inst CLK_CNT_0_ CLK_CNT[0]
Inst UDS_000_INT_0_r UDS_000_INT_0.r
Inst CLK_CNT_1_ CLK_CNT[1]
Inst UDS_000_INT_0_m UDS_000_INT_0.m
Inst cpu_est_0_ cpu_est[0]
Inst UDS_000_INT_0_n UDS_000_INT_0.n
Inst UDS_000_INT_0_p UDS_000_INT_0.p
Inst state_machine_un23_clk_000_d0 state_machine.un23_clk_000_d0
Inst VPA_SYNC_0_r VPA_SYNC_0.r
Inst VPA_SYNC_0_m VPA_SYNC_0.m
Inst VPA_SYNC_0_n VPA_SYNC_0.n
Inst VPA_SYNC_0_p VPA_SYNC_0.p
Inst DSACK_INT_1_ DSACK_INT[1]
Inst AS_000_INT_0_r AS_000_INT_0.r
Inst AS_000_INT_0_m AS_000_INT_0.m
Inst AS_000_INT_0_n AS_000_INT_0.n
Inst AS_000_INT_0_p AS_000_INT_0.p
Inst BGACK_030_INT_0_r BGACK_030_INT_0.r
Inst BGACK_030_INT_0_m BGACK_030_INT_0.m
Inst BGACK_030_INT_0_n BGACK_030_INT_0.n
Inst BGACK_030_INT_0_p BGACK_030_INT_0.p
Inst SIZE_0_ SIZE[0]
Inst BG_000_0_r BG_000_0.r
Inst SIZE_1_ SIZE[1]
Inst BG_000_0_m BG_000_0.m
Inst A_0_ A[0]
Inst BG_000_0_n BG_000_0.n
Inst A_16_ A[16]
Inst BG_000_0_p BG_000_0.p
Inst A_17_ A[17]
Inst AMIGA_BUS_ENABLE_0_r AMIGA_BUS_ENABLE_0.r
Inst A_18_ A[18]
Inst AMIGA_BUS_ENABLE_0_m AMIGA_BUS_ENABLE_0.m
Inst A_19_ A[19]
Inst AMIGA_BUS_ENABLE_0_n AMIGA_BUS_ENABLE_0.n
Inst A_20_ A[20]
Inst AMIGA_BUS_ENABLE_0_p AMIGA_BUS_ENABLE_0.p
Inst A_21_ A[21]
Inst AS_030_000_SYNC_0_r AS_030_000_SYNC_0.r
Inst A_22_ A[22]
Inst AS_030_000_SYNC_0_m AS_030_000_SYNC_0.m
Inst A_23_ A[23]
Inst AS_030_000_SYNC_0_n AS_030_000_SYNC_0.n
Inst A_24_ A[24]
Inst AS_030_000_SYNC_0_p AS_030_000_SYNC_0.p
Inst A_25_ A[25]
Inst FPU_CS_INT_0_r FPU_CS_INT_0.r
Inst A_26_ A[26]
Inst FPU_CS_INT_0_m FPU_CS_INT_0.m
Inst A_27_ A[27]
Inst FPU_CS_INT_0_n FPU_CS_INT_0.n
Inst A_28_ A[28]
Inst FPU_CS_INT_0_p FPU_CS_INT_0.p
Inst A_29_ A[29]
Inst AS_000_INT_0_r AS_000_INT_0.r
Inst A_30_ A[30]
Inst AS_000_INT_0_m AS_000_INT_0.m
Inst A_31_ A[31]
Inst AS_000_INT_0_n AS_000_INT_0.n
Inst AS_000_INT_0_p AS_000_INT_0.p
Inst DSACK_INT_0_1__r DSACK_INT_0_1_.r
Inst DSACK_INT_0_1__m DSACK_INT_0_1_.m
Inst DSACK_INT_0_1__n DSACK_INT_0_1_.n
Inst DSACK_INT_0_1__p DSACK_INT_0_1_.p
Inst state_machine_un6_bgack_000 state_machine.un6_bgack_000
Inst SM_AMIGA_ns_a3_2_ SM_AMIGA_ns_a3[2]
Inst SM_AMIGA_ns_2_ SM_AMIGA_ns[2]
Inst state_machine_un23_clk_000_d0 state_machine.un23_clk_000_d0
Inst SIZE_0_ SIZE[0]
Inst VMA_INT_0_r VMA_INT_0.r
Inst SIZE_1_ SIZE[1]
Inst VMA_INT_0_m VMA_INT_0.m
Inst A_0_ A[0]
Inst VMA_INT_0_n VMA_INT_0.n
Inst A_16_ A[16]
Inst VMA_INT_0_p VMA_INT_0.p
Inst A_17_ A[17]
Inst cpu_est_0_2__r cpu_est_0_2_.r
Inst A_18_ A[18]
Inst cpu_est_0_2__m cpu_est_0_2_.m
Inst A_19_ A[19]
Inst cpu_est_0_2__n cpu_est_0_2_.n
Inst A_20_ A[20]
Inst cpu_est_0_2__p cpu_est_0_2_.p
Inst A_21_ A[21]
Inst state_machine_un17_clk_030 state_machine.un17_clk_030
Inst A_22_ A[22]
Inst A_23_ A[23]
Inst state_machine_un1_clk_030 state_machine.un1_clk_030
Inst A_24_ A[24]
Inst A_i_19_ A_i[19]
Inst A_25_ A[25]
Inst A_i_18_ A_i[18]
Inst A_26_ A[26]
Inst A_i_16_ A_i[16]
Inst A_27_ A[27]
Inst A_28_ A[28]
Inst DSACK_INT_0_1__r DSACK_INT_0_1_.r
Inst A_29_ A[29]
Inst DSACK_INT_0_1__m DSACK_INT_0_1_.m
Inst A_30_ A[30]
Inst DSACK_INT_0_1__n DSACK_INT_0_1_.n
Inst A_31_ A[31]
Inst DSACK_INT_0_1__p DSACK_INT_0_1_.p
Inst BG_000_0_r BG_000_0.r
Inst BG_000_0_m BG_000_0.m
Inst BG_000_0_n BG_000_0.n
Inst BG_000_0_p BG_000_0.p
Inst AMIGA_BUS_ENABLE_0_r AMIGA_BUS_ENABLE_0.r
Inst AMIGA_BUS_ENABLE_0_m AMIGA_BUS_ENABLE_0.m
Inst AMIGA_BUS_ENABLE_0_n AMIGA_BUS_ENABLE_0.n
Inst AMIGA_BUS_ENABLE_0_p AMIGA_BUS_ENABLE_0.p
Inst AS_030_000_SYNC_0_r AS_030_000_SYNC_0.r
Inst AS_030_000_SYNC_0_m AS_030_000_SYNC_0.m
Inst AS_030_000_SYNC_0_n AS_030_000_SYNC_0.n
Inst AS_030_000_SYNC_0_p AS_030_000_SYNC_0.p
Inst IPL_030_0_ IPL_030[0]
Inst DTACK_SYNC_0_r DTACK_SYNC_0.r
Inst FPU_CS_INT_0_r FPU_CS_INT_0.r
Inst IPL_030_1_ IPL_030[1]
Inst DTACK_SYNC_0_m DTACK_SYNC_0.m
Inst FPU_CS_INT_0_m FPU_CS_INT_0.m
Inst IPL_030_2_ IPL_030[2]
Inst DTACK_SYNC_0_n DTACK_SYNC_0.n
Inst FPU_CS_INT_0_n FPU_CS_INT_0.n
Inst IPL_0_ IPL[0]
Inst DTACK_SYNC_0_p DTACK_SYNC_0.p
Inst FPU_CS_INT_0_p FPU_CS_INT_0.p
Inst IPL_1_ IPL[1]
Inst IPL_2_ IPL[2]
Inst SM_AMIGA_i_6_ SM_AMIGA_i[6]
Inst DTACK_SYNC_0_r DTACK_SYNC_0.r
Inst DSACK_0_ DSACK[0]
Inst SM_AMIGA_i_7_ SM_AMIGA_i[7]
Inst DTACK_SYNC_0_m DTACK_SYNC_0.m
Inst DSACK_1_ DSACK[1]
Inst state_machine_un5_clk_030_i_a3 state_machine.un5_clk_030_i_a3
Inst state_machine_un42_clk_030_i state_machine.un42_clk_030_i
Inst state_machine_un17_clk_030 state_machine.un17_clk_030
Inst state_machine_un6_bgack_000 state_machine.un6_bgack_000
Inst state_machine_un30_clk_000_d1 state_machine.un30_clk_000_d1
Inst state_machine_un1_clk_030 state_machine.un1_clk_030
Inst A_i_19_ A_i[19]
Inst FC_0_ FC[0]
Inst A_i_18_ A_i[18]
Inst FC_1_ FC[1]
Inst A_i_16_ A_i[16]
Inst SM_AMIGA_ns_i_o3_6_ SM_AMIGA_ns_i_o3[6]
Inst SM_AMIGA_ns_i_6_ SM_AMIGA_ns_i[6]
Inst state_machine_un8_clk_000_d0 state_machine.un8_clk_000_d0
Inst SM_AMIGA_ns_5_ SM_AMIGA_ns[5]
Inst state_machine_un13_clk_000_d0_1_0 state_machine.un13_clk_000_d0_1_0
Inst SM_AMIGA_i_2_ SM_AMIGA_i[2]
Inst state_machine_un13_clk_000_d0_2_0 state_machine.un13_clk_000_d0_2_0
Inst SM_AMIGA_i_1_ SM_AMIGA_i[1]
Inst state_machine_un13_clk_000_d0 state_machine.un13_clk_000_d0
Inst SM_AMIGA_ns_i_a3_0_6_ SM_AMIGA_ns_i_a3_0[6]
Inst clk_cpu_est_11_i_a4_1_2_ clk.cpu_est_11_i_a4_1[2]
Inst SM_AMIGA_ns_i_a3_6_ SM_AMIGA_ns_i_a3[6]
Inst clk_cpu_est_11_i_a4_2_ clk.cpu_est_11_i_a4[2]
Inst SM_AMIGA_ns_a3_5_ SM_AMIGA_ns_a3[5]
Inst clk_cpu_est_11_i_a4_0_1_2_ clk.cpu_est_11_i_a4_0_1[2]
Inst clk_cpu_est_11_i_a4_0_2_ clk.cpu_est_11_i_a4_0[2]
Inst SM_AMIGA_ns_a3_0_5_ SM_AMIGA_ns_a3_0[5]
Inst DTACK_SYNC_0_n DTACK_SYNC_0.n
Inst DTACK_SYNC_0_p DTACK_SYNC_0.p
Inst LDS_000_INT_0_r LDS_000_INT_0.r
Inst LDS_000_INT_0_m LDS_000_INT_0.m
Inst LDS_000_INT_0_n LDS_000_INT_0.n
Inst LDS_000_INT_0_p LDS_000_INT_0.p
Inst state_machine_AMIGA_BUS_ENABLE_2_iv state_machine.AMIGA_BUS_ENABLE_2_iv
Inst SM_AMIGA_ns_o3_1_ SM_AMIGA_ns_o3[1]
Inst CLK_CNT_i_0_ CLK_CNT_i[0]
Inst clk_CLK_CNT_3_0_ clk.CLK_CNT_3[0]
Inst clk_clk_cnt_i clk.clk_cnt_i
Inst clk_CLK_CNT_3_1_ clk.CLK_CNT_3[1]
Inst SM_AMIGA_ns_i_a3_0_ SM_AMIGA_ns_i_a3[0]
Inst SM_AMIGA_ns_a3_1_ SM_AMIGA_ns_a3[1]
Inst SM_AMIGA_ns_a3_0_1_ SM_AMIGA_ns_a3_0[1]
Inst SM_AMIGA_ns_i_0_ SM_AMIGA_ns_i[0]
Inst FC_0_ FC[0]
Inst FC_1_ FC[1]
Inst SM_AMIGA_i_6_ SM_AMIGA_i[6]
Inst SM_AMIGA_i_7_ SM_AMIGA_i[7]
Inst state_machine_un5_clk_030_i_a3 state_machine.un5_clk_030_i_a3
Inst state_machine_LDS_000_INT_7 state_machine.LDS_000_INT_7
Inst state_machine_UDS_000_INT_7 state_machine.UDS_000_INT_7
Inst state_machine_un42_clk_030_i state_machine.un42_clk_030_i
Inst state_machine_un8_clk_000_d0_1 state_machine.un8_clk_000_d0_1
Inst SM_AMIGA_ns_1_ SM_AMIGA_ns[1]
Inst state_machine_un8_clk_000_d0_2 state_machine.un8_clk_000_d0_2
Inst state_machine_un8_clk_000_d0_3 state_machine.un8_clk_000_d0_3
Inst state_machine_un8_clk_000_d0_4 state_machine.un8_clk_000_d0_4
Inst state_machine_un8_clk_000_d0 state_machine.un8_clk_000_d0
Inst state_machine_un13_clk_000_d0_1_0 state_machine.un13_clk_000_d0_1_0
Inst state_machine_un13_clk_000_d0_2_0 state_machine.un13_clk_000_d0_2_0
Inst state_machine_un13_clk_000_d0 state_machine.un13_clk_000_d0
Inst SM_AMIGA_ns_i_o3_6_ SM_AMIGA_ns_i_o3[6]
Inst SM_AMIGA_ns_i_6_ SM_AMIGA_ns_i[6]
Inst SM_AMIGA_ns_5_ SM_AMIGA_ns[5]
Inst SM_AMIGA_i_2_ SM_AMIGA_i[2]
Inst clk_cpu_est_11_i_a4_1_2_ clk.cpu_est_11_i_a4_1[2]
Inst SM_AMIGA_i_1_ SM_AMIGA_i[1]
Inst clk_cpu_est_11_i_a4_2_ clk.cpu_est_11_i_a4[2]
Inst SM_AMIGA_ns_i_a3_0_6_ SM_AMIGA_ns_i_a3_0[6]
Inst clk_cpu_est_11_i_a4_0_1_2_ clk.cpu_est_11_i_a4_0_1[2]
Inst SM_AMIGA_ns_i_a3_6_ SM_AMIGA_ns_i_a3[6]
Inst clk_cpu_est_11_i_a4_0_2_ clk.cpu_est_11_i_a4_0[2]
Inst SM_AMIGA_ns_a3_5_ SM_AMIGA_ns_a3[5]
Inst clk_cpu_est_11_0_a4_1_1_3_ clk.cpu_est_11_0_a4_1_1[3]
Inst clk_CLK_CNT_3_0_ clk.CLK_CNT_3[0]
Inst clk_cpu_est_11_0_a4_1_3_ clk.cpu_est_11_0_a4_1[3]
Inst clk_clk_cnt_i clk.clk_cnt_i
Inst clk_cpu_est_11_0_1_3_ clk.cpu_est_11_0_1[3]
Inst clk_CLK_CNT_3_1_ clk.CLK_CNT_3[1]
Inst clk_cpu_est_11_0_3_ clk.cpu_est_11_0[3]
Inst SM_AMIGA_ns_i_a3_0_ SM_AMIGA_ns_i_a3[0]
Inst SM_AMIGA_ns_a3_0_1_5_ SM_AMIGA_ns_a3_0_1[5]
Inst SM_AMIGA_ns_a3_1_ SM_AMIGA_ns_a3[1]
Inst SM_AMIGA_ns_a3_0_5_ SM_AMIGA_ns_a3_0[5]
Inst SM_AMIGA_ns_a3_0_1_ SM_AMIGA_ns_a3_0[1]
Inst state_machine_un13_clk_000_d0_1_i state_machine.un13_clk_000_d0_1_i
Inst SM_AMIGA_ns_a3_7_ SM_AMIGA_ns_a3[7]
Inst SM_AMIGA_ns_i_0_ SM_AMIGA_ns_i[0]
Inst SM_AMIGA_ns_1_ SM_AMIGA_ns[1]
Inst SM_AMIGA_ns_7_ SM_AMIGA_ns[7]
Inst state_machine_un42_clk_030_1 state_machine.un42_clk_030_1
Inst SM_AMIGA_ns_o3_1_ SM_AMIGA_ns_o3[1]
Inst state_machine_un42_clk_030_2 state_machine.un42_clk_030_2
Inst SM_AMIGA_ns_i_o3_0_ SM_AMIGA_ns_i_o3[0]
Inst state_machine_un42_clk_030_3 state_machine.un42_clk_030_3
Inst state_machine_un42_clk_030_4 state_machine.un42_clk_030_4
Inst state_machine_un42_clk_030_5 state_machine.un42_clk_030_5
@ -266,60 +278,49 @@ Design 'BUS68030' created Sun May 18 21:01:47 2014
Inst A_i_24_ A_i[24]
Inst A_i_25_ A_i[25]
Inst A_i_26_ A_i[26]
Inst clk_cpu_est_11_0_2_1_ clk.cpu_est_11_0_2[1]
Inst A_i_27_ A_i[27]
Inst clk_cpu_est_11_0_1_ clk.cpu_est_11_0[1]
Inst A_i_28_ A_i[28]
Inst clk_cpu_est_11_0_a4_1_1_3_ clk.cpu_est_11_0_a4_1_1[3]
Inst A_i_29_ A_i[29]
Inst clk_cpu_est_11_0_a4_1_3_ clk.cpu_est_11_0_a4_1[3]
Inst A_i_30_ A_i[30]
Inst clk_cpu_est_11_0_1_3_ clk.cpu_est_11_0_1[3]
Inst A_i_31_ A_i[31]
Inst clk_cpu_est_11_0_3_ clk.cpu_est_11_0[3]
Inst SM_AMIGA_ns_a3_0_1_5_ SM_AMIGA_ns_a3_0_1[5]
Inst state_machine_UDS_000_INT_7 state_machine.UDS_000_INT_7
Inst state_machine_LDS_000_INT_7 state_machine.LDS_000_INT_7
Inst state_machine_un30_clk_000_d1 state_machine.un30_clk_000_d1
Inst CLK_CNT_i_0_ CLK_CNT_i[0]
Inst state_machine_un14_as_000_int_i state_machine.un14_as_000_int_i
Inst CLK_REF_0_ CLK_REF[0]
Inst CLK_REF_1_ CLK_REF[1]
Inst UDS_000_INT_0_r UDS_000_INT_0.r
Inst UDS_000_INT_0_m UDS_000_INT_0.m
Inst UDS_000_INT_0_n UDS_000_INT_0.n
Inst UDS_000_INT_0_p UDS_000_INT_0.p
Inst LDS_000_INT_0_r LDS_000_INT_0.r
Inst LDS_000_INT_0_m LDS_000_INT_0.m
Inst LDS_000_INT_0_n LDS_000_INT_0.n
Inst SM_AMIGA_ns_o3_i_1_ SM_AMIGA_ns_o3_i[1]
Inst SM_AMIGA_ns_i_7_ SM_AMIGA_ns_i[7]
Inst SM_AMIGA_ns_i_1_ SM_AMIGA_ns_i[1]
Inst state_machine_un44_clk_000_d1_1 state_machine.un44_clk_000_d1_1
Inst LDS_000_INT_0_p LDS_000_INT_0.p
Inst state_machine_un44_clk_000_d1 state_machine.un44_clk_000_d1
Inst state_machine_LDS_000_INT_7_i state_machine.LDS_000_INT_7_i
Inst state_machine_UDS_000_INT_7_i state_machine.UDS_000_INT_7_i
Inst state_machine_AS_030_000_SYNC_3_1 state_machine.AS_030_000_SYNC_3_1
Inst state_machine_AS_030_000_SYNC_3 state_machine.AS_030_000_SYNC_3
Inst clk_cpu_est_11_0_1_1_ clk.cpu_est_11_0_1[1]
Inst clk_cpu_est_11_0_2_1_ clk.cpu_est_11_0_2[1]
Inst clk_cpu_est_11_0_1_ clk.cpu_est_11_0[1]
Inst state_machine_AS_030_000_SYNC_3_i state_machine.AS_030_000_SYNC_3_i
Inst SIZE_c_i_1_ SIZE_c_i[1]
Inst state_machine_un44_clk_000_d1_i_0 state_machine.un44_clk_000_d1_i_0
Inst SM_AMIGA_ns_i_5_ SM_AMIGA_ns_i[5]
Inst SM_AMIGA_ns_i_o3_i_6_ SM_AMIGA_ns_i_o3_i[6]
Inst state_machine_un44_clk_000_d1_i_0 state_machine.un44_clk_000_d1_i_0
Inst A_c_i_0_ A_c_i[0]
Inst SIZE_c_i_1_ SIZE_c_i[1]
Inst SM_AMIGA_ns_i_1_ SM_AMIGA_ns_i[1]
Inst SM_AMIGA_ns_i_o3_i_0_ SM_AMIGA_ns_i_o3_i[0]
Inst state_machine_un13_clk_000_d0_i state_machine.un13_clk_000_d0_i
Inst state_machine_un15_clk_000_d0_i state_machine.un15_clk_000_d0_i
Inst state_machine_un23_clk_000_d0_i_0 state_machine.un23_clk_000_d0_i_0
Inst SM_AMIGA_ns_i_2_ SM_AMIGA_ns_i[2]
Inst state_machine_un1_clk_030_i state_machine.un1_clk_030_i
Inst clk_un4_clk_000_d1_i clk.un4_clk_000_d1_i
Inst state_machine_un6_bgack_000_i state_machine.un6_bgack_000_i
Inst state_machine_un23_clk_000_d0_i_0 state_machine.un23_clk_000_d0_i_0
Inst state_machine_un1_clk_030_i state_machine.un1_clk_030_i
Inst state_machine_un17_clk_030_i state_machine.un17_clk_030_i
Inst SM_AMIGA_ns_o3_i_1_ SM_AMIGA_ns_o3_i[1]
Inst state_machine_AS_030_000_SYNC_3_i state_machine.AS_030_000_SYNC_3_i
Inst A_c_i_0_ A_c_i[0]
Inst state_machine_UDS_000_INT_7_i state_machine.UDS_000_INT_7_i
Inst state_machine_LDS_000_INT_7_i state_machine.LDS_000_INT_7_i
Inst SM_AMIGA_ns_i_o3_i_4_ SM_AMIGA_ns_i_o3_i[4]
Inst clk_cpu_est_11_0_i_1_ clk.cpu_est_11_0_i[1]
Inst clk_cpu_est_11_0_i_3_ clk.cpu_est_11_0_i[3]
Inst clk_cpu_est_11_0_o4_i_3_ clk.cpu_est_11_0_o4_i[3]
Inst clk_cpu_est_11_0_o4_i_1_ clk.cpu_est_11_0_o4_i[1]
Inst SM_AMIGA_ns_i_7_ SM_AMIGA_ns_i[7]
Inst state_machine_un8_clk_000_d0_i state_machine.un8_clk_000_d0_i
Inst SM_AMIGA_ns_i_o3_i_0_ SM_AMIGA_ns_i_o3_i[0]
Net cpu_est_3__n cpu_est[3]
Net cpu_est_0__n cpu_est[0]
Net cpu_est_1__n cpu_est[1]
@ -329,20 +330,20 @@ Design 'BUS68030' created Sun May 18 21:01:47 2014
Net ipl_030_1__n IPL_030[1]
Net ipl_030_c_2__n IPL_030_c[2]
Net ipl_c_0__n IPL_c[0]
Net ipl_0__n IPL[0]
Net ipl_c_1__n IPL_c[1]
Net ipl_1__n IPL[1]
Net sm_amiga_6__n SM_AMIGA[6]
Net ipl_c_2__n IPL_c[2]
Net ipl_0__n IPL[0]
Net vcc_n_n VCC
Net ipl_c_1__n IPL_c[1]
Net gnd_n_n GND
Net dsack_0__n DSACK[0]
Net ipl_1__n IPL[1]
Net cpu_est_2__n cpu_est[2]
Net dsack_c_1__n DSACK_c[1]
Net ipl_c_2__n IPL_c[2]
Net clk_ref_0__n CLK_REF[0]
Net clk_ref_1__n CLK_REF[1]
Net dsack_0__n DSACK[0]
Net sm_amiga_7__n SM_AMIGA[7]
Net dsack_c_1__n DSACK_c[1]
Net dsack_int_1__n DSACK_INT[1]
Net clk_un4_clk_000_d1_n clk.un4_clk_000_d1
Net sm_amiga_4__n SM_AMIGA[4]
Net sm_amiga_1__n SM_AMIGA[1]
Net clk_clk_cnt_n clk.clk_cnt
@ -352,48 +353,51 @@ Design 'BUS68030' created Sun May 18 21:01:47 2014
Net sm_amiga_3__n SM_AMIGA[3]
Net clk_clk_cnt_3_0__n clk.CLK_CNT_3[0]
Net clk_clk_cnt_3_1__n clk.CLK_CNT_3[1]
Net fc_c_0__n FC_c[0]
Net fc_0__n FC[0]
Net sm_amiga_5__n SM_AMIGA[5]
Net fc_c_1__n FC_c[1]
Net sm_amiga_2__n SM_AMIGA[2]
Net sm_amiga_0__n SM_AMIGA[0]
Net state_machine_lds_000_int_7_n state_machine.LDS_000_INT_7
Net state_machine_uds_000_int_7_n state_machine.UDS_000_INT_7
Net fc_c_0__n FC_c[0]
Net fc_0__n FC[0]
Net fc_c_1__n FC_c[1]
Net clk_cpu_est_11_0_1__n clk.cpu_est_11_0[1]
Net clk_cpu_est_11_0_3__n clk.cpu_est_11_0[3]
Net sm_amiga_ns_1__n SM_AMIGA_ns[1]
Net clk_cpu_est_11_0_3__n clk.cpu_est_11_0[3]
Net sm_amiga_ns_2__n SM_AMIGA_ns[2]
Net sm_amiga_ns_5__n SM_AMIGA_ns[5]
Net sm_amiga_ns_7__n SM_AMIGA_ns[7]
Net sm_amiga_ns_0_7__n SM_AMIGA_ns_0[7]
Net state_machine_un30_clk_000_d1_n state_machine.un30_clk_000_d1
Net state_machine_un8_clk_000_d0_i_n state_machine.un8_clk_000_d0_i
Net state_machine_un13_clk_000_d0_i_n state_machine.un13_clk_000_d0_i
Net state_machine_un15_clk_000_d0_0_n state_machine.un15_clk_000_d0_0
Net state_machine_un44_clk_000_d1_n state_machine.un44_clk_000_d1
Net state_machine_un23_clk_000_d0_i_n state_machine.un23_clk_000_d0_i
Net un3_clk_cnt_1__n un3_clk_cnt[1]
Net state_machine_un15_clk_000_d0_0_n state_machine.un15_clk_000_d0_0
Net sm_amiga_ns_0_2__n SM_AMIGA_ns_0[2]
Net state_machine_un1_clk_030_0_n state_machine.un1_clk_030_0
Net clk_un4_clk_000_d1_i_n clk.un4_clk_000_d1_i
Net state_machine_un6_bgack_000_0_n state_machine.un6_bgack_000_0
Net state_machine_un23_clk_000_d0_i_n state_machine.un23_clk_000_d0_i
Net state_machine_un1_clk_030_0_n state_machine.un1_clk_030_0
Net state_machine_un17_clk_030_0_n state_machine.un17_clk_030_0
Net state_machine_amiga_bus_enable_2_iv_i_n state_machine.AMIGA_BUS_ENABLE_2_iv_i
Net state_machine_as_030_000_sync_3_2_n state_machine.AS_030_000_SYNC_3_2
Net state_machine_un42_clk_030_n state_machine.un42_clk_030
Net sm_amiga_ns_0_5__n SM_AMIGA_ns_0[5]
Net state_machine_as_030_000_sync_3_n state_machine.AS_030_000_SYNC_3
Net state_machine_un17_clk_030_n state_machine.un17_clk_030
Net state_machine_un44_clk_000_d1_i_n state_machine.un44_clk_000_d1_i
Net state_machine_un6_bgack_000_n state_machine.un6_bgack_000
Net state_machine_un13_clk_000_d0_1_n state_machine.un13_clk_000_d0_1
Net a_c_i_0__n A_c_i[0]
Net state_machine_un1_clk_030_n state_machine.un1_clk_030
Net size_c_i_1__n SIZE_c_i[1]
Net sm_amiga_ns_0_1__n SM_AMIGA_ns_0[1]
Net state_machine_un23_clk_000_d0_n state_machine.un23_clk_000_d0
Net state_machine_lds_000_int_7_0_n state_machine.LDS_000_INT_7_0
Net state_machine_uds_000_int_7_0_n state_machine.UDS_000_INT_7_0
Net state_machine_lds_000_int_7_0_n state_machine.LDS_000_INT_7_0
Net state_machine_amiga_bus_enable_2_iv_i_n state_machine.AMIGA_BUS_ENABLE_2_iv_i
Net state_machine_un42_clk_030_n state_machine.un42_clk_030
Net state_machine_as_030_000_sync_3_2_n state_machine.AS_030_000_SYNC_3_2
Net state_machine_un44_clk_000_d1_n state_machine.un44_clk_000_d1
Net size_c_i_1__n SIZE_c_i[1]
Net state_machine_as_030_000_sync_3_n state_machine.AS_030_000_SYNC_3
Net state_machine_un44_clk_000_d1_i_n state_machine.un44_clk_000_d1_i
Net sm_amiga_ns_0_5__n SM_AMIGA_ns_0[5]
Net state_machine_lds_000_int_7_n state_machine.LDS_000_INT_7
Net state_machine_uds_000_int_7_n state_machine.UDS_000_INT_7
Net state_machine_un17_clk_030_n state_machine.un17_clk_030
Net state_machine_un1_clk_030_n state_machine.un1_clk_030
Net state_machine_un23_clk_000_d0_n state_machine.un23_clk_000_d0
Net sm_amiga_ns_0_7__n SM_AMIGA_ns_0[7]
Net sm_amiga_ns_0_1__n SM_AMIGA_ns_0[1]
Net state_machine_un6_bgack_000_n state_machine.un6_bgack_000
Net clk_un4_clk_000_d1_n clk.un4_clk_000_d1
Net state_machine_un44_clk_000_d1_i_1_n state_machine.un44_clk_000_d1_i_1
Net state_machine_un15_clk_000_d0_n state_machine.un15_clk_000_d0
Net clk_cpu_est_11_3__n clk.cpu_est_11[3]
Net state_machine_un2_clk_000_n state_machine.un2_clk_000
@ -402,107 +406,105 @@ Design 'BUS68030' created Sun May 18 21:01:47 2014
Net clk_cpu_est_11_0_1_1__n clk.cpu_est_11_0_1[1]
Net state_machine_un8_clk_000_d0_n state_machine.un8_clk_000_d0
Net clk_cpu_est_11_0_2_1__n clk.cpu_est_11_0_2[1]
Net state_machine_un13_clk_000_d0_1_n state_machine.un13_clk_000_d0_1
Net state_machine_un13_clk_000_d0_2_n state_machine.un13_clk_000_d0_2
Net clk_cpu_est_11_1__n clk.cpu_est_11[1]
Net state_machine_un44_clk_000_d1_i_1_n state_machine.un44_clk_000_d1_i_1
Net state_machine_un42_clk_030_1_n state_machine.un42_clk_030_1
Net state_machine_un42_clk_030_2_n state_machine.un42_clk_030_2
Net state_machine_un42_clk_030_3_n state_machine.un42_clk_030_3
Net state_machine_un42_clk_030_4_n state_machine.un42_clk_030_4
Net state_machine_un42_clk_030_5_n state_machine.un42_clk_030_5
Net dsack_i_1__n DSACK_i[1]
Net clk_cpu_est_11_0_1_3__n clk.cpu_est_11_0_1[3]
Net sm_amiga_i_4__n SM_AMIGA_i[4]
Net sm_amiga_i_5__n SM_AMIGA_i[5]
Net sm_amiga_i_3__n SM_AMIGA_i[3]
Net cpu_est_i_0__n cpu_est_i[0]
Net cpu_est_i_2__n cpu_est_i[2]
Net state_machine_un13_clk_000_d0_2_i_n state_machine.un13_clk_000_d0_2_i
Net cpu_est_i_3__n cpu_est_i[3]
Net cpu_est_i_2__n cpu_est_i[2]
Net cpu_est_i_1__n cpu_est_i[1]
Net clk_cpu_est_11_0_1_3__n clk.cpu_est_11_0_1[3]
Net state_machine_un13_clk_000_d0_2_i_n state_machine.un13_clk_000_d0_2_i
Net state_machine_un8_clk_000_d0_1_n state_machine.un8_clk_000_d0_1
Net state_machine_un8_clk_000_d0_2_n state_machine.un8_clk_000_d0_2
Net state_machine_un8_clk_000_d0_3_n state_machine.un8_clk_000_d0_3
Net state_machine_un13_clk_000_d0_1_i_n state_machine.un13_clk_000_d0_1_i
Net state_machine_un8_clk_000_d0_4_n state_machine.un8_clk_000_d0_4
Net state_machine_un13_clk_000_d0_1_0_n state_machine.un13_clk_000_d0_1_0
Net state_machine_un13_clk_000_d0_2_0_n state_machine.un13_clk_000_d0_2_0
Net ipl_030_0_2__un3_n IPL_030_0_2_.un3
Net ipl_030_0_2__un1_n IPL_030_0_2_.un1
Net a_i_18__n A_i[18]
Net ipl_030_0_2__un0_n IPL_030_0_2_.un0
Net cpu_est_0_1__un3_n cpu_est_0_1_.un3
Net a_i_16__n A_i[16]
Net ipl_030_0_1__un3_n IPL_030_0_1_.un3
Net cpu_est_0_1__un1_n cpu_est_0_1_.un1
Net a_i_19__n A_i[19]
Net cpu_est_0_1__un0_n cpu_est_0_1_.un0
Net cpu_est_0_3__un3_n cpu_est_0_3_.un3
Net state_machine_un42_clk_030_i_n state_machine.un42_clk_030_i
Net cpu_est_0_3__un1_n cpu_est_0_3_.un1
Net sm_amiga_i_6__n SM_AMIGA_i[6]
Net cpu_est_0_3__un0_n cpu_est_0_3_.un0
Net sm_amiga_i_7__n SM_AMIGA_i[7]
Net ipl_030_0_0__un3_n IPL_030_0_0_.un3
Net ipl_030_0_0__un1_n IPL_030_0_0_.un1
Net ipl_030_0_0__un0_n IPL_030_0_0_.un0
Net sm_amiga_i_2__n SM_AMIGA_i[2]
Net ipl_030_0_1__un3_n IPL_030_0_1_.un3
Net sm_amiga_i_1__n SM_AMIGA_i[1]
Net ipl_030_0_1__un1_n IPL_030_0_1_.un1
Net ipl_030_0_1__un0_n IPL_030_0_1_.un0
Net ipl_030_0_0__un3_n IPL_030_0_0_.un3
Net state_machine_un42_clk_030_i_n state_machine.un42_clk_030_i
Net ipl_030_0_0__un1_n IPL_030_0_0_.un1
Net sm_amiga_i_6__n SM_AMIGA_i[6]
Net ipl_030_0_0__un0_n IPL_030_0_0_.un0
Net sm_amiga_i_7__n SM_AMIGA_i[7]
Net ipl_030_0_2__un3_n IPL_030_0_2_.un3
Net ipl_030_0_2__un1_n IPL_030_0_2_.un1
Net ipl_030_0_2__un0_n IPL_030_0_2_.un0
Net state_machine_un13_clk_000_d0_1_i_n state_machine.un13_clk_000_d0_1_i
Net uds_000_int_0_un3_n UDS_000_INT_0.un3
Net clk_clk_cnt_i_n clk.clk_cnt_i
Net uds_000_int_0_un1_n UDS_000_INT_0.un1
Net clk_cnt_i_0__n CLK_CNT_i[0]
Net uds_000_int_0_un0_n UDS_000_INT_0.un0
Net vpa_sync_0_un3_n VPA_SYNC_0.un3
Net a_i_30__n A_i[30]
Net vpa_sync_0_un1_n VPA_SYNC_0.un1
Net a_i_31__n A_i[31]
Net vpa_sync_0_un0_n VPA_SYNC_0.un0
Net a_i_28__n A_i[28]
Net as_000_int_0_un3_n AS_000_INT_0.un3
Net a_i_29__n A_i[29]
Net as_000_int_0_un1_n AS_000_INT_0.un1
Net a_i_26__n A_i[26]
Net as_000_int_0_un0_n AS_000_INT_0.un0
Net a_i_27__n A_i[27]
Net bgack_030_int_0_un3_n BGACK_030_INT_0.un3
Net a_i_24__n A_i[24]
Net bgack_030_int_0_un1_n BGACK_030_INT_0.un1
Net a_i_25__n A_i[25]
Net bgack_030_int_0_un0_n BGACK_030_INT_0.un0
Net vma_int_0_un3_n VMA_INT_0.un3
Net vma_int_0_un1_n VMA_INT_0.un1
Net state_machine_un14_as_000_int_i_n state_machine.un14_as_000_int_i
Net vma_int_0_un0_n VMA_INT_0.un0
Net cpu_est_0_2__un3_n cpu_est_0_2_.un3
Net cpu_est_0_2__un1_n cpu_est_0_2_.un1
Net cpu_est_0_2__un0_n cpu_est_0_2_.un0
Net sm_amiga_i_2__n SM_AMIGA_i[2]
Net cpu_est_0_1__un3_n cpu_est_0_1_.un3
Net sm_amiga_i_1__n SM_AMIGA_i[1]
Net cpu_est_0_1__un1_n cpu_est_0_1_.un1
Net cpu_est_0_1__un0_n cpu_est_0_1_.un0
Net vpa_sync_0_un3_n VPA_SYNC_0.un3
Net vpa_sync_0_un1_n VPA_SYNC_0.un1
Net vpa_sync_0_un0_n VPA_SYNC_0.un0
Net clk_clk_cnt_i_n clk.clk_cnt_i
Net vma_int_0_un3_n VMA_INT_0.un3
Net clk_cnt_i_0__n CLK_CNT_i[0]
Net vma_int_0_un1_n VMA_INT_0.un1
Net a_i_30__n A_i[30]
Net vma_int_0_un0_n VMA_INT_0.un0
Net a_i_31__n A_i[31]
Net cpu_est_0_3__un3_n cpu_est_0_3_.un3
Net a_i_28__n A_i[28]
Net cpu_est_0_3__un1_n cpu_est_0_3_.un1
Net a_i_29__n A_i[29]
Net cpu_est_0_3__un0_n cpu_est_0_3_.un0
Net a_i_26__n A_i[26]
Net bgack_030_int_0_un3_n BGACK_030_INT_0.un3
Net a_i_27__n A_i[27]
Net bgack_030_int_0_un1_n BGACK_030_INT_0.un1
Net a_i_24__n A_i[24]
Net bgack_030_int_0_un0_n BGACK_030_INT_0.un0
Net a_i_25__n A_i[25]
Net dsack_int_0_1__un3_n DSACK_INT_0_1_.un3
Net dsack_int_0_1__un1_n DSACK_INT_0_1_.un1
Net dsack_int_0_1__un0_n DSACK_INT_0_1_.un0
Net bg_000_0_un3_n BG_000_0.un3
Net bg_000_0_un1_n BG_000_0.un1
Net state_machine_un14_as_000_int_i_n state_machine.un14_as_000_int_i
Net bg_000_0_un0_n BG_000_0.un0
Net amiga_bus_enable_0_un3_n AMIGA_BUS_ENABLE_0.un3
Net size_c_0__n SIZE_c[0]
Net amiga_bus_enable_0_un1_n AMIGA_BUS_ENABLE_0.un1
Net size_0__n SIZE[0]
Net amiga_bus_enable_0_un0_n AMIGA_BUS_ENABLE_0.un0
Net size_c_1__n SIZE_c[1]
Net as_030_000_sync_0_un3_n AS_030_000_SYNC_0.un3
Net as_030_000_sync_0_un1_n AS_030_000_SYNC_0.un1
Net a_c_0__n A_c[0]
Net as_030_000_sync_0_un0_n AS_030_000_SYNC_0.un0
Net a_0__n A[0]
Net fpu_cs_int_0_un3_n FPU_CS_INT_0.un3
Net fpu_cs_int_0_un1_n FPU_CS_INT_0.un1
Net fpu_cs_int_0_un0_n FPU_CS_INT_0.un0
Net as_000_int_0_un3_n AS_000_INT_0.un3
Net size_c_0__n SIZE_c[0]
Net as_000_int_0_un1_n AS_000_INT_0.un1
Net size_0__n SIZE[0]
Net as_000_int_0_un0_n AS_000_INT_0.un0
Net size_c_1__n SIZE_c[1]
Net dsack_int_0_1__un3_n DSACK_INT_0_1_.un3
Net dsack_int_0_1__un1_n DSACK_INT_0_1_.un1
Net a_c_0__n A_c[0]
Net dsack_int_0_1__un0_n DSACK_INT_0_1_.un0
Net a_0__n A[0]
Net dtack_sync_0_un3_n DTACK_SYNC_0.un3
Net dtack_sync_0_un1_n DTACK_SYNC_0.un1
Net dtack_sync_0_un0_n DTACK_SYNC_0.un0
Net uds_000_int_0_un3_n UDS_000_INT_0.un3
Net uds_000_int_0_un1_n UDS_000_INT_0.un1
Net uds_000_int_0_un0_n UDS_000_INT_0.un0
Net lds_000_int_0_un3_n LDS_000_INT_0.un3
Net lds_000_int_0_un1_n LDS_000_INT_0.un1
Net lds_000_int_0_un0_n LDS_000_INT_0.un0

View File

@ -6,7 +6,7 @@
#Implementation: logic
$ Start of Compile
#Sun May 18 21:01:41 2014
#Thu May 22 14:56:04 2014
Synopsys VHDL Compiler, version comp201209rcp1, Build 283R, built Mar 19 2013
@N|Running in 64-bit mode
@ -25,7 +25,6 @@ Post processing for work.bus68030.behavioral
@W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":116:32:116:34|Pruning register CLK_000_D4
@W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":115:32:115:34|Pruning register CLK_000_D3
@A: CL282 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":109:52:109:55|Feedback mux created for signal AMIGA_BUS_ENABLE -- possible set/reset assignment for signal missing. Specifying a reset value will improve timing and area.
@W: CL111 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":183:2:183:3|All reachable assignments to CLK_REF(0) assign '0'; register removed by optimization
@W: CL117 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":183:2:183:3|Latch generated from process for signal CLK_REF(1 downto 0); possible missing assignment in an if or case statement.
@W: CL190 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":109:52:109:55|Optimizing register bit DSACK_INT(0) to a constant 1
@W: CL260 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":109:52:109:55|Pruning register bit 0 of DSACK_INT(1 downto 0)
@ -44,7 +43,7 @@ State machine has 8 reachable states with original encodings of:
@W: CL249 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":183:2:183:3|Initial value is not supported on state machine SM_AMIGA
@END
Process took 0h:00m:01s realtime, 0h:00m:01s cputime
# Sun May 18 21:01:41 2014
# Thu May 22 14:56:04 2014
###########################################################]
Map & Optimize Report
@ -76,9 +75,10 @@ BUFTH 7 uses
OBUF 15 uses
BI_DIR 2 uses
AND2 159 uses
INV 126 uses
INV 127 uses
OR2 18 uses
XOR2 4 uses
XOR2 5 uses
DLATSH 1 use
DLATRH 1 use
@ -89,6 +89,6 @@ Mapper successful!
At Mapper Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 31MB peak: 95MB)
Process took 0h:00m:01s realtime, 0h:00m:01s cputime
# Sun May 18 21:01:42 2014
# Thu May 22 14:56:05 2014
###########################################################]

View File

@ -26,10 +26,10 @@ S7RCVMI="F3s Anz1Ujjd3ELCNFPHs"NDR"D=PDE8"S>
SR<WN(=""DRL=d"4"ORL=""(R=CD""4dR=CO""4cR
/>SqS<R"M=3ONsEDVHCP"R=""(/S>
SR<qM3="lkF8DHCVDRC"P(=""
/>SqS<R"M=3MOF#M0N0C_soP"R=J"&k;F0B_pi)r wjj9R
q71BQi_hjar9&R4J0kF;>"/
<SSq=RM"HFsoM_H#F0_VP"R=J"&k;F0Anz1Ujjd&FJk0/;">S
S<MqR=F"3shHoN"lCR"P=&FJk0z;A1jnUdJj&k;F0"
/>
/>SqS<R"M=3MOF#M0N0C_soP"R=J"&k;F07B1qih_Qa9rjRJ4&k;F0"
/>SqS<R"M=FosH_#HM0V_F"=RP"k&JFA0;zU1nj&djJ0kF;>"/
<SSq=RM"s3FHNohlRC"P&="J0kF;1AzndUjjk&JF"0;/
>
/S<7>CV
]</70p1s0kOk>sC

View File

@ -1,7 +1,7 @@
#-- Synopsys, Inc.
#-- Version G-2012.09LC-SP1
#-- Project file C:\users\matze\documents\github\68030tk\logic\run_options.txt
#-- Written on Sun May 18 21:01:41 2014
#-- Written on Thu May 22 14:56:04 2014
#project files

View File

@ -25,9 +25,10 @@ BUFTH 7 uses
OBUF 15 uses
BI_DIR 2 uses
AND2 159 uses
INV 126 uses
INV 127 uses
OR2 18 uses
XOR2 4 uses
XOR2 5 uses
DLATSH 1 use
DLATRH 1 use
@ -38,6 +39,6 @@ Mapper successful!
At Mapper Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 31MB peak: 95MB)
Process took 0h:00m:01s realtime, 0h:00m:01s cputime
# Sun May 18 21:01:42 2014
# Thu May 22 14:56:05 2014
###########################################################]

View File

@ -1,3 +1,3 @@
@E: CD199 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":314:5:314:10|elseif should probably be elsif
@E: CD204 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":151:49:151:49|Expecting sequential statement
@E|Parse errors encountered - exiting

View File

@ -18,7 +18,7 @@ The file contains the job information from compiler to be displayed as part of t
<report_link name="more"><data>C:\users\matze\documents\github\68030tk\logic\synlog\report\BUS68030_compiler_notes.txt</data></report_link>
</info>
<info name="Warnings">
<data>10</data>
<data>9</data>
<report_link name="more"><data>C:\users\matze\documents\github\68030tk\logic\synlog\report\BUS68030_compiler_warnings.txt</data></report_link>
</info>
<info name="Errors">
@ -35,7 +35,7 @@ The file contains the job information from compiler to be displayed as part of t
<data>-</data>
</info>
<info name="Date &amp;Time">
<data type="timestamp">1400439701</data>
<data type="timestamp">1400763364</data>
</info>
</job_info>
</job_run_status>

View File

@ -3,7 +3,6 @@
@W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":117:32:117:34|Pruning register CLK_000_D5
@W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":116:32:116:34|Pruning register CLK_000_D4
@W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":115:32:115:34|Pruning register CLK_000_D3
@W: CL111 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":183:2:183:3|All reachable assignments to CLK_REF(0) assign '0'; register removed by optimization
@W: CL117 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":183:2:183:3|Latch generated from process for signal CLK_REF(1 downto 0); possible missing assignment in an if or case statement.
@W: CL190 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":109:52:109:55|Optimizing register bit DSACK_INT(0) to a constant 1
@W: CL260 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":109:52:109:55|Pruning register bit 0 of DSACK_INT(1 downto 0)

View File

@ -39,7 +39,7 @@ The file contains the job information from mapper to be displayed as part of the
<data>95MB</data>
</info>
<info name="Date &amp; Time">
<data type="timestamp">1400439702</data>
<data type="timestamp">1400763365</data>
</info>
</job_info>
</job_run_status>

View File

@ -3,7 +3,7 @@
Synopsys, Inc.
Version G-2012.09LC-SP1
Project file C:\users\matze\documents\github\68030tk\logic\syntmp\run_option.xml
Written on Sun May 18 21:01:41 2014
Written on Thu May 22 14:56:04 2014
-->

View File

@ -10,7 +10,7 @@
#CUR:"C:\\Program Files (x86)\\ispLever\\synpbase\\lib\\vhd\\umr_capim.vhd":1363694328
#CUR:"C:\\Program Files (x86)\\ispLever\\synpbase\\lib\\vhd\\arith.vhd":1363694328
#CUR:"C:\\Program Files (x86)\\ispLever\\synpbase\\lib\\vhd\\unsigned.vhd":1363694328
#CUR:"C:\\users\\matze\\documents\\github\\68030tk\\logic\\68030-68000-bus.vhd":1400439696
#CUR:"C:\\users\\matze\\documents\\github\\68030tk\\logic\\68030-68000-bus.vhd":1400763359
0 "C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd" vhdl
# Dependency Lists (Uses list)

View File

@ -10,7 +10,7 @@
#CUR:"C:\\Program Files (x86)\\ispLever\\synpbase\\lib\\vhd\\umr_capim.vhd":1363694328
#CUR:"C:\\Program Files (x86)\\ispLever\\synpbase\\lib\\vhd\\arith.vhd":1363694328
#CUR:"C:\\Program Files (x86)\\ispLever\\synpbase\\lib\\vhd\\unsigned.vhd":1363694328
#CUR:"C:\\users\\matze\\documents\\github\\68030tk\\logic\\68030-68000-bus.vhd":1400439696
#CUR:"C:\\users\\matze\\documents\\github\\68030tk\\logic\\68030-68000-bus.vhd":1400763359
0 "C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd" vhdl
# Dependency Lists (Uses list)

Binary file not shown.

View File

@ -6,7 +6,6 @@ Post processing for work.bus68030.behavioral
@W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":116:32:116:34|Pruning register CLK_000_D4
@W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":115:32:115:34|Pruning register CLK_000_D3
@A: CL282 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":109:52:109:55|Feedback mux created for signal AMIGA_BUS_ENABLE -- possible set/reset assignment for signal missing. Specifying a reset value will improve timing and area.
@W: CL111 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":183:2:183:3|All reachable assignments to CLK_REF(0) assign '0'; register removed by optimization
@W: CL117 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":183:2:183:3|Latch generated from process for signal CLK_REF(1 downto 0); possible missing assignment in an if or case statement.
@W: CL190 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":109:52:109:55|Optimizing register bit DSACK_INT(0) to a constant 1
@W: CL260 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":109:52:109:55|Pruning register bit 0 of DSACK_INT(1 downto 0)