mirror of https://github.com/kr239/68030tk.git
Fixed external interface flaws
This commit is contained in:
parent
be14e6527f
commit
3f0e99e010
File diff suppressed because it is too large
Load Diff
|
@ -6315,6 +6315,60 @@ minimale Strichstärke: <b>0.2 mm</b><br><br>
|
|||
<wire x1="60.932" y1="5.0686" x2="60.8926" y2="5.0292" width="0.1524" layer="1"/>
|
||||
<wire x1="60.8926" y1="5.0292" x2="59.1312" y2="5.0292" width="0.1524" layer="1"/>
|
||||
<via x="59.1312" y="5.0292" extent="1-16" drill="0.3"/>
|
||||
<contactref element="R2" pad="2"/>
|
||||
<wire x1="72.8336" y1="89.916" x2="71.7804" y2="94.3102" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="59.2328" y1="98.4504" x2="71.7804" y2="94.3102" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="54.5592" y1="96.608" x2="57.15" y2="98.4788" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="44.2896" y1="94.8172" x2="51.816" y2="97.9932" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="49.9872" y1="86.5124" x2="44.1452" y2="93.726" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="44.323" y1="80.4418" x2="49.9872" y2="86.5124" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="35.7896" y1="81.8172" x2="43.2896" y2="79.9672" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="33.133" y1="86.7664" x2="34.7256" y2="81.8172" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="41.9862" y1="60.5028" x2="43.1292" y2="75.438" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="44.0436" y1="55.3352" x2="42.5082" y2="56.8706" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="47.5742" y1="53.3794" x2="44.0436" y2="54.19" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="36.9062" y1="57.9628" x2="41.9862" y2="57.3926" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="46.0248" y1="48.1584" x2="47.5742" y2="53.3794" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="50.4444" y1="45.72" x2="46.0378" y2="45.7772" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="52.1462" y1="42.7228" x2="52.1716" y2="44.7942" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="54.6862" y1="47.8028" x2="52.1716" y2="44.7942" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="54.6862" y1="52.8828" x2="54.6862" y2="47.8028" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="52.1462" y1="57.9628" x2="54.6862" y2="52.8828" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="41.9862" y1="40.1828" x2="46.0378" y2="44.9772" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="37.1348" y1="42.9514" x2="41.9862" y2="40.1828" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="34.3662" y1="47.8028" x2="37.3012" y2="45.2882" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="31.8262" y1="52.8828" x2="34.3662" y2="47.8028" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="64.4652" y1="55.0164" x2="54.6862" y2="52.8828" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="75.424" y1="46.6344" x2="74.7404" y2="52.578" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="79.0956" y1="35.7886" x2="75.4126" y2="44.6278" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="75.3872" y1="31.4084" x2="79.0956" y2="33.9208" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="77.8764" y1="24.9056" x2="75.3872" y2="29.6164" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="87.63" y1="23.5712" x2="77.8764" y2="23.4696" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="87.63" y1="21.0312" x2="87.63" y2="23.5712" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="87.63" y1="18.4912" x2="87.63" y2="21.0312" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="31.5976" y1="33.5788" x2="36.9062" y2="42.7228" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="24.7904" y1="35.2806" x2="31.5836" y2="33.5648" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="33.3134" y1="19.2786" x2="33.3134" y2="26.8986" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="15.3924" y1="34.3544" x2="24.7904" y2="35.2806" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="10.9728" y1="37.214" x2="15.3924" y2="34.3544" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="5.221" y1="38.862" x2="10.9728" y2="38.862" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="1.9304" y1="40.3606" x2="5.1816" y2="40.767" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="33.3134" y1="6.5786" x2="33.3134" y2="16.7386" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="55.513" y1="32.4612" x2="52.1462" y2="42.7228" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="56.6534" y1="18.0086" x2="55.1434" y2="28.4086" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="65.5828" y1="12.5192" x2="61.4172" y2="17.4104" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="60.932" y1="5.0686" x2="65.5828" y2="12.5192" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="5.0686" y1="53.9496" x2="5.1816" y2="40.767" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="5.221" y1="69.0372" x2="5.1816" y2="55.7022" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="4.9428" y1="84.582" x2="5.1816" y2="70.9422" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="5.334" y1="18.9738" x2="15.3924" y2="33.2232" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="5.4864" y1="3.429" x2="5.334" y2="16.1544" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="74.7404" y1="52.578" x2="73.6092" y2="52.578" width="0.1524" layer="1"/>
|
||||
<wire x1="74.7404" y1="52.578" x2="65.0104" y2="52.8828" width="0" layer="19" extent="1-1"/>
|
||||
<via x="73.6092" y="52.578" extent="1-16" drill="0.3"/>
|
||||
<wire x1="73.6092" y1="52.578" x2="72.39" y2="52.578" width="0" layer="19" extent="1-16"/>
|
||||
<wire x1="72.39" y1="52.578" x2="72.39" y2="50.7492" width="0" layer="19" extent="1-16"/>
|
||||
<wire x1="72.39" y1="50.7492" x2="75.3986" y2="46.609" width="0" layer="19" extent="1-16"/>
|
||||
</signal>
|
||||
<signal name="GND">
|
||||
<contactref element="IC2" pad="16"/>
|
||||
|
@ -6370,7 +6424,6 @@ minimale Strichstärke: <b>0.2 mm</b><br><br>
|
|||
<via x="63.3476" y="33.4772" extent="1-16" drill="0.3"/>
|
||||
<wire x1="65.3288" y1="33.2232" x2="63.6016" y2="33.2232" width="0.6096" layer="1"/>
|
||||
<wire x1="63.6016" y1="33.2232" x2="63.3476" y2="33.4772" width="0.6096" layer="1"/>
|
||||
<contactref element="R2" pad="2"/>
|
||||
<contactref element="R3" pad="2"/>
|
||||
<contactref element="RN1" pad="8"/>
|
||||
<contactref element="RN1" pad="7"/>
|
||||
|
@ -6460,7 +6513,6 @@ minimale Strichstärke: <b>0.2 mm</b><br><br>
|
|||
<via x="69.7484" y="58.42" extent="1-16" drill="0.3"/>
|
||||
<via x="62.8396" y="55.372" extent="1-16" drill="0.3"/>
|
||||
<via x="65.4304" y="55.3212" extent="1-16" drill="0.3"/>
|
||||
<via x="73.7616" y="52.6796" extent="1-16" drill="0.3"/>
|
||||
<via x="60.7568" y="86.5124" extent="1-16" drill="0.3"/>
|
||||
<via x="46.5328" y="84.4804" extent="1-16" drill="0.3"/>
|
||||
<via x="72.0852" y="84.328" extent="1-16" drill="0.3"/>
|
||||
|
@ -6607,8 +6659,6 @@ minimale Strichstärke: <b>0.2 mm</b><br><br>
|
|||
<via x="44.5008" y="75.438" extent="1-16" drill="0.3"/>
|
||||
<contactref element="X1" pad="A1"/>
|
||||
<contactref element="X1" pad="A2"/>
|
||||
<wire x1="31.383" y1="85.6602" x2="31.3944" y2="85.6488" width="0" layer="19" extent="1-16"/>
|
||||
<wire x1="31.3944" y1="85.6488" x2="31.3944" y2="85.6488" width="0" layer="19" extent="1-16"/>
|
||||
<contactref element="IC4" pad="10"/>
|
||||
<contactref element="IC8" pad="10"/>
|
||||
<contactref element="IC9" pad="10"/>
|
||||
|
@ -6683,8 +6733,6 @@ minimale Strichstärke: <b>0.2 mm</b><br><br>
|
|||
<via x="77.1144" y="34.5948" extent="1-16" drill="0.3"/>
|
||||
<wire x1="73.6222" y1="62.2938" x2="73.8384" y2="62.0776" width="0.3048" layer="1"/>
|
||||
<wire x1="73.8384" y1="62.0776" x2="73.8632" y2="62.0776" width="0.3048" layer="1"/>
|
||||
<wire x1="74.7404" y1="52.578" x2="74.6388" y2="52.6796" width="0.1524" layer="1"/>
|
||||
<wire x1="74.6388" y1="52.6796" x2="73.7616" y2="52.6796" width="0.1524" layer="1"/>
|
||||
<via x="86.5124" y="98.7552" extent="1-16" drill="0.3"/>
|
||||
<via x="87.7316" y="93.8784" extent="1-16" drill="0.3"/>
|
||||
<via x="85.7504" y="96.1644" extent="1-16" drill="0.3"/>
|
||||
|
@ -6736,9 +6784,191 @@ minimale Strichstärke: <b>0.2 mm</b><br><br>
|
|||
<wire x1="35.814" y1="78.514" x2="35.8762" y2="78.5762" width="0.3048" layer="1"/>
|
||||
<wire x1="31.383" y1="86.7664" x2="30.4038" y2="86.7664" width="0.3048" layer="1"/>
|
||||
<via x="30.4038" y="86.7664" extent="1-16" drill="0.3"/>
|
||||
<wire x1="30.4038" y1="86.7664" x2="30.5054" y2="86.3854" width="0" layer="19" extent="1-16"/>
|
||||
<wire x1="30.5054" y1="86.3854" x2="30.9372" y2="85.9536" width="0" layer="19" extent="1-16"/>
|
||||
<wire x1="30.9372" y1="85.9536" x2="34.4424" y2="86.5632" width="0" layer="19" extent="1-16"/>
|
||||
<wire x1="85.7504" y1="96.1644" x2="86.5124" y2="98.7552" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="87.7316" y1="93.8784" x2="85.7504" y2="96.1644" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="82.1436" y1="96.2524" x2="85.7504" y2="96.1644" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="91.9988" y1="93.5736" x2="87.7316" y2="93.8784" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="74.3204" y1="94.3102" x2="82.1436" y2="96.2524" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="67.4116" y1="90.5256" x2="74.3204" y2="94.3102" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="64.1604" y1="94.3102" x2="67.4116" y2="90.5256" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="61.6712" y1="97.3836" x2="64.1604" y2="94.3102" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="59.2836" y1="95.6564" x2="61.6712" y2="97.3836" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="51.7896" y1="92.8172" x2="57.15" y2="95.6788" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="49.7896" y1="94.8172" x2="50.2864" y2="92.8172" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="47.4472" y1="98.3488" x2="49.276" y2="96.2152" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="45.212" y1="97.1296" x2="47.4472" y2="98.3488" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="38.2896" y1="94.8172" x2="43.2896" y2="94.8172" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="35.7632" y1="94.6912" x2="37.7896" y2="94.8172" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="35.6108" y1="97.6884" x2="37.7896" y2="96.4636" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="33.5788" y1="97.6884" x2="35.6108" y2="97.6884" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="31.1912" y1="96.1484" x2="33.5788" y2="97.6884" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="49.9872" y1="87.4776" x2="50.2864" y2="92.8172" width="0" layer="19" extent="1-16"/>
|
||||
<wire x1="48.6156" y1="84.7344" x2="50.6476" y2="86.8172" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="49.2896" y1="78.8172" x2="49.3324" y2="80.8172" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="46.5328" y1="84.4804" x2="48.6156" y2="84.7344" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="45.5676" y1="86.9696" x2="46.5328" y2="84.4804" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="42.7736" y1="86.3346" x2="45.5676" y2="86.9696" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="42.9768" y1="84.9884" x2="42.7736" y2="86.3346" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="55.4342" y1="86.4108" x2="51.7896" y2="86.8172" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="60.7568" y1="86.5124" x2="56.4388" y2="86.4108" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="44.8678" y1="76.835" x2="49.6824" y2="76.8096" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="37.338" y1="86.8172" x2="42.7736" y2="86.3346" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="35.7896" y1="86.8172" x2="37.338" y2="86.8172" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="31.383" y1="86.7664" x2="34.4424" y2="86.5632" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="38.2896" y1="78.8172" x2="43.7896" y2="78.8172" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="35.8762" y1="79.0956" x2="37.7896" y2="78.8172" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="72.0852" y1="84.328" x2="67.4116" y2="90.5256" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="23.114" y1="95.0468" x2="31.1912" y2="94.8944" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="18.9992" y1="97.3328" x2="23.114" y2="95.0468" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="17.3228" y1="98.7044" x2="18.9992" y2="97.3328" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="17.018" y1="95.1992" x2="18.9992" y2="97.3328" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="21.4376" y1="88.5444" x2="23.114" y2="95.0468" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="16.764" y1="82.4484" x2="21.4376" y2="88.5444" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="15.1892" y1="83.7692" x2="15.24" y2="82.3722" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="10.3746" y1="84.9376" x2="15.1892" y2="83.7692" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="7.5692" y1="82.3976" x2="10.3746" y2="84.9376" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="1.8288" y1="83.3628" x2="7.5692" y2="82.3976" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="10.922" y1="77.3684" x2="7.5692" y2="82.3976" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="6.7056" y1="72.2376" x2="10.922" y2="77.3684" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="6.971" y1="69.0372" x2="6.7056" y2="72.2376" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="10.3124" y1="66.8528" x2="7.0104" y2="67.9704" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="15.24" y1="67.1322" x2="10.3124" y2="66.8528" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="15.1892" y1="68.9864" x2="15.24" y2="67.1322" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="36.8808" y1="67.5132" x2="38.1" y2="77.4192" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="39.4462" y1="60.5028" x2="36.8808" y2="67.5132" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="44.5262" y1="60.5028" x2="39.4462" y2="60.5028" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="44.2976" y1="57.9628" x2="44.5262" y2="60.5028" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="47.4472" y1="57.9628" x2="44.2976" y2="57.9628" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="48.3616" y1="57.9628" x2="47.4472" y2="57.9628" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="47.766" y1="55.3212" x2="47.4472" y2="57.9628" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="49.6062" y1="60.5028" x2="48.3616" y2="57.9628" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="54.6862" y1="55.4228" x2="48.8696" y2="55.3212" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="54.6862" y1="50.3428" x2="54.6862" y2="55.4228" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="56.7944" y1="49.022" x2="54.6862" y2="50.3428" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="58.4708" y1="48.8696" x2="56.7944" y2="49.022" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="52.1716" y1="47.6504" x2="54.6862" y2="50.3428" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="54.6862" y1="45.2628" x2="52.1716" y2="46.5442" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="49.8602" y1="42.4434" x2="52.1716" y2="46.5442" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="49.6062" y1="40.1828" x2="49.8602" y2="42.4434" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="47.0662" y1="40.1828" x2="49.6062" y2="40.1828" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="44.5262" y1="40.1828" x2="47.0662" y2="40.1828" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="42.0116" y1="43.5356" x2="44.5262" y2="40.1828" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="39.0512" y1="45.2882" x2="42.0116" y2="43.5356" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="37.592" y1="47.7012" x2="39.0144" y2="46.5328" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="34.3662" y1="45.2628" x2="37.592" y2="47.7012" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="34.3662" y1="50.3428" x2="37.592" y2="47.7012" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="34.3662" y1="52.8828" x2="34.3662" y2="50.3428" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="34.3662" y1="55.4228" x2="34.3662" y2="52.8828" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="39.4462" y1="40.1828" x2="42.0116" y2="43.5356" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="44.1452" y1="48.768" x2="39.0512" y2="46.496" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="28.194" y1="57.2516" x2="34.3662" y2="55.4228" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="24.7904" y1="54.356" x2="28.194" y2="57.2516" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="23.876" y1="51.7652" x2="24.7904" y2="54.356" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="24.7396" y1="49.276" x2="23.876" y2="51.7652" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="24.7904" y1="46.6852" x2="24.7396" y2="49.276" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="24.8412" y1="44.0944" x2="24.7904" y2="46.6852" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="24.7904" y1="40.3606" x2="24.8412" y2="44.0944" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="16.764" y1="52.2732" x2="23.876" y2="51.7652" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="15.1892" y1="53.594" x2="15.24" y2="52.197" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="62.8396" y1="55.372" x2="58.4708" y2="48.8696" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="65.4304" y1="55.3212" x2="62.8396" y2="55.372" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="62.992" y1="58.0136" x2="62.8396" y2="55.372" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="69.7484" y1="58.42" x2="65.4304" y2="55.3212" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="70.612" y1="60.8076" x2="69.7484" y2="58.42" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="73.6222" y1="62.2938" x2="70.612" y2="60.8076" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="78.8416" y1="57.0484" x2="73.8632" y2="62.0776" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="19.7612" y1="34.2392" x2="24.7904" y2="40.3606" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="19.9136" y1="32.1056" x2="19.7612" y2="34.2392" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="15.3416" y1="32.1056" x2="19.9136" y2="32.1056" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="15.3924" y1="30.4038" x2="15.3416" y2="32.1056" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="10.9728" y1="32.766" x2="15.3416" y2="32.1056" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="14.2748" y1="37.8968" x2="10.9728" y2="34.414" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="24.7904" y1="31.496" x2="19.9136" y2="32.1056" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="24.7904" y1="28.9052" x2="24.7904" y2="31.496" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="25.7302" y1="28.829" x2="24.7904" y2="28.9052" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="29.718" y1="31.3944" x2="25.7302" y2="28.829" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="7.0104" y1="37.6428" x2="10.9728" y2="34.414" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="6.7056" y1="42.0624" x2="6.971" y2="38.862" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="10.16" y1="41.2496" x2="6.7056" y2="42.0624" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="34.798" y1="30.1244" x2="29.8336" y2="31.51" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="36.0934" y1="30.1244" x2="34.8234" y2="30.099" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="37.3634" y1="28.4086" x2="36.0934" y2="28.4086" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="38.6334" y1="30.099" x2="37.3634" y2="30.099" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="39.9034" y1="28.4086" x2="38.6334" y2="28.4086" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="33.3134" y1="24.3586" x2="34.8234" y2="28.4086" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="31.1912" y1="22.2504" x2="31.9278" y2="24.3586" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="28.956" y1="16.3462" x2="31.623" y2="21.8186" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="38.608" y1="11.9888" x2="33.3134" y2="15.4686" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="42.4688" y1="14.1224" x2="38.6334" y2="11.9634" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="43.688" y1="14.1224" x2="42.4688" y2="14.1224" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="44.958" y1="14.1224" x2="43.688" y2="14.1224" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="46.228" y1="14.1224" x2="44.958" y2="14.1224" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="48.1076" y1="14.1224" x2="46.228" y2="14.1224" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="42.418" y1="19.8628" x2="42.4688" y2="14.1224" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="43.688" y1="19.9136" x2="42.418" y2="19.8628" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="44.958" y1="19.9136" x2="43.688" y2="19.9136" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="46.2788" y1="19.9136" x2="44.958" y2="19.9136" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="54.102" y1="12.6492" x2="48.1076" y2="14.1224" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="56.6534" y1="15.4686" x2="54.102" y2="12.6492" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="63.1952" y1="17.1704" x2="61.214" y2="15.4572" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="63.8048" y1="18.1864" x2="63.1952" y2="17.1704" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="65.5828" y1="16.7132" x2="65.3288" y2="18.1864" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="62.992" y1="19.9136" x2="63.8048" y2="18.1864" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="67.7672" y1="15.2908" x2="65.5828" y2="15.3192" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="58.5216" y1="19.9644" x2="62.992" y2="19.9136" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="10.3886" y1="24.7142" x2="15.3924" y2="30.4038" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="52.6034" y1="5.0686" x2="54.102" y2="12.6492" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="56.2356" y1="3.7592" x2="52.6034" y2="3.683" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="59.2836" y1="3.7592" x2="56.2356" y2="3.7592" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="10.3124" y1="16.8656" x2="10.3886" y2="24.7142" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="10.3124" y1="13.8176" x2="10.3124" y2="16.8656" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="10.3124" y1="12.1412" x2="10.3124" y2="13.8176" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="7.1234" y1="17.2212" x2="10.3124" y2="16.8656" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="10.7696" y1="8.0264" x2="10.3124" y2="12.1412" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="13.6652" y1="8.0264" x2="10.7696" y2="8.0264" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="9.7028" y1="4.826" x2="10.7696" y2="8.0264" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="8.2296" y1="1.6764" x2="9.7028" y2="4.826" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="2.7432" y1="0.9144" x2="7.1234" y2="1.6764" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="1.778" y1="0.9144" x2="2.7432" y2="0.9144" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="0.8636" y1="0.9144" x2="1.778" y2="0.9144" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="15.494" y1="16.5608" x2="10.3124" y2="16.8656" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="15.5448" y1="14.859" x2="15.494" y2="16.5608" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="19.9136" y1="3.1496" x2="13.6652" y2="8.0264" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="21.2852" y1="2.3876" x2="19.9136" y2="3.1496" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="26.4668" y1="5.9944" x2="21.2852" y2="2.3876" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="7.0104" y1="53.7578" x2="15.1892" y2="53.594" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="6.7056" y1="56.9976" x2="6.8186" y2="53.9496" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="74.3204" y1="49.6824" x2="78.8416" y2="57.0484" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="73.6486" y1="46.609" x2="74.3204" y2="49.6824" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="77.2668" y1="42.0624" x2="73.6486" y2="46.609" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="78.74" y1="42.0624" x2="77.2668" y2="42.0624" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="77.1144" y1="34.5948" x2="77.2668" y2="42.0624" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="76.7956" y1="31.75" x2="77.1144" y2="34.5948" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="80.1624" y1="34.5948" x2="77.1144" y2="34.5948" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="88.4936" y1="32.4612" x2="80.1624" y2="34.5948" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="91.5416" y1="32.3088" x2="88.4936" y2="32.4612" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="1.9304" y1="30.2006" x2="7.0104" y2="37.6428" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="88.4936" y1="42.672" x2="78.74" y2="42.0624" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="88.4936" y1="52.8828" x2="88.4936" y2="42.672" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="88.4936" y1="62.9412" x2="88.4936" y2="52.8828" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="91.5416" y1="62.7888" x2="88.4936" y2="62.9412" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="88.4936" y1="72.9996" x2="88.4936" y2="62.9412" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="88.3412" y1="83.2104" x2="88.4936" y2="72.9996" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="88.4936" y1="22.2504" x2="88.4936" y2="32.4612" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="87.63" y1="15.9512" x2="88.4936" y2="22.2504" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="87.63" y1="13.4112" x2="87.63" y2="15.9512" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="88.4936" y1="12.192" x2="87.63" y2="13.4112" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="91.694" y1="12.3444" x2="88.4936" y2="12.192" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="91.6432" y1="2.3368" x2="91.694" y2="12.3444" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="91.6432" y1="1.2192" x2="91.6432" y2="2.3368" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="90.2716" y1="1.2192" x2="91.6432" y2="1.2192" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="57.2516" y1="30.1752" x2="58.5216" y2="19.9644" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="52.6034" y1="29.8704" x2="57.2516" y2="30.1752" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="62.4332" y1="35.1536" x2="57.263" y2="32.4612" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="63.3476" y1="33.4772" x2="62.4332" y2="35.1536" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="63.8048" y1="31.1912" x2="63.6016" y2="33.2232" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="1.016" y1="97.9932" x2="1.8288" y2="83.3628" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="1.016" y1="98.9076" x2="1.016" y2="97.9932" width="0" layer="19" extent="1-1"/>
|
||||
</signal>
|
||||
<signal name="A27">
|
||||
<wire x1="82.55" y1="69.2912" x2="75.3872" y2="69.2912" width="0.1524" layer="16"/>
|
||||
|
|
File diff suppressed because it is too large
Load Diff
|
@ -11374,6 +11374,9 @@ Source: RS Component / Phycomp</description>
|
|||
<wire x1="-7.62" y1="121.92" x2="-20.32" y2="121.92" width="0.1524" layer="91"/>
|
||||
<pinref part="R9" gate="G$1" pin="1"/>
|
||||
<wire x1="-7.62" y1="116.84" x2="-20.32" y2="116.84" width="0.1524" layer="91"/>
|
||||
<pinref part="R2" gate="G$1" pin="2"/>
|
||||
<wire x1="-20.32" y1="91.44" x2="-20.32" y2="101.6" width="0.1524" layer="91"/>
|
||||
<junction x="-20.32" y="101.6"/>
|
||||
</segment>
|
||||
<segment>
|
||||
<pinref part="C19" gate="G$1" pin="1"/>
|
||||
|
@ -11539,12 +11542,9 @@ Source: RS Component / Phycomp</description>
|
|||
<junction x="40.64" y="5.08"/>
|
||||
</segment>
|
||||
<segment>
|
||||
<wire x1="-20.32" y1="91.44" x2="-20.32" y2="86.36" width="0.1524" layer="91"/>
|
||||
<label x="-20.32" y="40.64" size="1.27" layer="95" xref="yes"/>
|
||||
<wire x1="-20.32" y1="86.36" x2="-20.32" y2="81.28" width="0.1524" layer="91"/>
|
||||
<pinref part="R2" gate="G$1" pin="2"/>
|
||||
<pinref part="R3" gate="G$1" pin="2"/>
|
||||
<junction x="-20.32" y="86.36"/>
|
||||
<pinref part="RN1" gate="A" pin="2"/>
|
||||
<wire x1="-20.32" y1="81.28" x2="-20.32" y2="76.2" width="0.1524" layer="91"/>
|
||||
<junction x="-20.32" y="81.28"/>
|
||||
|
|
|
@ -13,7 +13,7 @@ port(
|
|||
LDS_000: inout std_logic;
|
||||
SIZE: inout std_logic_vector ( 1 downto 0 );
|
||||
A: inout std_logic_vector ( 31 downto 0 );
|
||||
CPU_SPACE: in std_logic ;
|
||||
nEXP_SPACE: in std_logic ;
|
||||
BERR: inout std_logic ;
|
||||
BG_030: in std_logic ;
|
||||
BG_000: out std_logic ;
|
||||
|
@ -100,12 +100,15 @@ signal LDS_000_INT: STD_LOGIC:='1';
|
|||
signal DSACK_INT: STD_LOGIC_VECTOR ( 1 downto 0 ) := "11";
|
||||
signal CLK_CNT: STD_LOGIC_VECTOR ( 1 downto 0 ) := "00";
|
||||
signal CLK_REF: STD_LOGIC_VECTOR ( 1 downto 0 ) := "10";
|
||||
signal CLK_000_CNT: STD_LOGIC_VECTOR ( 3 downto 0 ) := "0000";
|
||||
signal CLK_OUT_PRE: STD_LOGIC:='1';
|
||||
signal CLK_OUT_INT: STD_LOGIC:='1';
|
||||
signal CLK_030_D: STD_LOGIC:='1';
|
||||
signal CLK_000_D: STD_LOGIC := '1';
|
||||
signal CLK_000_DD: STD_LOGIC := '1';
|
||||
signal CLK_000_D0: STD_LOGIC := '1';
|
||||
signal CLK_000_D1: STD_LOGIC := '1';
|
||||
signal CLK_000_D2: STD_LOGIC := '1';
|
||||
signal CLK_000_D3: STD_LOGIC := '1';
|
||||
signal CLK_000_D4: STD_LOGIC := '1';
|
||||
signal CLK_000_D5: STD_LOGIC := '1';
|
||||
|
||||
begin
|
||||
|
||||
|
@ -128,20 +131,17 @@ begin
|
|||
-- the external clock to the processor is generated here
|
||||
CLK_OUT_INT <= CLK_OUT_PRE; --this way we know the clock of the next state: Its like looking in the future, cool!
|
||||
--delayed Clocks for edge detection
|
||||
CLK_000_D <= CLK_000;
|
||||
CLK_000_DD <= CLK_000_D;
|
||||
CLK_000_D0 <= CLK_000;
|
||||
CLK_000_D1 <= CLK_000_D0;
|
||||
CLK_000_D2 <= CLK_000_D1;
|
||||
CLK_000_D3 <= CLK_000_D2;
|
||||
CLK_000_D4 <= CLK_000_D3;
|
||||
CLK_000_D5 <= CLK_000_D4;
|
||||
|
||||
|
||||
--cycle counter for Amiga-Bus-Timing
|
||||
|
||||
if( CLK_000_D /= CLK_000)then --not equal
|
||||
CLK_000_CNT <= "0001";
|
||||
else
|
||||
CLK_000_CNT <= CLK_000_CNT+1; --4bit counter
|
||||
end if;
|
||||
|
||||
-- e-clock
|
||||
if(CLK_000_DD = '0' and CLK_000_D = '1') then
|
||||
if(CLK_000_D1 = '0' and CLK_000_D0 = '1') then
|
||||
case (cpu_est) is
|
||||
when E1 => cpu_est <= E2 ;
|
||||
when E2 => cpu_est <= E3 ;
|
||||
|
@ -196,7 +196,7 @@ begin
|
|||
--bgack is simple: assert as soon as Amiga asserts but hold bg_ack for one amiga-clock
|
||||
if(BGACK_000='0') then
|
||||
BGACK_030_INT <= '0';
|
||||
elsif (BGACK_000='1' AND CLK_000_DD='0' and CLK_000_D='1') then -- BGACK_000 is high here!
|
||||
elsif (BGACK_000='1' AND CLK_000_D1='0' and CLK_000_D0='1') then -- BGACK_000 is high here!
|
||||
BGACK_030_INT <= '1'; --hold this signal high until 7m clock goes high
|
||||
end if;
|
||||
|
||||
|
@ -205,7 +205,7 @@ begin
|
|||
BG_000 <= '1';
|
||||
elsif(CLK_030 ='0') then
|
||||
if( BG_030= '0' AND (SM_AMIGA = IDLE_N or SM_AMIGA = IDLE_P)
|
||||
and CPU_SPACE = '0' and AS_030='1') then --bus granted no local access and no AS_030 running!
|
||||
and nEXP_SPACE = '0' and AS_030='1') then --bus granted no local access and no AS_030 running!
|
||||
BG_000 <= '0';
|
||||
else
|
||||
BG_000 <= '1';
|
||||
|
@ -214,7 +214,7 @@ begin
|
|||
|
||||
|
||||
--interrupt buffering to avoid ghost interrupts
|
||||
if(CLK_000_DD='0' and CLK_000_D='1')then
|
||||
if(CLK_000_D1='0' and CLK_000_D0='1')then
|
||||
IPL_030<=IPL;
|
||||
end if;
|
||||
|
||||
|
@ -237,15 +237,19 @@ begin
|
|||
FPU_CS_INT <= '0';
|
||||
AS_030_000_SYNC <= '1';
|
||||
else
|
||||
AS_030_000_SYNC <= CPU_SPACE;
|
||||
if(nEXP_SPACE ='1')then
|
||||
AS_030_000_SYNC <= '0';
|
||||
else
|
||||
AS_030_000_SYNC <= '1';
|
||||
end if;
|
||||
FPU_CS_INT <= '1';
|
||||
end if;
|
||||
end if;
|
||||
|
||||
-- VMA generation
|
||||
if(CLK_000_D='0' AND VPA_D='0' AND cpu_est = E4)then --assert
|
||||
if(CLK_000_D0='0' AND VPA_D='0' AND cpu_est = E4)then --assert
|
||||
VMA_INT <= '0';
|
||||
elsif(CLK_000_D='1' AND AS_000_INT='1' AND cpu_est=E1)then --deassert
|
||||
elsif(CLK_000_D0='1' AND AS_000_INT='1' AND cpu_est=E1)then --deassert
|
||||
VMA_INT <= '1';
|
||||
end if;
|
||||
|
||||
|
@ -253,11 +257,11 @@ begin
|
|||
--Amiga statemachine
|
||||
case (SM_AMIGA) is
|
||||
when IDLE_P => --68000:S0 wait for a falling edge
|
||||
if( CLK_000_D='0' )then
|
||||
if( CLK_000_D0='0' )then
|
||||
SM_AMIGA<=IDLE_N;
|
||||
end if;
|
||||
when IDLE_N => --68000:S1 wait for rising edge, on a rising CLK_000 look for a amiga adressrobe
|
||||
if(CLK_000_D='1' and CLK_000_DD = '0')then --sample AS only at the rising edge!
|
||||
if(CLK_000_D0='1' and CLK_000_D1 = '0')then --sample AS only at the rising edge!
|
||||
if( AS_030_000_SYNC = '0' )then
|
||||
AS_000_INT <= '0';
|
||||
if (RW='1' and DS_030 = '0') then --read: set udl/lds
|
||||
|
@ -276,11 +280,11 @@ begin
|
|||
end if;
|
||||
end if;
|
||||
when AS_SET_P => --68000:S2 Amiga cycle starts here: since AS is asserted during transition to this state we simply wait here
|
||||
if(CLK_000_D='0')then
|
||||
if(CLK_000_D0='0')then
|
||||
SM_AMIGA<=AS_SET_N;
|
||||
end if;
|
||||
when AS_SET_N => --68000:S3: nothing happens here; on a transition to s4: assert uds/lds on write
|
||||
if(CLK_000_D='1')then
|
||||
if(CLK_000_D0='1')then
|
||||
if (RW='0' and DS_030 = '0') then --write: set udl/lds
|
||||
if(A(0)='0') then
|
||||
UDS_000_INT <= '0';
|
||||
|
@ -296,7 +300,7 @@ begin
|
|||
SM_AMIGA <= SAMPLE_DTACK_P;
|
||||
end if;
|
||||
when SAMPLE_DTACK_P=> --68000:S4 wait for dtack or VMA
|
||||
if(CLK_000_D='0' )then
|
||||
if(CLK_000_D0='0' )then
|
||||
if(DTACK_SYNC = '0' OR VPA_SYNC ='0')then
|
||||
SM_AMIGA<=DATA_FETCH_N;
|
||||
end if;
|
||||
|
@ -308,16 +312,16 @@ begin
|
|||
end if;
|
||||
end if;
|
||||
when DATA_FETCH_N=> --68000:S5 nothing happens here just wait for positive clock
|
||||
if(CLK_000_D='1')then
|
||||
if(CLK_000_D0='1')then
|
||||
SM_AMIGA<=DATA_FETCH_P;
|
||||
end if;
|
||||
when DATA_FETCH_P => --68000:S6: READ: here comes the data on the bus!
|
||||
if( CLK_000_D ='0' AND CLK_OUT_PRE='1' ) then --next 030-clock is high: dsack is sampled at the falling edge
|
||||
if( CLK_000_D1 ='0' AND CLK_OUT_PRE='1' ) then --next 030-clock is high: dsack is sampled at the falling edge
|
||||
DSACK_INT<="01";
|
||||
SM_AMIGA<=END_CYCLE_N;
|
||||
end if;
|
||||
when END_CYCLE_N =>--68000:S7: Latch/Store data and go to IDLE on high clock
|
||||
if(CLK_000_D='1' and AS_000_INT='1' )then
|
||||
if(CLK_000_D0='1' and AS_000_INT='1' )then
|
||||
SM_AMIGA<=IDLE_P;
|
||||
end if;
|
||||
end case;
|
||||
|
@ -358,7 +362,7 @@ begin
|
|||
'0';
|
||||
|
||||
--bus buffers
|
||||
AMIGA_BUS_ENABLE <= '0'; --for now: allways on
|
||||
AMIGA_BUS_ENABLE <= '0' WHEN nEXP_SPACE ='1' else '1'; --for now: allways on for amiga
|
||||
AMIGA_BUS_DATA_DIR <='1' WHEN RW='0' ELSE '0';
|
||||
AMIGA_BUS_ENABLE_LOW <= '1'; --for now: allways off
|
||||
|
||||
|
@ -379,7 +383,7 @@ begin
|
|||
LDS_000_INT;
|
||||
|
||||
--dsack
|
||||
DSACK <= "ZZ" when CPU_SPACE = '1' else -- output on amiga cycle
|
||||
DSACK <= "ZZ" when nEXP_SPACE = '0' else -- output on amiga cycle
|
||||
DSACK_INT;
|
||||
BGACK_030 <= BGACK_030_INT;
|
||||
-- signal assignment
|
||||
|
|
3923
Logic/68030_TK.tcl
3923
Logic/68030_TK.tcl
File diff suppressed because it is too large
Load Diff
1297
Logic/68030_tk.bl2
1297
Logic/68030_tk.bl2
File diff suppressed because it is too large
Load Diff
|
@ -1,36 +1,37 @@
|
|||
#$ TOOL ispLEVER Classic 1.7.00.05.28.13
|
||||
#$ DATE Thu May 15 23:02:46 2014
|
||||
#$ DATE Fri May 16 17:07:08 2014
|
||||
#$ MODULE 68030_tk
|
||||
#$ PINS 59 A_21_ A_20_ SIZE_1_ A_19_ A_18_ A_31_ A_17_ A_16_ IPL_030_2_ IPL_2_ DSACK_1_ \
|
||||
# FC_1_ AS_030 AS_000 DS_030 UDS_000 LDS_000 CPU_SPACE BERR BG_030 BG_000 A_0_ BGACK_030 \
|
||||
# IPL_030_1_ BGACK_000 IPL_030_0_ CLK_030 IPL_1_ CLK_000 IPL_0_ CLK_OSZI DSACK_0_ \
|
||||
# CLK_DIV_OUT FC_0_ CLK_EXP FPU_CS DTACK AVEC AVEC_EXP E VPA VMA RST RESET RW \
|
||||
# AMIGA_BUS_ENABLE AMIGA_BUS_DATA_DIR AMIGA_BUS_ENABLE_LOW CIIN SIZE_0_ A_30_ A_29_ \
|
||||
# A_28_ A_27_ A_26_ A_25_ A_24_ A_23_ A_22_
|
||||
#$ NODES 34 IPL_030DFFSH_1_reg IPL_030DFFSH_2_reg inst_BGACK_030_INTreg \
|
||||
# inst_FPU_CS_INTreg cpu_est_3_reg inst_VMA_INTreg cpu_est_0_ cpu_est_1_ \
|
||||
# inst_AS_000_INTreg inst_AS_030_000_SYNC inst_DTACK_SYNC inst_VPA_D inst_VPA_SYNC \
|
||||
# inst_CLK_000_D inst_CLK_000_DD inst_CLK_OUT_PRE cpu_est_2_ RESETDFFreg CLK_CNT_0_ \
|
||||
# SM_AMIGA_6_ SM_AMIGA_7_ inst_UDS_000_INTreg inst_LDS_000_INTreg SM_AMIGA_1_ \
|
||||
# DSACK_INT_1_ inst_DTACK_DMA SM_AMIGA_4_ SM_AMIGA_3_ SM_AMIGA_5_ SM_AMIGA_2_ \
|
||||
# SM_AMIGA_0_ BG_000DFFSHreg CLK_OUT_INTreg IPL_030DFFSH_0_reg
|
||||
#$ PINS 59 A_17_ A_16_ SIZE_1_ A_31_ IPL_030_2_ IPL_2_ DSACK_1_ FC_1_ AS_030 AS_000 \
|
||||
# DS_030 UDS_000 LDS_000 A_0_ nEXP_SPACE IPL_030_1_ BERR IPL_030_0_ BG_030 IPL_1_ BG_000 \
|
||||
# IPL_0_ BGACK_030 DSACK_0_ BGACK_000 FC_0_ CLK_030 CLK_000 CLK_OSZI CLK_DIV_OUT CLK_EXP \
|
||||
# FPU_CS DTACK AVEC AVEC_EXP E VPA VMA RST RESET RW AMIGA_BUS_ENABLE AMIGA_BUS_DATA_DIR \
|
||||
# AMIGA_BUS_ENABLE_LOW CIIN SIZE_0_ A_30_ A_29_ A_28_ A_27_ A_26_ A_25_ A_24_ A_23_ A_22_ \
|
||||
# A_21_ A_20_ A_19_ A_18_
|
||||
#$ NODES 34 inst_BGACK_030_INTreg inst_FPU_CS_INTreg cpu_est_3_reg \
|
||||
# inst_VMA_INTreg cpu_est_0_ cpu_est_1_ inst_AS_000_INTreg inst_AS_030_000_SYNC \
|
||||
# inst_DTACK_SYNC inst_VPA_D inst_VPA_SYNC inst_CLK_000_D0 inst_CLK_000_D1 \
|
||||
# inst_CLK_OUT_PRE RESETDFFreg cpu_est_2_ CLK_CNT_0_ SM_AMIGA_6_ SM_AMIGA_7_ \
|
||||
# inst_UDS_000_INTreg inst_LDS_000_INTreg DSACK_INT_1_ SM_AMIGA_1_ inst_DTACK_DMA \
|
||||
# SM_AMIGA_4_ SM_AMIGA_3_ SM_AMIGA_5_ SM_AMIGA_2_ SM_AMIGA_0_ BG_000DFFSHreg \
|
||||
# CLK_OUT_INTreg IPL_030DFFSH_0_reg IPL_030DFFSH_1_reg IPL_030DFFSH_2_reg
|
||||
.model bus68030
|
||||
.inputs SIZE_1_.BLIF A_31_.BLIF IPL_2_.BLIF FC_1_.BLIF AS_030.BLIF DS_030.BLIF \
|
||||
CPU_SPACE.BLIF BG_030.BLIF BGACK_000.BLIF CLK_030.BLIF CLK_000.BLIF \
|
||||
nEXP_SPACE.BLIF BG_030.BLIF BGACK_000.BLIF CLK_030.BLIF CLK_000.BLIF \
|
||||
CLK_OSZI.BLIF VPA.BLIF RST.BLIF RW.BLIF SIZE_0_.BLIF A_30_.BLIF A_29_.BLIF \
|
||||
A_28_.BLIF A_27_.BLIF A_26_.BLIF A_25_.BLIF A_24_.BLIF A_23_.BLIF A_22_.BLIF \
|
||||
A_21_.BLIF A_20_.BLIF A_19_.BLIF A_18_.BLIF A_17_.BLIF A_16_.BLIF A_0_.BLIF \
|
||||
IPL_1_.BLIF IPL_0_.BLIF FC_0_.BLIF IPL_030DFFSH_1_reg.BLIF \
|
||||
IPL_030DFFSH_2_reg.BLIF inst_BGACK_030_INTreg.BLIF inst_FPU_CS_INTreg.BLIF \
|
||||
cpu_est_3_reg.BLIF inst_VMA_INTreg.BLIF cpu_est_0_.BLIF cpu_est_1_.BLIF \
|
||||
inst_AS_000_INTreg.BLIF inst_AS_030_000_SYNC.BLIF inst_DTACK_SYNC.BLIF \
|
||||
inst_VPA_D.BLIF inst_VPA_SYNC.BLIF inst_CLK_000_D.BLIF inst_CLK_000_DD.BLIF \
|
||||
inst_CLK_OUT_PRE.BLIF cpu_est_2_.BLIF RESETDFFreg.BLIF CLK_CNT_0_.BLIF \
|
||||
IPL_1_.BLIF IPL_0_.BLIF FC_0_.BLIF inst_BGACK_030_INTreg.BLIF \
|
||||
inst_FPU_CS_INTreg.BLIF cpu_est_3_reg.BLIF inst_VMA_INTreg.BLIF \
|
||||
cpu_est_0_.BLIF cpu_est_1_.BLIF inst_AS_000_INTreg.BLIF \
|
||||
inst_AS_030_000_SYNC.BLIF inst_DTACK_SYNC.BLIF inst_VPA_D.BLIF \
|
||||
inst_VPA_SYNC.BLIF inst_CLK_000_D0.BLIF inst_CLK_000_D1.BLIF \
|
||||
inst_CLK_OUT_PRE.BLIF RESETDFFreg.BLIF cpu_est_2_.BLIF CLK_CNT_0_.BLIF \
|
||||
SM_AMIGA_6_.BLIF SM_AMIGA_7_.BLIF inst_UDS_000_INTreg.BLIF \
|
||||
inst_LDS_000_INTreg.BLIF SM_AMIGA_1_.BLIF DSACK_INT_1_.BLIF \
|
||||
inst_LDS_000_INTreg.BLIF DSACK_INT_1_.BLIF SM_AMIGA_1_.BLIF \
|
||||
inst_DTACK_DMA.BLIF SM_AMIGA_4_.BLIF SM_AMIGA_3_.BLIF SM_AMIGA_5_.BLIF \
|
||||
SM_AMIGA_2_.BLIF SM_AMIGA_0_.BLIF BG_000DFFSHreg.BLIF CLK_OUT_INTreg.BLIF \
|
||||
IPL_030DFFSH_0_reg.BLIF DSACK_1_.PIN.BLIF DTACK.PIN.BLIF
|
||||
IPL_030DFFSH_0_reg.BLIF IPL_030DFFSH_1_reg.BLIF IPL_030DFFSH_2_reg.BLIF \
|
||||
DSACK_1_.PIN.BLIF DTACK.PIN.BLIF
|
||||
.outputs IPL_030_2_ AS_000 UDS_000 LDS_000 BERR BG_000 BGACK_030 CLK_DIV_OUT \
|
||||
CLK_EXP FPU_CS AVEC AVEC_EXP E VMA RESET AMIGA_BUS_ENABLE AMIGA_BUS_DATA_DIR \
|
||||
AMIGA_BUS_ENABLE_LOW CIIN IPL_030_1_ IPL_030_0_ SM_AMIGA_3_.D SM_AMIGA_3_.C \
|
||||
|
@ -54,12 +55,12 @@ inst_VPA_SYNC.D inst_VPA_SYNC.C inst_VPA_SYNC.AP BG_000DFFSHreg.D \
|
|||
BG_000DFFSHreg.C BG_000DFFSHreg.AP inst_DTACK_DMA.D inst_DTACK_DMA.C \
|
||||
inst_DTACK_DMA.AP inst_UDS_000_INTreg.D inst_UDS_000_INTreg.C \
|
||||
inst_UDS_000_INTreg.AP CLK_CNT_0_.D CLK_CNT_0_.C inst_VPA_D.D inst_VPA_D.C \
|
||||
inst_CLK_000_D.D inst_CLK_000_D.C RESETDFFreg.D RESETDFFreg.C \
|
||||
inst_CLK_000_DD.D inst_CLK_000_DD.C CLK_OUT_INTreg.D CLK_OUT_INTreg.C DSACK_1_ \
|
||||
inst_CLK_000_D0.D inst_CLK_000_D0.C RESETDFFreg.D RESETDFFreg.C \
|
||||
inst_CLK_000_D1.D inst_CLK_000_D1.C CLK_OUT_INTreg.D CLK_OUT_INTreg.C DSACK_1_ \
|
||||
DTACK DSACK_0_ DSACK_1_.OE DTACK.OE AS_000.OE UDS_000.OE LDS_000.OE BERR.OE \
|
||||
DSACK_0_.OE AVEC_EXP.OE CIIN.OE cpu_est_3_reg.D.X1 cpu_est_3_reg.D.X2 \
|
||||
inst_VMA_INTreg.D.X1 inst_VMA_INTreg.D.X2
|
||||
.names inst_DTACK_SYNC.BLIF inst_VPA_SYNC.BLIF inst_CLK_000_D.BLIF \
|
||||
.names inst_DTACK_SYNC.BLIF inst_VPA_SYNC.BLIF inst_CLK_000_D0.BLIF \
|
||||
SM_AMIGA_4_.BLIF SM_AMIGA_3_.BLIF SM_AMIGA_3_.D
|
||||
--11- 1
|
||||
11--1 1
|
||||
|
@ -68,7 +69,7 @@ SM_AMIGA_4_.BLIF SM_AMIGA_3_.BLIF SM_AMIGA_3_.D
|
|||
0-0-- 0
|
||||
---00 0
|
||||
--0-0 0
|
||||
.names inst_DTACK_SYNC.BLIF inst_VPA_SYNC.BLIF inst_CLK_000_D.BLIF \
|
||||
.names inst_DTACK_SYNC.BLIF inst_VPA_SYNC.BLIF inst_CLK_000_D0.BLIF \
|
||||
SM_AMIGA_3_.BLIF SM_AMIGA_2_.BLIF SM_AMIGA_2_.D
|
||||
-001- 1
|
||||
0-01- 1
|
||||
|
@ -76,24 +77,27 @@ SM_AMIGA_3_.BLIF SM_AMIGA_2_.BLIF SM_AMIGA_2_.D
|
|||
11--0 0
|
||||
--1-- 0
|
||||
---00 0
|
||||
.names inst_CLK_000_D.BLIF inst_CLK_OUT_PRE.BLIF SM_AMIGA_1_.BLIF \
|
||||
SM_AMIGA_2_.BLIF SM_AMIGA_1_.D
|
||||
-010 1
|
||||
1-1- 1
|
||||
1--1 1
|
||||
01-- 0
|
||||
--00 0
|
||||
0--1 0
|
||||
.names inst_AS_000_INTreg.BLIF inst_CLK_000_D.BLIF inst_CLK_OUT_PRE.BLIF \
|
||||
SM_AMIGA_1_.BLIF SM_AMIGA_0_.BLIF SM_AMIGA_0_.D
|
||||
-011- 1
|
||||
0---1 1
|
||||
-0--1 1
|
||||
11--- 0
|
||||
.names inst_CLK_000_D0.BLIF inst_CLK_000_D1.BLIF inst_CLK_OUT_PRE.BLIF \
|
||||
SM_AMIGA_1_.BLIF SM_AMIGA_2_.BLIF SM_AMIGA_1_.D
|
||||
--01- 1
|
||||
-1-1- 1
|
||||
1---1 1
|
||||
001-- 0
|
||||
-01-0 0
|
||||
0--0- 0
|
||||
---00 0
|
||||
--0-0 0
|
||||
-1--0 0
|
||||
.names IPL_0_.BLIF inst_CLK_000_D.BLIF inst_CLK_000_DD.BLIF \
|
||||
.names inst_AS_000_INTreg.BLIF inst_CLK_000_D0.BLIF inst_CLK_000_D1.BLIF \
|
||||
inst_CLK_OUT_PRE.BLIF SM_AMIGA_1_.BLIF SM_AMIGA_0_.BLIF SM_AMIGA_0_.D
|
||||
--011- 1
|
||||
-0---1 1
|
||||
0----1 1
|
||||
11--0- 0
|
||||
11-0-- 0
|
||||
111--- 0
|
||||
----00 0
|
||||
---0-0 0
|
||||
--1--0 0
|
||||
.names IPL_0_.BLIF inst_CLK_000_D0.BLIF inst_CLK_000_D1.BLIF \
|
||||
IPL_030DFFSH_0_reg.BLIF IPL_030DFFSH_0_reg.D
|
||||
110- 1
|
||||
--11 1
|
||||
|
@ -101,30 +105,30 @@ IPL_030DFFSH_0_reg.BLIF IPL_030DFFSH_0_reg.D
|
|||
010- 0
|
||||
--10 0
|
||||
-0-0 0
|
||||
.names IPL_1_.BLIF IPL_030DFFSH_1_reg.BLIF inst_CLK_000_D.BLIF \
|
||||
inst_CLK_000_DD.BLIF IPL_030DFFSH_1_reg.D
|
||||
1-10 1
|
||||
-10- 1
|
||||
-1-1 1
|
||||
0-10 0
|
||||
-00- 0
|
||||
-0-1 0
|
||||
.names IPL_2_.BLIF IPL_030DFFSH_2_reg.BLIF inst_CLK_000_D.BLIF \
|
||||
inst_CLK_000_DD.BLIF IPL_030DFFSH_2_reg.D
|
||||
1-10 1
|
||||
-10- 1
|
||||
-1-1 1
|
||||
0-10 0
|
||||
-00- 0
|
||||
-0-1 0
|
||||
.names inst_AS_000_INTreg.BLIF inst_CLK_000_D.BLIF SM_AMIGA_7_.BLIF \
|
||||
.names IPL_1_.BLIF inst_CLK_000_D0.BLIF inst_CLK_000_D1.BLIF \
|
||||
IPL_030DFFSH_1_reg.BLIF IPL_030DFFSH_1_reg.D
|
||||
110- 1
|
||||
--11 1
|
||||
-0-1 1
|
||||
010- 0
|
||||
--10 0
|
||||
-0-0 0
|
||||
.names IPL_2_.BLIF inst_CLK_000_D0.BLIF inst_CLK_000_D1.BLIF \
|
||||
IPL_030DFFSH_2_reg.BLIF IPL_030DFFSH_2_reg.D
|
||||
110- 1
|
||||
--11 1
|
||||
-0-1 1
|
||||
010- 0
|
||||
--10 0
|
||||
-0-0 0
|
||||
.names inst_AS_000_INTreg.BLIF inst_CLK_000_D0.BLIF SM_AMIGA_7_.BLIF \
|
||||
SM_AMIGA_0_.BLIF SM_AMIGA_7_.D
|
||||
-11- 1
|
||||
11-1 1
|
||||
0-0- 0
|
||||
--00 0
|
||||
-0-- 0
|
||||
.names inst_AS_030_000_SYNC.BLIF inst_CLK_000_D.BLIF inst_CLK_000_DD.BLIF \
|
||||
.names inst_AS_030_000_SYNC.BLIF inst_CLK_000_D0.BLIF inst_CLK_000_D1.BLIF \
|
||||
SM_AMIGA_6_.BLIF SM_AMIGA_7_.BLIF SM_AMIGA_6_.D
|
||||
--11- 1
|
||||
-0-1- 1
|
||||
|
@ -133,7 +137,7 @@ SM_AMIGA_6_.BLIF SM_AMIGA_7_.BLIF SM_AMIGA_6_.D
|
|||
010-- 0
|
||||
-1-0- 0
|
||||
---00 0
|
||||
.names inst_AS_030_000_SYNC.BLIF inst_CLK_000_D.BLIF inst_CLK_000_DD.BLIF \
|
||||
.names inst_AS_030_000_SYNC.BLIF inst_CLK_000_D0.BLIF inst_CLK_000_D1.BLIF \
|
||||
SM_AMIGA_6_.BLIF SM_AMIGA_5_.BLIF SM_AMIGA_5_.D
|
||||
0101- 1
|
||||
-1--1 1
|
||||
|
@ -141,30 +145,30 @@ SM_AMIGA_6_.BLIF SM_AMIGA_5_.BLIF SM_AMIGA_5_.D
|
|||
---00 0
|
||||
--1-0 0
|
||||
1---0 0
|
||||
.names inst_CLK_000_D.BLIF SM_AMIGA_4_.BLIF SM_AMIGA_5_.BLIF SM_AMIGA_4_.D
|
||||
.names inst_CLK_000_D0.BLIF SM_AMIGA_4_.BLIF SM_AMIGA_5_.BLIF SM_AMIGA_4_.D
|
||||
01- 1
|
||||
0-1 1
|
||||
-00 0
|
||||
1-- 0
|
||||
.names AS_030.BLIF inst_CLK_000_D.BLIF inst_CLK_OUT_PRE.BLIF SM_AMIGA_1_.BLIF \
|
||||
DSACK_INT_1_.BLIF DSACK_INT_1_.D
|
||||
1--0- 1
|
||||
.names AS_030.BLIF inst_CLK_000_D1.BLIF inst_CLK_OUT_PRE.BLIF \
|
||||
DSACK_INT_1_.BLIF SM_AMIGA_1_.BLIF DSACK_INT_1_.D
|
||||
--01- 1
|
||||
-1-1- 1
|
||||
---10 1
|
||||
1-0-- 1
|
||||
11--- 1
|
||||
---01 1
|
||||
--0-1 1
|
||||
-1--1 1
|
||||
-011- 0
|
||||
0---0 0
|
||||
.names BGACK_000.BLIF inst_BGACK_030_INTreg.BLIF inst_CLK_000_D.BLIF \
|
||||
inst_CLK_000_DD.BLIF inst_BGACK_030_INTreg.D
|
||||
1---0 1
|
||||
-01-1 0
|
||||
0--0- 0
|
||||
.names BGACK_000.BLIF inst_BGACK_030_INTreg.BLIF inst_CLK_000_D0.BLIF \
|
||||
inst_CLK_000_D1.BLIF inst_BGACK_030_INTreg.D
|
||||
1-10 1
|
||||
11-- 1
|
||||
-00- 0
|
||||
0--- 0
|
||||
-0-1 0
|
||||
.names cpu_est_3_reg.BLIF cpu_est_0_.BLIF cpu_est_1_.BLIF inst_CLK_000_D.BLIF \
|
||||
inst_CLK_000_DD.BLIF cpu_est_2_.BLIF cpu_est_1_.D
|
||||
.names cpu_est_3_reg.BLIF cpu_est_0_.BLIF cpu_est_1_.BLIF inst_CLK_000_D0.BLIF \
|
||||
inst_CLK_000_D1.BLIF cpu_est_2_.BLIF cpu_est_1_.D
|
||||
0--100 1
|
||||
01010- 1
|
||||
10-10- 1
|
||||
|
@ -178,8 +182,8 @@ inst_CLK_000_DD.BLIF cpu_est_2_.BLIF cpu_est_1_.D
|
|||
110--- 0
|
||||
--0-1- 0
|
||||
--00-- 0
|
||||
.names cpu_est_3_reg.BLIF cpu_est_0_.BLIF cpu_est_1_.BLIF inst_CLK_000_D.BLIF \
|
||||
inst_CLK_000_DD.BLIF cpu_est_2_.BLIF cpu_est_2_.D
|
||||
.names cpu_est_3_reg.BLIF cpu_est_0_.BLIF cpu_est_1_.BLIF inst_CLK_000_D0.BLIF \
|
||||
inst_CLK_000_D1.BLIF cpu_est_2_.BLIF cpu_est_2_.D
|
||||
-0010- 1
|
||||
11-10- 1
|
||||
--1--1 1
|
||||
|
@ -191,7 +195,7 @@ inst_CLK_000_DD.BLIF cpu_est_2_.BLIF cpu_est_2_.D
|
|||
----10 0
|
||||
---0-0 0
|
||||
.names SIZE_1_.BLIF AS_030.BLIF DS_030.BLIF RW.BLIF SIZE_0_.BLIF A_0_.BLIF \
|
||||
inst_AS_030_000_SYNC.BLIF inst_CLK_000_D.BLIF inst_CLK_000_DD.BLIF \
|
||||
inst_AS_030_000_SYNC.BLIF inst_CLK_000_D0.BLIF inst_CLK_000_D1.BLIF \
|
||||
SM_AMIGA_6_.BLIF inst_LDS_000_INTreg.BLIF SM_AMIGA_4_.BLIF \
|
||||
inst_LDS_000_INTreg.D
|
||||
0-01100101-- 1
|
||||
|
@ -220,7 +224,7 @@ inst_LDS_000_INTreg.D
|
|||
-0-----0--0- 0
|
||||
-01-------0- 0
|
||||
-0-0------00 0
|
||||
.names AS_030.BLIF inst_DTACK_SYNC.BLIF inst_VPA_D.BLIF inst_CLK_000_D.BLIF \
|
||||
.names AS_030.BLIF inst_DTACK_SYNC.BLIF inst_VPA_D.BLIF inst_CLK_000_D0.BLIF \
|
||||
SM_AMIGA_3_.BLIF DTACK.PIN.BLIF inst_DTACK_SYNC.D
|
||||
-1--0- 1
|
||||
-1-0-- 1
|
||||
|
@ -246,23 +250,24 @@ inst_FPU_CS_INTreg.D
|
|||
-1-------- 1
|
||||
101100101- 0
|
||||
-0-0-----0 0
|
||||
.names FC_1_.BLIF AS_030.BLIF CPU_SPACE.BLIF BGACK_000.BLIF CLK_030.BLIF \
|
||||
.names FC_1_.BLIF AS_030.BLIF nEXP_SPACE.BLIF BGACK_000.BLIF CLK_030.BLIF \
|
||||
A_19_.BLIF A_18_.BLIF A_17_.BLIF A_16_.BLIF FC_0_.BLIF \
|
||||
inst_AS_030_000_SYNC.BLIF inst_AS_030_000_SYNC.D
|
||||
1--1100101- 1
|
||||
----0-----1 1
|
||||
--1-1------ 1
|
||||
--0-1------ 1
|
||||
-1--------- 1
|
||||
-00-1----0- 0
|
||||
-00-1---1-- 0
|
||||
-00-1--0--- 0
|
||||
-00-1-1---- 0
|
||||
-00-11----- 0
|
||||
-0001------ 0
|
||||
000-1------ 0
|
||||
-01-1----0- 0
|
||||
-01-1---1-- 0
|
||||
-01-1--0--- 0
|
||||
-01-1-1---- 0
|
||||
-01-11----- 0
|
||||
-0101------ 0
|
||||
001-1------ 0
|
||||
-0--0-----0 0
|
||||
.names AS_030.BLIF inst_AS_000_INTreg.BLIF inst_AS_030_000_SYNC.BLIF \
|
||||
inst_CLK_000_D.BLIF inst_CLK_000_DD.BLIF SM_AMIGA_6_.BLIF inst_AS_000_INTreg.D
|
||||
inst_CLK_000_D0.BLIF inst_CLK_000_D1.BLIF SM_AMIGA_6_.BLIF \
|
||||
inst_AS_000_INTreg.D
|
||||
-1--1- 1
|
||||
-1-0-- 1
|
||||
-11--- 1
|
||||
|
@ -274,7 +279,7 @@ inst_CLK_000_D.BLIF inst_CLK_000_DD.BLIF SM_AMIGA_6_.BLIF inst_AS_000_INTreg.D
|
|||
--0101 0
|
||||
00---- 0
|
||||
.names AS_030.BLIF cpu_est_3_reg.BLIF inst_VMA_INTreg.BLIF cpu_est_0_.BLIF \
|
||||
cpu_est_1_.BLIF inst_VPA_D.BLIF inst_VPA_SYNC.BLIF inst_CLK_000_D.BLIF \
|
||||
cpu_est_1_.BLIF inst_VPA_D.BLIF inst_VPA_SYNC.BLIF inst_CLK_000_D0.BLIF \
|
||||
cpu_est_2_.BLIF SM_AMIGA_3_.BLIF inst_VPA_SYNC.D
|
||||
------1-0- 1
|
||||
------10-- 1
|
||||
|
@ -294,7 +299,7 @@ cpu_est_2_.BLIF SM_AMIGA_3_.BLIF inst_VPA_SYNC.D
|
|||
1--------0 1
|
||||
-10000-111 0
|
||||
0-----0--- 0
|
||||
.names AS_030.BLIF CPU_SPACE.BLIF BG_030.BLIF CLK_030.BLIF SM_AMIGA_6_.BLIF \
|
||||
.names AS_030.BLIF nEXP_SPACE.BLIF BG_030.BLIF CLK_030.BLIF SM_AMIGA_6_.BLIF \
|
||||
SM_AMIGA_7_.BLIF BG_000DFFSHreg.BLIF BG_000DFFSHreg.D
|
||||
---000- 1
|
||||
---1--1 1
|
||||
|
@ -309,7 +314,7 @@ SM_AMIGA_7_.BLIF BG_000DFFSHreg.BLIF BG_000DFFSHreg.D
|
|||
-1 1
|
||||
00 0
|
||||
.names AS_030.BLIF DS_030.BLIF RW.BLIF A_0_.BLIF inst_AS_030_000_SYNC.BLIF \
|
||||
inst_CLK_000_D.BLIF inst_CLK_000_DD.BLIF SM_AMIGA_6_.BLIF \
|
||||
inst_CLK_000_D0.BLIF inst_CLK_000_D1.BLIF SM_AMIGA_6_.BLIF \
|
||||
inst_UDS_000_INTreg.BLIF SM_AMIGA_4_.BLIF inst_UDS_000_INTreg.D
|
||||
-0110101-- 1
|
||||
-001-1---1 1
|
||||
|
@ -378,8 +383,9 @@ inst_UDS_000_INTreg.BLIF SM_AMIGA_4_.BLIF inst_UDS_000_INTreg.D
|
|||
.names RESETDFFreg.BLIF RESET
|
||||
1 1
|
||||
0 0
|
||||
.names AMIGA_BUS_ENABLE
|
||||
0
|
||||
.names nEXP_SPACE.BLIF AMIGA_BUS_ENABLE
|
||||
0 1
|
||||
1 0
|
||||
.names RW.BLIF AMIGA_BUS_DATA_DIR
|
||||
0 1
|
||||
1 0
|
||||
|
@ -489,7 +495,7 @@ inst_UDS_000_INTreg.BLIF SM_AMIGA_4_.BLIF inst_UDS_000_INTreg.D
|
|||
.names CLK_OSZI.BLIF inst_CLK_OUT_PRE.C
|
||||
1 1
|
||||
0 0
|
||||
.names cpu_est_0_.BLIF inst_CLK_000_D.BLIF inst_CLK_000_DD.BLIF cpu_est_0_.D
|
||||
.names cpu_est_0_.BLIF inst_CLK_000_D0.BLIF inst_CLK_000_D1.BLIF cpu_est_0_.D
|
||||
010 1
|
||||
10- 1
|
||||
1-1 1
|
||||
|
@ -571,10 +577,10 @@ inst_UDS_000_INTreg.BLIF SM_AMIGA_4_.BLIF inst_UDS_000_INTreg.D
|
|||
.names CLK_OSZI.BLIF inst_VPA_D.C
|
||||
1 1
|
||||
0 0
|
||||
.names CLK_000.BLIF inst_CLK_000_D.D
|
||||
.names CLK_000.BLIF inst_CLK_000_D0.D
|
||||
1 1
|
||||
0 0
|
||||
.names CLK_OSZI.BLIF inst_CLK_000_D.C
|
||||
.names CLK_OSZI.BLIF inst_CLK_000_D0.C
|
||||
1 1
|
||||
0 0
|
||||
.names RST.BLIF RESETDFFreg.D
|
||||
|
@ -583,10 +589,10 @@ inst_UDS_000_INTreg.BLIF SM_AMIGA_4_.BLIF inst_UDS_000_INTreg.D
|
|||
.names CLK_OSZI.BLIF RESETDFFreg.C
|
||||
1 1
|
||||
0 0
|
||||
.names inst_CLK_000_D.BLIF inst_CLK_000_DD.D
|
||||
.names inst_CLK_000_D0.BLIF inst_CLK_000_D1.D
|
||||
1 1
|
||||
0 0
|
||||
.names CLK_OSZI.BLIF inst_CLK_000_DD.C
|
||||
.names CLK_OSZI.BLIF inst_CLK_000_D1.C
|
||||
1 1
|
||||
0 0
|
||||
.names inst_CLK_OUT_PRE.BLIF CLK_OUT_INTreg.D
|
||||
|
@ -603,9 +609,9 @@ inst_UDS_000_INTreg.BLIF SM_AMIGA_4_.BLIF inst_UDS_000_INTreg.D
|
|||
0 0
|
||||
.names DSACK_0_
|
||||
1
|
||||
.names CPU_SPACE.BLIF DSACK_1_.OE
|
||||
0 1
|
||||
1 0
|
||||
.names nEXP_SPACE.BLIF DSACK_1_.OE
|
||||
1 1
|
||||
0 0
|
||||
.names inst_BGACK_030_INTreg.BLIF DTACK.OE
|
||||
0 1
|
||||
1 0
|
||||
|
@ -621,9 +627,9 @@ inst_UDS_000_INTreg.BLIF SM_AMIGA_4_.BLIF inst_UDS_000_INTreg.D
|
|||
.names inst_FPU_CS_INTreg.BLIF BERR.OE
|
||||
0 1
|
||||
1 0
|
||||
.names CPU_SPACE.BLIF DSACK_0_.OE
|
||||
0 1
|
||||
1 0
|
||||
.names nEXP_SPACE.BLIF DSACK_0_.OE
|
||||
1 1
|
||||
0 0
|
||||
.names inst_FPU_CS_INTreg.BLIF AVEC_EXP.OE
|
||||
0 1
|
||||
1 0
|
||||
|
@ -642,8 +648,8 @@ A_25_.BLIF A_24_.BLIF CIIN.OE
|
|||
11 1
|
||||
0- 0
|
||||
-0 0
|
||||
.names cpu_est_3_reg.BLIF cpu_est_0_.BLIF cpu_est_1_.BLIF inst_CLK_000_D.BLIF \
|
||||
inst_CLK_000_DD.BLIF cpu_est_2_.BLIF cpu_est_3_reg.D.X2
|
||||
.names cpu_est_3_reg.BLIF cpu_est_0_.BLIF cpu_est_1_.BLIF inst_CLK_000_D0.BLIF \
|
||||
inst_CLK_000_D1.BLIF cpu_est_2_.BLIF cpu_est_3_reg.D.X2
|
||||
10---- 1
|
||||
-00100 1
|
||||
011100 1
|
||||
|
@ -661,7 +667,7 @@ inst_CLK_000_DD.BLIF cpu_est_2_.BLIF cpu_est_3_reg.D.X2
|
|||
1- 0
|
||||
-0 0
|
||||
.names cpu_est_3_reg.BLIF inst_VMA_INTreg.BLIF cpu_est_0_.BLIF cpu_est_1_.BLIF \
|
||||
inst_AS_000_INTreg.BLIF inst_VPA_D.BLIF inst_CLK_000_D.BLIF cpu_est_2_.BLIF \
|
||||
inst_AS_000_INTreg.BLIF inst_VPA_D.BLIF inst_CLK_000_D0.BLIF cpu_est_2_.BLIF \
|
||||
inst_VMA_INTreg.D.X2
|
||||
00011-11 1
|
||||
-110-001 1
|
||||
|
|
|
@ -1,7 +1,7 @@
|
|||
// Signal Name Cross Reference File
|
||||
// ispLEVER Classic 1.7.00.05.28.13
|
||||
|
||||
// Design '68030_tk' created Thu May 15 23:02:46 2014
|
||||
// Design '68030_tk' created Fri May 16 17:07:08 2014
|
||||
|
||||
|
||||
// LEGEND: '>' Functional Block Port Separator
|
||||
|
|
|
@ -2,7 +2,7 @@
|
|||
Copyright(C), 1992-2013, Lattice Semiconductor Corp.
|
||||
All Rights Reserved.
|
||||
|
||||
Design bus68030 created Thu May 15 23:02:46 2014
|
||||
Design bus68030 created Fri May 16 17:07:08 2014
|
||||
|
||||
|
||||
P-Terms Fan-in Fan-out Type Name (attributes)
|
||||
|
@ -16,7 +16,7 @@ Design bus68030 created Thu May 15 23:02:46 2014
|
|||
1 0 1 Pin AVEC
|
||||
0 0 1 Pin AVEC_EXP
|
||||
1 1 1 Pin AVEC_EXP.OE
|
||||
0 0 1 Pin AMIGA_BUS_ENABLE
|
||||
1 1 1 Pin AMIGA_BUS_ENABLE
|
||||
1 1 1 Pin AMIGA_BUS_DATA_DIR
|
||||
1 0 1 Pin AMIGA_BUS_ENABLE_LOW
|
||||
1 4 1 Pin CIIN
|
||||
|
@ -40,18 +40,18 @@ Design bus68030 created Thu May 15 23:02:46 2014
|
|||
12 12 1 Pin LDS_000.D-
|
||||
1 1 1 Pin LDS_000.AP
|
||||
1 1 1 Pin LDS_000.C
|
||||
3 7 1 Pin BG_000.D-
|
||||
1 1 1 Pin BG_000.AP
|
||||
1 1 1 Pin BG_000.C
|
||||
2 4 1 Pin BGACK_030.D
|
||||
1 1 1 Pin BGACK_030.AP
|
||||
1 1 1 Pin BGACK_030.C
|
||||
3 4 1 Pin IPL_030_1_.D
|
||||
1 1 1 Pin IPL_030_1_.AP
|
||||
1 1 1 Pin IPL_030_1_.C
|
||||
3 4 1 Pin IPL_030_0_.D
|
||||
1 1 1 Pin IPL_030_0_.AP
|
||||
1 1 1 Pin IPL_030_0_.C
|
||||
3 7 1 Pin BG_000.D-
|
||||
1 1 1 Pin BG_000.AP
|
||||
1 1 1 Pin BG_000.C
|
||||
2 4 1 Pin BGACK_030.D
|
||||
1 1 1 Pin BGACK_030.AP
|
||||
1 1 1 Pin BGACK_030.C
|
||||
1 1 1 Pin CLK_EXP.D
|
||||
1 1 1 Pin CLK_EXP.C
|
||||
2 10 1 Pin FPU_CS.D-
|
||||
|
@ -83,10 +83,10 @@ Design bus68030 created Thu May 15 23:02:46 2014
|
|||
2 10 1 Node inst_VPA_SYNC.D-
|
||||
1 1 1 Node inst_VPA_SYNC.AP
|
||||
1 1 1 Node inst_VPA_SYNC.C
|
||||
1 1 1 Node inst_CLK_000_D.D
|
||||
1 1 1 Node inst_CLK_000_D.C
|
||||
1 1 1 Node inst_CLK_000_DD.D
|
||||
1 1 1 Node inst_CLK_000_DD.C
|
||||
1 1 1 Node inst_CLK_000_D0.D
|
||||
1 1 1 Node inst_CLK_000_D0.C
|
||||
1 1 1 Node inst_CLK_000_D1.D
|
||||
1 1 1 Node inst_CLK_000_D1.C
|
||||
2 2 1 Node inst_CLK_OUT_PRE.D
|
||||
1 1 1 Node inst_CLK_OUT_PRE.C
|
||||
3 6 1 NodeX1 cpu_est_2_.D.X1
|
||||
|
@ -101,7 +101,7 @@ Design bus68030 created Thu May 15 23:02:46 2014
|
|||
1 1 1 Node SM_AMIGA_7_.AP
|
||||
1 1 1 Node SM_AMIGA_7_.C
|
||||
1 1 1 Node SM_AMIGA_1_.AR
|
||||
3 4 1 Node SM_AMIGA_1_.D
|
||||
3 5 1 Node SM_AMIGA_1_.D
|
||||
1 1 1 Node SM_AMIGA_1_.C
|
||||
1 1 1 Node SM_AMIGA_4_.AR
|
||||
2 3 1 Node SM_AMIGA_4_.D
|
||||
|
@ -116,10 +116,10 @@ Design bus68030 created Thu May 15 23:02:46 2014
|
|||
3 5 1 Node SM_AMIGA_2_.D
|
||||
1 1 1 Node SM_AMIGA_2_.C
|
||||
1 1 1 Node SM_AMIGA_0_.AR
|
||||
3 5 1 Node SM_AMIGA_0_.D
|
||||
3 6 1 Node SM_AMIGA_0_.D
|
||||
1 1 1 Node SM_AMIGA_0_.C
|
||||
=========
|
||||
167 P-Term Total: 167
|
||||
168 P-Term Total: 168
|
||||
Total Pins: 59
|
||||
Total Nodes: 19
|
||||
Average P-Term/Output: 2
|
||||
|
@ -133,7 +133,7 @@ BERR.OE = (!FPU_CS.Q);
|
|||
|
||||
DSACK_0_ = (1);
|
||||
|
||||
DSACK_0_.OE = (!CPU_SPACE);
|
||||
DSACK_0_.OE = (nEXP_SPACE);
|
||||
|
||||
CLK_DIV_OUT.D = (inst_CLK_OUT_PRE.Q);
|
||||
|
||||
|
@ -145,7 +145,7 @@ AVEC_EXP = (0);
|
|||
|
||||
AVEC_EXP.OE = (!FPU_CS.Q);
|
||||
|
||||
AMIGA_BUS_ENABLE = (0);
|
||||
AMIGA_BUS_ENABLE = (!nEXP_SPACE);
|
||||
|
||||
AMIGA_BUS_DATA_DIR = (!RW);
|
||||
|
||||
|
@ -155,18 +155,18 @@ CIIN = (A_23_ & A_22_ & A_21_ & A_20_);
|
|||
|
||||
CIIN.OE = (!A_31_ & !A_30_ & !A_29_ & !A_28_ & !A_27_ & !A_26_ & !A_25_ & !A_24_);
|
||||
|
||||
IPL_030_2_.D = (IPL_030_2_.Q & !inst_CLK_000_D.Q
|
||||
# IPL_030_2_.Q & inst_CLK_000_DD.Q
|
||||
# IPL_2_ & inst_CLK_000_D.Q & !inst_CLK_000_DD.Q);
|
||||
IPL_030_2_.D = (!inst_CLK_000_D0.Q & IPL_030_2_.Q
|
||||
# inst_CLK_000_D1.Q & IPL_030_2_.Q
|
||||
# IPL_2_ & inst_CLK_000_D0.Q & !inst_CLK_000_D1.Q);
|
||||
|
||||
IPL_030_2_.AP = (!RST);
|
||||
|
||||
IPL_030_2_.C = (CLK_OSZI);
|
||||
|
||||
DSACK_1_.OE = (!CPU_SPACE);
|
||||
DSACK_1_.OE = (nEXP_SPACE);
|
||||
|
||||
!DSACK_1_.D = (!AS_030 & !DSACK_1_.Q
|
||||
# !inst_CLK_000_D.Q & inst_CLK_OUT_PRE.Q & SM_AMIGA_1_.Q);
|
||||
# !inst_CLK_000_D1.Q & inst_CLK_OUT_PRE.Q & SM_AMIGA_1_.Q);
|
||||
|
||||
DSACK_1_.AP = (!RST);
|
||||
|
||||
|
@ -175,7 +175,7 @@ DSACK_1_.C = (CLK_OSZI);
|
|||
AS_000.OE = (BGACK_030.Q);
|
||||
|
||||
!AS_000.D = (!AS_030 & !AS_000.Q
|
||||
# !inst_AS_030_000_SYNC.Q & inst_CLK_000_D.Q & !inst_CLK_000_DD.Q & SM_AMIGA_6_.Q);
|
||||
# !inst_AS_030_000_SYNC.Q & inst_CLK_000_D0.Q & !inst_CLK_000_D1.Q & SM_AMIGA_6_.Q);
|
||||
|
||||
AS_000.AP = (!RST);
|
||||
|
||||
|
@ -184,13 +184,13 @@ AS_000.C = (CLK_OSZI);
|
|||
UDS_000.OE = (BGACK_030.Q);
|
||||
|
||||
!UDS_000.D = (!AS_030 & DS_030 & !UDS_000.Q
|
||||
# !AS_030 & !inst_CLK_000_D.Q & !UDS_000.Q
|
||||
# !AS_030 & !inst_CLK_000_D0.Q & !UDS_000.Q
|
||||
# !AS_030 & RW & inst_AS_030_000_SYNC.Q & !UDS_000.Q
|
||||
# !AS_030 & RW & inst_CLK_000_DD.Q & !UDS_000.Q
|
||||
# !AS_030 & RW & inst_CLK_000_D1.Q & !UDS_000.Q
|
||||
# !AS_030 & RW & !SM_AMIGA_6_.Q & !UDS_000.Q
|
||||
# !AS_030 & !RW & !UDS_000.Q & !SM_AMIGA_4_.Q
|
||||
# !DS_030 & !RW & !A_0_ & inst_CLK_000_D.Q & SM_AMIGA_4_.Q
|
||||
# !DS_030 & RW & !A_0_ & !inst_AS_030_000_SYNC.Q & inst_CLK_000_D.Q & !inst_CLK_000_DD.Q & SM_AMIGA_6_.Q);
|
||||
# !DS_030 & !RW & !A_0_ & inst_CLK_000_D0.Q & SM_AMIGA_4_.Q
|
||||
# !DS_030 & RW & !A_0_ & !inst_AS_030_000_SYNC.Q & inst_CLK_000_D0.Q & !inst_CLK_000_D1.Q & SM_AMIGA_6_.Q);
|
||||
|
||||
UDS_000.AP = (!RST);
|
||||
|
||||
|
@ -199,53 +199,53 @@ UDS_000.C = (CLK_OSZI);
|
|||
LDS_000.OE = (BGACK_030.Q);
|
||||
|
||||
!LDS_000.D = (!AS_030 & DS_030 & !LDS_000.Q
|
||||
# !AS_030 & !inst_CLK_000_D.Q & !LDS_000.Q
|
||||
# !AS_030 & !inst_CLK_000_D0.Q & !LDS_000.Q
|
||||
# !AS_030 & RW & inst_AS_030_000_SYNC.Q & !LDS_000.Q
|
||||
# !AS_030 & RW & inst_CLK_000_DD.Q & !LDS_000.Q
|
||||
# !AS_030 & RW & inst_CLK_000_D1.Q & !LDS_000.Q
|
||||
# !AS_030 & RW & !SM_AMIGA_6_.Q & !LDS_000.Q
|
||||
# !AS_030 & !RW & !LDS_000.Q & !SM_AMIGA_4_.Q
|
||||
# SIZE_1_ & !DS_030 & !RW & inst_CLK_000_D.Q & SM_AMIGA_4_.Q
|
||||
# !DS_030 & !RW & !SIZE_0_ & inst_CLK_000_D.Q & SM_AMIGA_4_.Q
|
||||
# !DS_030 & !RW & A_0_ & inst_CLK_000_D.Q & SM_AMIGA_4_.Q
|
||||
# SIZE_1_ & !DS_030 & RW & !inst_AS_030_000_SYNC.Q & inst_CLK_000_D.Q & !inst_CLK_000_DD.Q & SM_AMIGA_6_.Q
|
||||
# !DS_030 & RW & !SIZE_0_ & !inst_AS_030_000_SYNC.Q & inst_CLK_000_D.Q & !inst_CLK_000_DD.Q & SM_AMIGA_6_.Q
|
||||
# !DS_030 & RW & A_0_ & !inst_AS_030_000_SYNC.Q & inst_CLK_000_D.Q & !inst_CLK_000_DD.Q & SM_AMIGA_6_.Q);
|
||||
# SIZE_1_ & !DS_030 & !RW & inst_CLK_000_D0.Q & SM_AMIGA_4_.Q
|
||||
# !DS_030 & !RW & !SIZE_0_ & inst_CLK_000_D0.Q & SM_AMIGA_4_.Q
|
||||
# !DS_030 & !RW & A_0_ & inst_CLK_000_D0.Q & SM_AMIGA_4_.Q
|
||||
# SIZE_1_ & !DS_030 & RW & !inst_AS_030_000_SYNC.Q & inst_CLK_000_D0.Q & !inst_CLK_000_D1.Q & SM_AMIGA_6_.Q
|
||||
# !DS_030 & RW & !SIZE_0_ & !inst_AS_030_000_SYNC.Q & inst_CLK_000_D0.Q & !inst_CLK_000_D1.Q & SM_AMIGA_6_.Q
|
||||
# !DS_030 & RW & A_0_ & !inst_AS_030_000_SYNC.Q & inst_CLK_000_D0.Q & !inst_CLK_000_D1.Q & SM_AMIGA_6_.Q);
|
||||
|
||||
LDS_000.AP = (!RST);
|
||||
|
||||
LDS_000.C = (CLK_OSZI);
|
||||
|
||||
IPL_030_1_.D = (!inst_CLK_000_D0.Q & IPL_030_1_.Q
|
||||
# inst_CLK_000_D1.Q & IPL_030_1_.Q
|
||||
# IPL_1_ & inst_CLK_000_D0.Q & !inst_CLK_000_D1.Q);
|
||||
|
||||
IPL_030_1_.AP = (!RST);
|
||||
|
||||
IPL_030_1_.C = (CLK_OSZI);
|
||||
|
||||
IPL_030_0_.D = (!inst_CLK_000_D0.Q & IPL_030_0_.Q
|
||||
# inst_CLK_000_D1.Q & IPL_030_0_.Q
|
||||
# IPL_0_ & inst_CLK_000_D0.Q & !inst_CLK_000_D1.Q);
|
||||
|
||||
IPL_030_0_.AP = (!RST);
|
||||
|
||||
IPL_030_0_.C = (CLK_OSZI);
|
||||
|
||||
!BG_000.D = (!BG_030 & CLK_030 & !BG_000.Q
|
||||
# AS_030 & !CPU_SPACE & !BG_030 & !CLK_030 & SM_AMIGA_6_.Q
|
||||
# AS_030 & !CPU_SPACE & !BG_030 & !CLK_030 & SM_AMIGA_7_.Q);
|
||||
# AS_030 & !nEXP_SPACE & !BG_030 & !CLK_030 & SM_AMIGA_6_.Q
|
||||
# AS_030 & !nEXP_SPACE & !BG_030 & !CLK_030 & SM_AMIGA_7_.Q);
|
||||
|
||||
BG_000.AP = (!RST);
|
||||
|
||||
BG_000.C = (CLK_OSZI);
|
||||
|
||||
BGACK_030.D = (BGACK_000 & BGACK_030.Q
|
||||
# BGACK_000 & inst_CLK_000_D.Q & !inst_CLK_000_DD.Q);
|
||||
# BGACK_000 & inst_CLK_000_D0.Q & !inst_CLK_000_D1.Q);
|
||||
|
||||
BGACK_030.AP = (!RST);
|
||||
|
||||
BGACK_030.C = (CLK_OSZI);
|
||||
|
||||
IPL_030_1_.D = (IPL_030_1_.Q & !inst_CLK_000_D.Q
|
||||
# IPL_030_1_.Q & inst_CLK_000_DD.Q
|
||||
# IPL_1_ & inst_CLK_000_D.Q & !inst_CLK_000_DD.Q);
|
||||
|
||||
IPL_030_1_.AP = (!RST);
|
||||
|
||||
IPL_030_1_.C = (CLK_OSZI);
|
||||
|
||||
IPL_030_0_.D = (!inst_CLK_000_D.Q & IPL_030_0_.Q
|
||||
# inst_CLK_000_DD.Q & IPL_030_0_.Q
|
||||
# IPL_0_ & inst_CLK_000_D.Q & !inst_CLK_000_DD.Q);
|
||||
|
||||
IPL_030_0_.AP = (!RST);
|
||||
|
||||
IPL_030_0_.C = (CLK_OSZI);
|
||||
|
||||
CLK_EXP.D = (inst_CLK_OUT_PRE.Q);
|
||||
|
||||
CLK_EXP.C = (CLK_OSZI);
|
||||
|
@ -265,16 +265,16 @@ DTACK.AP = (!RST);
|
|||
|
||||
DTACK.C = (CLK_OSZI);
|
||||
|
||||
E.T = (E.Q & cpu_est_0_.Q & cpu_est_1_.Q & inst_CLK_000_D.Q & !inst_CLK_000_DD.Q & cpu_est_2_.Q
|
||||
# !E.Q & cpu_est_0_.Q & cpu_est_1_.Q & inst_CLK_000_D.Q & !inst_CLK_000_DD.Q & !cpu_est_2_.Q
|
||||
# !E.Q & !cpu_est_0_.Q & !cpu_est_1_.Q & inst_CLK_000_D.Q & !inst_CLK_000_DD.Q & !cpu_est_2_.Q);
|
||||
E.T = (E.Q & cpu_est_0_.Q & cpu_est_1_.Q & inst_CLK_000_D0.Q & !inst_CLK_000_D1.Q & cpu_est_2_.Q
|
||||
# !E.Q & cpu_est_0_.Q & cpu_est_1_.Q & inst_CLK_000_D0.Q & !inst_CLK_000_D1.Q & !cpu_est_2_.Q
|
||||
# !E.Q & !cpu_est_0_.Q & !cpu_est_1_.Q & inst_CLK_000_D0.Q & !inst_CLK_000_D1.Q & !cpu_est_2_.Q);
|
||||
|
||||
E.C = (CLK_OSZI);
|
||||
|
||||
VMA.AP = (!RST);
|
||||
|
||||
VMA.T = (!E.Q & !VMA.Q & !cpu_est_0_.Q & cpu_est_1_.Q & AS_000.Q & inst_CLK_000_D.Q & cpu_est_2_.Q
|
||||
# !E.Q & VMA.Q & cpu_est_0_.Q & !cpu_est_1_.Q & !inst_VPA_D.Q & !inst_CLK_000_D.Q & cpu_est_2_.Q);
|
||||
VMA.T = (!E.Q & !VMA.Q & !cpu_est_0_.Q & cpu_est_1_.Q & AS_000.Q & inst_CLK_000_D0.Q & cpu_est_2_.Q
|
||||
# !E.Q & VMA.Q & cpu_est_0_.Q & !cpu_est_1_.Q & !inst_VPA_D.Q & !inst_CLK_000_D0.Q & cpu_est_2_.Q);
|
||||
|
||||
VMA.C = (CLK_OSZI);
|
||||
|
||||
|
@ -282,21 +282,21 @@ RESET.D = (RST);
|
|||
|
||||
RESET.C = (CLK_OSZI);
|
||||
|
||||
cpu_est_0_.D = (cpu_est_0_.Q & !inst_CLK_000_D.Q
|
||||
# cpu_est_0_.Q & inst_CLK_000_DD.Q
|
||||
# !cpu_est_0_.Q & inst_CLK_000_D.Q & !inst_CLK_000_DD.Q);
|
||||
cpu_est_0_.D = (cpu_est_0_.Q & !inst_CLK_000_D0.Q
|
||||
# cpu_est_0_.Q & inst_CLK_000_D1.Q
|
||||
# !cpu_est_0_.Q & inst_CLK_000_D0.Q & !inst_CLK_000_D1.Q);
|
||||
|
||||
cpu_est_0_.C = (CLK_OSZI);
|
||||
|
||||
cpu_est_1_.T = (E.Q & !cpu_est_0_.Q & !cpu_est_1_.Q & inst_CLK_000_D.Q & !inst_CLK_000_DD.Q
|
||||
# !E.Q & cpu_est_0_.Q & inst_CLK_000_D.Q & !inst_CLK_000_DD.Q & cpu_est_2_.Q
|
||||
# !E.Q & !cpu_est_1_.Q & inst_CLK_000_D.Q & !inst_CLK_000_DD.Q & !cpu_est_2_.Q
|
||||
# E.Q & cpu_est_0_.Q & cpu_est_1_.Q & inst_CLK_000_D.Q & !inst_CLK_000_DD.Q & !cpu_est_2_.Q);
|
||||
cpu_est_1_.T = (E.Q & !cpu_est_0_.Q & !cpu_est_1_.Q & inst_CLK_000_D0.Q & !inst_CLK_000_D1.Q
|
||||
# !E.Q & cpu_est_0_.Q & inst_CLK_000_D0.Q & !inst_CLK_000_D1.Q & cpu_est_2_.Q
|
||||
# !E.Q & !cpu_est_1_.Q & inst_CLK_000_D0.Q & !inst_CLK_000_D1.Q & !cpu_est_2_.Q
|
||||
# E.Q & cpu_est_0_.Q & cpu_est_1_.Q & inst_CLK_000_D0.Q & !inst_CLK_000_D1.Q & !cpu_est_2_.Q);
|
||||
|
||||
cpu_est_1_.C = (CLK_OSZI);
|
||||
|
||||
inst_AS_030_000_SYNC.D = (AS_030
|
||||
# CPU_SPACE & CLK_030
|
||||
# !nEXP_SPACE & CLK_030
|
||||
# !CLK_030 & inst_AS_030_000_SYNC.Q
|
||||
# FC_1_ & BGACK_000 & CLK_030 & !A_19_ & !A_18_ & A_17_ & !A_16_ & FC_0_);
|
||||
|
||||
|
@ -305,7 +305,7 @@ inst_AS_030_000_SYNC.AP = (!RST);
|
|||
inst_AS_030_000_SYNC.C = (CLK_OSZI);
|
||||
|
||||
!inst_DTACK_SYNC.D = (!AS_030 & !inst_DTACK_SYNC.Q
|
||||
# inst_VPA_D.Q & inst_CLK_000_D.Q & SM_AMIGA_3_.Q & !DTACK.PIN);
|
||||
# inst_VPA_D.Q & inst_CLK_000_D0.Q & SM_AMIGA_3_.Q & !DTACK.PIN);
|
||||
|
||||
inst_DTACK_SYNC.AP = (!RST);
|
||||
|
||||
|
@ -316,28 +316,28 @@ inst_VPA_D.D = (VPA);
|
|||
inst_VPA_D.C = (CLK_OSZI);
|
||||
|
||||
!inst_VPA_SYNC.D = (!AS_030 & !inst_VPA_SYNC.Q
|
||||
# E.Q & !VMA.Q & !cpu_est_0_.Q & !cpu_est_1_.Q & !inst_VPA_D.Q & inst_CLK_000_D.Q & cpu_est_2_.Q & SM_AMIGA_3_.Q);
|
||||
# E.Q & !VMA.Q & !cpu_est_0_.Q & !cpu_est_1_.Q & !inst_VPA_D.Q & inst_CLK_000_D0.Q & cpu_est_2_.Q & SM_AMIGA_3_.Q);
|
||||
|
||||
inst_VPA_SYNC.AP = (!RST);
|
||||
|
||||
inst_VPA_SYNC.C = (CLK_OSZI);
|
||||
|
||||
inst_CLK_000_D.D = (CLK_000);
|
||||
inst_CLK_000_D0.D = (CLK_000);
|
||||
|
||||
inst_CLK_000_D.C = (CLK_OSZI);
|
||||
inst_CLK_000_D0.C = (CLK_OSZI);
|
||||
|
||||
inst_CLK_000_DD.D = (inst_CLK_000_D.Q);
|
||||
inst_CLK_000_D1.D = (inst_CLK_000_D0.Q);
|
||||
|
||||
inst_CLK_000_DD.C = (CLK_OSZI);
|
||||
inst_CLK_000_D1.C = (CLK_OSZI);
|
||||
|
||||
inst_CLK_OUT_PRE.D = (!inst_CLK_OUT_PRE.Q & CLK_CNT_0_.Q
|
||||
# inst_CLK_OUT_PRE.Q & !CLK_CNT_0_.Q);
|
||||
|
||||
inst_CLK_OUT_PRE.C = (CLK_OSZI);
|
||||
|
||||
cpu_est_2_.D.X1 = (E.Q & cpu_est_0_.Q & inst_CLK_000_D.Q & !inst_CLK_000_DD.Q & !cpu_est_2_.Q
|
||||
# !cpu_est_0_.Q & !cpu_est_1_.Q & inst_CLK_000_D.Q & !inst_CLK_000_DD.Q & !cpu_est_2_.Q
|
||||
# !E.Q & cpu_est_0_.Q & !cpu_est_1_.Q & inst_CLK_000_D.Q & !inst_CLK_000_DD.Q & cpu_est_2_.Q);
|
||||
cpu_est_2_.D.X1 = (E.Q & cpu_est_0_.Q & inst_CLK_000_D0.Q & !inst_CLK_000_D1.Q & !cpu_est_2_.Q
|
||||
# !cpu_est_0_.Q & !cpu_est_1_.Q & inst_CLK_000_D0.Q & !inst_CLK_000_D1.Q & !cpu_est_2_.Q
|
||||
# !E.Q & cpu_est_0_.Q & !cpu_est_1_.Q & inst_CLK_000_D0.Q & !inst_CLK_000_D1.Q & cpu_est_2_.Q);
|
||||
|
||||
cpu_est_2_.D.X2 = (cpu_est_2_.Q);
|
||||
|
||||
|
@ -349,14 +349,14 @@ CLK_CNT_0_.C = (CLK_OSZI);
|
|||
|
||||
SM_AMIGA_6_.AR = (!RST);
|
||||
|
||||
!SM_AMIGA_6_.D = (inst_CLK_000_D.Q & !SM_AMIGA_6_.Q
|
||||
!SM_AMIGA_6_.D = (inst_CLK_000_D0.Q & !SM_AMIGA_6_.Q
|
||||
# !SM_AMIGA_6_.Q & !SM_AMIGA_7_.Q
|
||||
# !inst_AS_030_000_SYNC.Q & inst_CLK_000_D.Q & !inst_CLK_000_DD.Q);
|
||||
# !inst_AS_030_000_SYNC.Q & inst_CLK_000_D0.Q & !inst_CLK_000_D1.Q);
|
||||
|
||||
SM_AMIGA_6_.C = (CLK_OSZI);
|
||||
|
||||
SM_AMIGA_7_.D = (inst_CLK_000_D.Q & SM_AMIGA_7_.Q
|
||||
# AS_000.Q & inst_CLK_000_D.Q & SM_AMIGA_0_.Q);
|
||||
SM_AMIGA_7_.D = (inst_CLK_000_D0.Q & SM_AMIGA_7_.Q
|
||||
# AS_000.Q & inst_CLK_000_D0.Q & SM_AMIGA_0_.Q);
|
||||
|
||||
SM_AMIGA_7_.AP = (!RST);
|
||||
|
||||
|
@ -364,47 +364,47 @@ SM_AMIGA_7_.C = (CLK_OSZI);
|
|||
|
||||
SM_AMIGA_1_.AR = (!RST);
|
||||
|
||||
SM_AMIGA_1_.D = (inst_CLK_000_D.Q & SM_AMIGA_1_.Q
|
||||
# inst_CLK_000_D.Q & SM_AMIGA_2_.Q
|
||||
# !inst_CLK_OUT_PRE.Q & SM_AMIGA_1_.Q & !SM_AMIGA_2_.Q);
|
||||
SM_AMIGA_1_.D = (inst_CLK_000_D1.Q & SM_AMIGA_1_.Q
|
||||
# !inst_CLK_OUT_PRE.Q & SM_AMIGA_1_.Q
|
||||
# inst_CLK_000_D0.Q & SM_AMIGA_2_.Q);
|
||||
|
||||
SM_AMIGA_1_.C = (CLK_OSZI);
|
||||
|
||||
SM_AMIGA_4_.AR = (!RST);
|
||||
|
||||
SM_AMIGA_4_.D = (!inst_CLK_000_D.Q & SM_AMIGA_4_.Q
|
||||
# !inst_CLK_000_D.Q & SM_AMIGA_5_.Q);
|
||||
SM_AMIGA_4_.D = (!inst_CLK_000_D0.Q & SM_AMIGA_4_.Q
|
||||
# !inst_CLK_000_D0.Q & SM_AMIGA_5_.Q);
|
||||
|
||||
SM_AMIGA_4_.C = (CLK_OSZI);
|
||||
|
||||
SM_AMIGA_3_.AR = (!RST);
|
||||
|
||||
SM_AMIGA_3_.D = (inst_CLK_000_D.Q & SM_AMIGA_4_.Q
|
||||
# inst_CLK_000_D.Q & SM_AMIGA_3_.Q
|
||||
SM_AMIGA_3_.D = (inst_CLK_000_D0.Q & SM_AMIGA_4_.Q
|
||||
# inst_CLK_000_D0.Q & SM_AMIGA_3_.Q
|
||||
# inst_DTACK_SYNC.Q & inst_VPA_SYNC.Q & SM_AMIGA_3_.Q);
|
||||
|
||||
SM_AMIGA_3_.C = (CLK_OSZI);
|
||||
|
||||
SM_AMIGA_5_.AR = (!RST);
|
||||
|
||||
SM_AMIGA_5_.D = (inst_CLK_000_D.Q & SM_AMIGA_5_.Q
|
||||
# !inst_AS_030_000_SYNC.Q & inst_CLK_000_D.Q & !inst_CLK_000_DD.Q & SM_AMIGA_6_.Q);
|
||||
SM_AMIGA_5_.D = (inst_CLK_000_D0.Q & SM_AMIGA_5_.Q
|
||||
# !inst_AS_030_000_SYNC.Q & inst_CLK_000_D0.Q & !inst_CLK_000_D1.Q & SM_AMIGA_6_.Q);
|
||||
|
||||
SM_AMIGA_5_.C = (CLK_OSZI);
|
||||
|
||||
SM_AMIGA_2_.AR = (!RST);
|
||||
|
||||
SM_AMIGA_2_.D = (!inst_CLK_000_D.Q & SM_AMIGA_2_.Q
|
||||
# !inst_DTACK_SYNC.Q & !inst_CLK_000_D.Q & SM_AMIGA_3_.Q
|
||||
# !inst_VPA_SYNC.Q & !inst_CLK_000_D.Q & SM_AMIGA_3_.Q);
|
||||
SM_AMIGA_2_.D = (!inst_CLK_000_D0.Q & SM_AMIGA_2_.Q
|
||||
# !inst_DTACK_SYNC.Q & !inst_CLK_000_D0.Q & SM_AMIGA_3_.Q
|
||||
# !inst_VPA_SYNC.Q & !inst_CLK_000_D0.Q & SM_AMIGA_3_.Q);
|
||||
|
||||
SM_AMIGA_2_.C = (CLK_OSZI);
|
||||
|
||||
SM_AMIGA_0_.AR = (!RST);
|
||||
|
||||
SM_AMIGA_0_.D = (!AS_000.Q & SM_AMIGA_0_.Q
|
||||
# !inst_CLK_000_D.Q & SM_AMIGA_0_.Q
|
||||
# !inst_CLK_000_D.Q & inst_CLK_OUT_PRE.Q & SM_AMIGA_1_.Q);
|
||||
# !inst_CLK_000_D0.Q & SM_AMIGA_0_.Q
|
||||
# !inst_CLK_000_D1.Q & inst_CLK_OUT_PRE.Q & SM_AMIGA_1_.Q);
|
||||
|
||||
SM_AMIGA_0_.C = (CLK_OSZI);
|
||||
|
||||
|
|
|
@ -39,7 +39,6 @@ DATA LOCATION CLK_CNT_0_:H_6 // NOD
|
|||
DATA LOCATION CLK_DIV_OUT:G_0_65 // OUT
|
||||
DATA LOCATION CLK_EXP:B_0_10 // OUT
|
||||
DATA LOCATION CLK_OSZI:*_*_61 // Cin
|
||||
DATA LOCATION CPU_SPACE:*_*_14 // INP
|
||||
DATA LOCATION DSACK_0_:H_12_80 // OUT
|
||||
DATA LOCATION DSACK_1_:H_8_81 // IO {RN_DSACK_1_}
|
||||
DATA LOCATION DS_030:A_*_98 // INP
|
||||
|
@ -72,11 +71,11 @@ DATA LOCATION RST:*_*_86 // INP
|
|||
DATA LOCATION RW:G_*_71 // INP
|
||||
DATA LOCATION SIZE_0_:G_*_70 // INP
|
||||
DATA LOCATION SIZE_1_:H_*_79 // INP
|
||||
DATA LOCATION SM_AMIGA_0_:H_13 // NOD
|
||||
DATA LOCATION SM_AMIGA_1_:H_2 // NOD
|
||||
DATA LOCATION SM_AMIGA_2_:G_8 // NOD
|
||||
DATA LOCATION SM_AMIGA_0_:H_2 // NOD
|
||||
DATA LOCATION SM_AMIGA_1_:G_12 // NOD
|
||||
DATA LOCATION SM_AMIGA_2_:G_1 // NOD
|
||||
DATA LOCATION SM_AMIGA_3_:G_5 // NOD
|
||||
DATA LOCATION SM_AMIGA_4_:G_12 // NOD
|
||||
DATA LOCATION SM_AMIGA_4_:F_0 // NOD
|
||||
DATA LOCATION SM_AMIGA_5_:A_0 // NOD
|
||||
DATA LOCATION SM_AMIGA_6_:D_6 // NOD
|
||||
DATA LOCATION SM_AMIGA_7_:H_9 // NOD
|
||||
|
@ -86,13 +85,14 @@ DATA LOCATION VPA:*_*_36 // INP
|
|||
DATA LOCATION cpu_est_0_:D_14 // NOD
|
||||
DATA LOCATION cpu_est_1_:D_2 // NOD
|
||||
DATA LOCATION cpu_est_2_:D_10 // NOD
|
||||
DATA LOCATION inst_AS_030_000_SYNC:F_0 // NOD
|
||||
DATA LOCATION inst_CLK_000_D:H_1 // NOD
|
||||
DATA LOCATION inst_CLK_000_DD:D_13 // NOD
|
||||
DATA LOCATION inst_AS_030_000_SYNC:H_1 // NOD
|
||||
DATA LOCATION inst_CLK_000_D0:G_8 // NOD
|
||||
DATA LOCATION inst_CLK_000_D1:D_13 // NOD
|
||||
DATA LOCATION inst_CLK_OUT_PRE:H_5 // NOD
|
||||
DATA LOCATION inst_DTACK_SYNC:G_13 // NOD
|
||||
DATA LOCATION inst_VPA_D:G_1 // NOD
|
||||
DATA LOCATION inst_VPA_D:H_13 // NOD
|
||||
DATA LOCATION inst_VPA_SYNC:G_9 // NOD
|
||||
DATA LOCATION nEXP_SPACE:*_*_14 // INP
|
||||
DATA IO_DIR AMIGA_BUS_DATA_DIR:OUT
|
||||
DATA IO_DIR AMIGA_BUS_ENABLE:OUT
|
||||
DATA IO_DIR AMIGA_BUS_ENABLE_LOW:OUT
|
||||
|
@ -128,7 +128,6 @@ DATA IO_DIR CLK_030:IN
|
|||
DATA IO_DIR CLK_DIV_OUT:OUT
|
||||
DATA IO_DIR CLK_EXP:OUT
|
||||
DATA IO_DIR CLK_OSZI:IN
|
||||
DATA IO_DIR CPU_SPACE:IN
|
||||
DATA IO_DIR DSACK_0_:OUT
|
||||
DATA IO_DIR DSACK_1_:BI
|
||||
DATA IO_DIR DS_030:IN
|
||||
|
@ -152,23 +151,16 @@ DATA IO_DIR SIZE_1_:IN
|
|||
DATA IO_DIR UDS_000:OUT
|
||||
DATA IO_DIR VMA:OUT
|
||||
DATA IO_DIR VPA:IN
|
||||
DATA IO_DIR nEXP_SPACE:IN
|
||||
DATA GLB_CLOCK CLK_OSZI
|
||||
DATA PW_LEVEL A_21_:0
|
||||
DATA SLEW A_21_:0
|
||||
DATA PW_LEVEL A_20_:0
|
||||
DATA SLEW A_20_:0
|
||||
DATA PW_LEVEL SIZE_1_:0
|
||||
DATA SLEW SIZE_1_:0
|
||||
DATA PW_LEVEL A_19_:0
|
||||
DATA SLEW A_19_:0
|
||||
DATA PW_LEVEL A_18_:0
|
||||
DATA SLEW A_18_:0
|
||||
DATA PW_LEVEL A_31_:0
|
||||
DATA SLEW A_31_:0
|
||||
DATA PW_LEVEL A_17_:0
|
||||
DATA SLEW A_17_:0
|
||||
DATA PW_LEVEL A_16_:0
|
||||
DATA SLEW A_16_:0
|
||||
DATA PW_LEVEL SIZE_1_:0
|
||||
DATA SLEW SIZE_1_:0
|
||||
DATA PW_LEVEL A_31_:0
|
||||
DATA SLEW A_31_:0
|
||||
DATA PW_LEVEL IPL_2_:0
|
||||
DATA SLEW IPL_2_:0
|
||||
DATA PW_LEVEL FC_1_:0
|
||||
|
@ -177,28 +169,28 @@ DATA PW_LEVEL AS_030:0
|
|||
DATA SLEW AS_030:0
|
||||
DATA PW_LEVEL DS_030:0
|
||||
DATA SLEW DS_030:0
|
||||
DATA SLEW CPU_SPACE:0
|
||||
DATA PW_LEVEL A_0_:0
|
||||
DATA SLEW A_0_:0
|
||||
DATA SLEW nEXP_SPACE:0
|
||||
DATA PW_LEVEL BERR:0
|
||||
DATA SLEW BERR:0
|
||||
DATA PW_LEVEL BG_030:0
|
||||
DATA SLEW BG_030:0
|
||||
DATA PW_LEVEL A_0_:0
|
||||
DATA SLEW A_0_:0
|
||||
DATA PW_LEVEL BGACK_000:0
|
||||
DATA SLEW BGACK_000:0
|
||||
DATA SLEW CLK_030:0
|
||||
DATA PW_LEVEL IPL_1_:0
|
||||
DATA SLEW IPL_1_:0
|
||||
DATA SLEW CLK_000:0
|
||||
DATA PW_LEVEL IPL_0_:0
|
||||
DATA SLEW IPL_0_:0
|
||||
DATA SLEW CLK_OSZI:0
|
||||
DATA PW_LEVEL DSACK_0_:0
|
||||
DATA SLEW DSACK_0_:0
|
||||
DATA PW_LEVEL CLK_DIV_OUT:0
|
||||
DATA SLEW CLK_DIV_OUT:0
|
||||
DATA PW_LEVEL BGACK_000:0
|
||||
DATA SLEW BGACK_000:0
|
||||
DATA PW_LEVEL FC_0_:0
|
||||
DATA SLEW FC_0_:0
|
||||
DATA SLEW CLK_030:0
|
||||
DATA SLEW CLK_000:0
|
||||
DATA SLEW CLK_OSZI:0
|
||||
DATA PW_LEVEL CLK_DIV_OUT:0
|
||||
DATA SLEW CLK_DIV_OUT:0
|
||||
DATA PW_LEVEL AVEC:0
|
||||
DATA SLEW AVEC:0
|
||||
DATA PW_LEVEL AVEC_EXP:0
|
||||
|
@ -235,6 +227,14 @@ DATA PW_LEVEL A_23_:0
|
|||
DATA SLEW A_23_:0
|
||||
DATA PW_LEVEL A_22_:0
|
||||
DATA SLEW A_22_:0
|
||||
DATA PW_LEVEL A_21_:0
|
||||
DATA SLEW A_21_:0
|
||||
DATA PW_LEVEL A_20_:0
|
||||
DATA SLEW A_20_:0
|
||||
DATA PW_LEVEL A_19_:0
|
||||
DATA SLEW A_19_:0
|
||||
DATA PW_LEVEL A_18_:0
|
||||
DATA SLEW A_18_:0
|
||||
DATA PW_LEVEL IPL_030_2_:0
|
||||
DATA SLEW IPL_030_2_:0
|
||||
DATA PW_LEVEL DSACK_1_:0
|
||||
|
@ -245,14 +245,14 @@ DATA PW_LEVEL UDS_000:0
|
|||
DATA SLEW UDS_000:0
|
||||
DATA PW_LEVEL LDS_000:0
|
||||
DATA SLEW LDS_000:0
|
||||
DATA PW_LEVEL BG_000:0
|
||||
DATA SLEW BG_000:0
|
||||
DATA PW_LEVEL BGACK_030:0
|
||||
DATA SLEW BGACK_030:0
|
||||
DATA PW_LEVEL IPL_030_1_:0
|
||||
DATA SLEW IPL_030_1_:0
|
||||
DATA PW_LEVEL IPL_030_0_:0
|
||||
DATA SLEW IPL_030_0_:0
|
||||
DATA PW_LEVEL BG_000:0
|
||||
DATA SLEW BG_000:0
|
||||
DATA PW_LEVEL BGACK_030:0
|
||||
DATA SLEW BGACK_030:0
|
||||
DATA PW_LEVEL CLK_EXP:0
|
||||
DATA SLEW CLK_EXP:0
|
||||
DATA PW_LEVEL FPU_CS:0
|
||||
|
@ -277,10 +277,10 @@ DATA PW_LEVEL inst_VPA_D:0
|
|||
DATA SLEW inst_VPA_D:0
|
||||
DATA PW_LEVEL inst_VPA_SYNC:0
|
||||
DATA SLEW inst_VPA_SYNC:0
|
||||
DATA PW_LEVEL inst_CLK_000_D:0
|
||||
DATA SLEW inst_CLK_000_D:0
|
||||
DATA PW_LEVEL inst_CLK_000_DD:0
|
||||
DATA SLEW inst_CLK_000_DD:0
|
||||
DATA PW_LEVEL inst_CLK_000_D0:0
|
||||
DATA SLEW inst_CLK_000_D0:0
|
||||
DATA PW_LEVEL inst_CLK_000_D1:0
|
||||
DATA SLEW inst_CLK_000_D1:0
|
||||
DATA PW_LEVEL inst_CLK_OUT_PRE:0
|
||||
DATA SLEW inst_CLK_OUT_PRE:0
|
||||
DATA PW_LEVEL cpu_est_2_:0
|
||||
|
@ -308,10 +308,10 @@ DATA PW_LEVEL RN_DSACK_1_:0
|
|||
DATA PW_LEVEL RN_AS_000:0
|
||||
DATA PW_LEVEL RN_UDS_000:0
|
||||
DATA PW_LEVEL RN_LDS_000:0
|
||||
DATA PW_LEVEL RN_BG_000:0
|
||||
DATA PW_LEVEL RN_BGACK_030:0
|
||||
DATA PW_LEVEL RN_IPL_030_1_:0
|
||||
DATA PW_LEVEL RN_IPL_030_0_:0
|
||||
DATA PW_LEVEL RN_BG_000:0
|
||||
DATA PW_LEVEL RN_BGACK_030:0
|
||||
DATA PW_LEVEL RN_FPU_CS:0
|
||||
DATA PW_LEVEL RN_E:0
|
||||
DATA PW_LEVEL RN_VMA:0
|
||||
|
|
|
@ -5,11 +5,11 @@ GROUP MACH_SEG_B IPL_030_1_ RN_IPL_030_1_ IPL_030_0_ RN_IPL_030_0_ IPL_030_2_
|
|||
GROUP MACH_SEG_C AVEC_EXP AMIGA_BUS_ENABLE_LOW
|
||||
GROUP MACH_SEG_D LDS_000 RN_LDS_000 UDS_000 RN_UDS_000 VMA RN_VMA BG_000
|
||||
RN_BG_000 AS_000 RN_AS_000 cpu_est_1_ cpu_est_2_ SM_AMIGA_6_ DTACK
|
||||
cpu_est_0_ inst_CLK_000_DD AMIGA_BUS_ENABLE
|
||||
cpu_est_0_ inst_CLK_000_D1 AMIGA_BUS_ENABLE
|
||||
GROUP MACH_SEG_E CIIN BERR AMIGA_BUS_DATA_DIR
|
||||
GROUP MACH_SEG_F inst_AS_030_000_SYNC
|
||||
GROUP MACH_SEG_G inst_VPA_SYNC inst_DTACK_SYNC E RN_E SM_AMIGA_2_ SM_AMIGA_3_
|
||||
SM_AMIGA_4_ inst_VPA_D CLK_DIV_OUT
|
||||
GROUP MACH_SEG_H FPU_CS RN_FPU_CS DSACK_1_ RN_DSACK_1_ SM_AMIGA_0_ SM_AMIGA_7_
|
||||
SM_AMIGA_1_ BGACK_030 RN_BGACK_030 inst_CLK_OUT_PRE inst_CLK_000_D
|
||||
GROUP MACH_SEG_F SM_AMIGA_4_
|
||||
GROUP MACH_SEG_G inst_VPA_SYNC inst_DTACK_SYNC SM_AMIGA_2_ E RN_E SM_AMIGA_3_
|
||||
SM_AMIGA_1_ inst_CLK_000_D0 CLK_DIV_OUT
|
||||
GROUP MACH_SEG_H inst_AS_030_000_SYNC FPU_CS RN_FPU_CS DSACK_1_ RN_DSACK_1_
|
||||
SM_AMIGA_0_ SM_AMIGA_7_ BGACK_030 RN_BGACK_030 inst_CLK_OUT_PRE inst_VPA_D
|
||||
CLK_CNT_0_ DSACK_0_
|
|
@ -1 +1 @@
|
|||
<LATTICE_ENCRYPTED_BLIF>6754<46>b[ðb4
|
||||
<LATTICE_ENCRYPTED_BLIF>93:1176f=uNI
|
|
@ -10,7 +10,7 @@ AUTHOR:
|
|||
PATTERN:
|
||||
COMPANY:
|
||||
REVISION:
|
||||
DATE: Thu May 15 23:02:50 2014
|
||||
DATE: Fri May 16 17:07:12 2014
|
||||
|
||||
ABEL mach447a
|
||||
*
|
||||
|
@ -31,44 +31,45 @@ NOTE Spread Placement? Y *
|
|||
NOTE Run Time Upper Bound in 15 minutes 0 *
|
||||
NOTE Zero Hold Time For Input Registers? Y *
|
||||
NOTE Table of pin names and numbers*
|
||||
NOTE PINS A_21_:94 A_20_:93 SIZE_1_:79 A_19_:97 A_18_:95*
|
||||
NOTE PINS A_31_:4 A_17_:59 A_16_:96 IPL_2_:68 FC_1_:58 AS_030:82*
|
||||
NOTE PINS DS_030:98 CPU_SPACE:14 BERR:41 BG_030:21 A_0_:69*
|
||||
NOTE PINS BGACK_000:28 CLK_030:64 IPL_1_:56 CLK_000:11 IPL_0_:67*
|
||||
NOTE PINS CLK_OSZI:61 DSACK_0_:80 CLK_DIV_OUT:65 FC_0_:57*
|
||||
NOTE PINS AVEC:92 AVEC_EXP:22 VPA:36 RST:86 RW:71 AMIGA_BUS_ENABLE:34*
|
||||
NOTE PINS AMIGA_BUS_DATA_DIR:48 AMIGA_BUS_ENABLE_LOW:20 CIIN:47*
|
||||
NOTE PINS SIZE_0_:70 A_30_:5 A_29_:6 A_28_:15 A_27_:16 A_26_:17*
|
||||
NOTE PINS A_25_:18 A_24_:19 A_23_:84 A_22_:85 IPL_030_2_:9*
|
||||
NOTE PINS DSACK_1_:81 AS_000:33 UDS_000:32 LDS_000:31 BG_000:29*
|
||||
NOTE PINS BGACK_030:83 IPL_030_1_:7 IPL_030_0_:8 CLK_EXP:10*
|
||||
NOTE PINS FPU_CS:78 DTACK:30 E:66 VMA:35 RESET:3 *
|
||||
NOTE PINS A_17_:59 A_16_:96 SIZE_1_:79 A_31_:4 IPL_2_:68*
|
||||
NOTE PINS FC_1_:58 AS_030:82 DS_030:98 A_0_:69 nEXP_SPACE:14*
|
||||
NOTE PINS BERR:41 BG_030:21 IPL_1_:56 IPL_0_:67 DSACK_0_:80*
|
||||
NOTE PINS BGACK_000:28 FC_0_:57 CLK_030:64 CLK_000:11 CLK_OSZI:61*
|
||||
NOTE PINS CLK_DIV_OUT:65 AVEC:92 AVEC_EXP:22 VPA:36 RST:86*
|
||||
NOTE PINS RW:71 AMIGA_BUS_ENABLE:34 AMIGA_BUS_DATA_DIR:48*
|
||||
NOTE PINS AMIGA_BUS_ENABLE_LOW:20 CIIN:47 SIZE_0_:70 A_30_:5*
|
||||
NOTE PINS A_29_:6 A_28_:15 A_27_:16 A_26_:17 A_25_:18 A_24_:19*
|
||||
NOTE PINS A_23_:84 A_22_:85 A_21_:94 A_20_:93 A_19_:97 A_18_:95*
|
||||
NOTE PINS IPL_030_2_:9 DSACK_1_:81 AS_000:33 UDS_000:32 LDS_000:31*
|
||||
NOTE PINS IPL_030_1_:7 IPL_030_0_:8 BG_000:29 BGACK_030:83*
|
||||
NOTE PINS CLK_EXP:10 FPU_CS:78 DTACK:30 E:66 VMA:35 RESET:3*
|
||||
NOTE Table of node names and numbers*
|
||||
NOTE NODES RN_IPL_030_2_:131 RN_DSACK_1_:281 RN_AS_000:181 *
|
||||
NOTE NODES RN_UDS_000:191 RN_LDS_000:185 RN_BG_000:175 RN_BGACK_030:275 *
|
||||
NOTE NODES RN_IPL_030_1_:143 RN_IPL_030_0_:137 RN_FPU_CS:269 *
|
||||
NOTE NODES RN_DTACK:173 RN_E:251 RN_VMA:179 cpu_est_0_:194 *
|
||||
NOTE NODES cpu_est_1_:176 inst_AS_030_000_SYNC:221 inst_DTACK_SYNC:265 *
|
||||
NOTE NODES inst_VPA_D:247 inst_VPA_SYNC:259 inst_CLK_000_D:271 *
|
||||
NOTE NODES inst_CLK_000_DD:193 inst_CLK_OUT_PRE:277 cpu_est_2_:188 *
|
||||
NOTE NODES CLK_CNT_0_:278 SM_AMIGA_6_:182 SM_AMIGA_7_:283 *
|
||||
NOTE NODES SM_AMIGA_1_:272 SM_AMIGA_4_:263 SM_AMIGA_3_:253 *
|
||||
NOTE NODES SM_AMIGA_5_:101 SM_AMIGA_2_:257 SM_AMIGA_0_:289 *
|
||||
NOTE NODES RN_UDS_000:191 RN_LDS_000:185 RN_IPL_030_1_:143 *
|
||||
NOTE NODES RN_IPL_030_0_:137 RN_BG_000:175 RN_BGACK_030:275 *
|
||||
NOTE NODES RN_FPU_CS:269 RN_DTACK:173 RN_E:251 RN_VMA:179 *
|
||||
NOTE NODES cpu_est_0_:194 cpu_est_1_:176 inst_AS_030_000_SYNC:271 *
|
||||
NOTE NODES inst_DTACK_SYNC:265 inst_VPA_D:289 inst_VPA_SYNC:259 *
|
||||
NOTE NODES inst_CLK_000_D0:257 inst_CLK_000_D1:193 inst_CLK_OUT_PRE:277 *
|
||||
NOTE NODES cpu_est_2_:188 CLK_CNT_0_:278 SM_AMIGA_6_:182 *
|
||||
NOTE NODES SM_AMIGA_7_:283 SM_AMIGA_1_:263 SM_AMIGA_4_:221 *
|
||||
NOTE NODES SM_AMIGA_3_:253 SM_AMIGA_5_:101 SM_AMIGA_2_:247 *
|
||||
NOTE NODES SM_AMIGA_0_:272 *
|
||||
NOTE BLOCK 0 *
|
||||
L000000
|
||||
111111111111111111111111111111111111111111111111111111111111111111
|
||||
111111111011111111111111111111111111111111111111111111111111111111
|
||||
111111111111111111111111111011111111111111111111111111111111111111
|
||||
111111111111111111111111111111111111111111111111111111111111111111
|
||||
111111111111111111111111111111111111111111111111111111111111111111
|
||||
111111111110111111111111111111111111111111111111111111111111111111
|
||||
110111111111111111111111111111111111111111111111111111111111111111
|
||||
111111111111111111111111111111111111111111111111111111111111111111
|
||||
111111111111111111111111111111110111111111111111111111111111111111
|
||||
101111111111111111111011111111011111111111111111111111111111111111*
|
||||
L000594
|
||||
000000000000000000000000000000000000000000000000000000000000000000*
|
||||
L000660 111011111110111111110111111111110111111111111111111111111111111111*
|
||||
L000726 111111111111111111110111111111011111111111111111111111111111111111*
|
||||
L000660 111011111111111111111011110111110111111111111111111111111111111111*
|
||||
L000726 111111111111111111111111110111011111111111111111111111111111111111*
|
||||
L000792 000000000000000000000000000000000000000000000000000000000000000000*
|
||||
L000858 000000000000000000000000000000000000000000000000000000000000000000*
|
||||
L000924 000000000000000000000000000000000000000000000000000000000000000000*
|
||||
|
@ -185,13 +186,13 @@ NOTE BLOCK 1 *
|
|||
L006762
|
||||
111111111111111111111111011111111111111111111111111111111111111111
|
||||
111111111111111111111111111111111111111111111111111111111110111111
|
||||
111111101011111101111111111111111111111111111111111111111111111111
|
||||
111111101011111101111011111111111111111111111111111111111111111111
|
||||
101111111111111111111111111111111111111111111111111111011111111111
|
||||
111111111111111111111111111111111111111111111111111111111111111111
|
||||
110111111111111111111111111111111111111111111111111111111111111111
|
||||
111111111111111111111111111111111111111111111111111111111111111111
|
||||
111111111111111111111111111011111111111111111111111111111111111111
|
||||
111111111111111111111011111111111111111111101111111111111111111111*
|
||||
111111111111111111111111111111111111111111101111111111111111111111*
|
||||
L007356
|
||||
111111111111111111111111111111111111111111111111111111111111111111*
|
||||
L007422 111111111111111111111111110111111111111111111111111111111111111111*
|
||||
|
@ -218,9 +219,9 @@ L008676 111111111111111111111111111111111111111111111111111111111111111111*
|
|||
L008742 111111111111111111111111111111111111111111111111111111111111111111*
|
||||
L008808
|
||||
111111111111111111111111111111111111111111111111111111111111111111*
|
||||
L008874 111111111111111111111011111111111111111111111111111111011111111111*
|
||||
L008940 110111111111111111111111111111111111111111111111111111011111111111*
|
||||
L009006 111011110111111111110111111111111111111111111111111111111111111111*
|
||||
L008874 111011110111111111110111111111111111111111111111111111111111111111*
|
||||
L008940 111111111111111111111011111111111111111111111111111111011111111111*
|
||||
L009006 110111111111111111111111111111111111111111111111111111011111111111*
|
||||
L009072 000000000000000000000000000000000000000000000000000000000000000000*
|
||||
L009138 000000000000000000000000000000000000000000000000000000000000000000*
|
||||
L009204 111111111111111111111111111111111111111111111111111111111111111111*
|
||||
|
@ -266,9 +267,9 @@ L011580 111111111111111111111111111111111111111111111111111111111111111111*
|
|||
L011646 111111111111111111111111111111111111111111111111111111111111111111*
|
||||
L011712
|
||||
000000000000000000000000000000000000000000000000000000000000000000*
|
||||
L011778 111111111111111111111011011111111111111111111111111111111111111111*
|
||||
L011844 110111111111111111111111011111111111111111111111111111111111111111*
|
||||
L011910 111011011111111111110111111111111111111111111111111111111111111111*
|
||||
L011778 111011011111111111110111111111111111111111111111111111111111111111*
|
||||
L011844 111111111111111111111011011111111111111111111111111111111111111111*
|
||||
L011910 110111111111111111111111011111111111111111111111111111111111111111*
|
||||
L011976 000000000000000000000000000000000000000000000000000000000000000000*
|
||||
L012042 000000000000000000000000000000000000000000000000000000000000000000*
|
||||
L012108 111111111111111111111111111111111111111111111111111111111111111111*
|
||||
|
@ -437,49 +438,49 @@ L020258 11110111110101*
|
|||
L020272 11111111111111*
|
||||
NOTE BLOCK 3 *
|
||||
L020286
|
||||
111111111111111111111111111110111111111111111111111111111011111111
|
||||
101111111111111110111111111111011111111111110111111111111110111111
|
||||
111111111111111111111111111111111111101111111111111111111111111111
|
||||
111111111111111111111111111111111111111110111111111111111111111111
|
||||
101111110111111110111111111111011111111111111111111111111110111111
|
||||
111111111111111111111111111011111111101111111111111111111111111111
|
||||
111110111111111111111111111111111111111111111111111111111111111111
|
||||
111111111001111111110111111111111111111111111111111011111111111111
|
||||
110111111111101111111101111111111111111101111111111111111111111111
|
||||
111111111111111111111111111111110111111111111111111111101111111110
|
||||
111111111111110111101111111111111111111111011110111111111111011111
|
||||
111111011111111111111111101111111101111111111111101111111111111111*
|
||||
111111111111111111110111011111111111111111111111111011111111111111
|
||||
110111111111101011111101111111111111111111111111111111111111011111
|
||||
111111111101111111111111111111111111111111111111111111101111111110
|
||||
111111111111111111101111111101110111111111111110011111111111111111
|
||||
111111011111111111111111111111111101111011101111111111111111111111*
|
||||
L020880
|
||||
111111111111111111111111111111111111111111111111111111111111111111*
|
||||
L020946 111111111111111011111111111111111111111111111111111111111111111110*
|
||||
L020946 111111111111111111111111111111111111111111111111101111111111111110*
|
||||
L021012 000000000000000000000000000000000000000000000000000000000000000000*
|
||||
L021078 000000000000000000000000000000000000000000000000000000000000000000*
|
||||
L021144 000000000000000000000000000000000000000000000000000000000000000000*
|
||||
L021210 000000000000000000000000000000000000000000000000000000000000000000*
|
||||
L021276 111001101111111111111011011111111111111111111111111111111111111111*
|
||||
L021342 111010111111111111110111011111111111111101111111111111111111111111*
|
||||
L021408 111001011111111111110111011111111111111110111111111111111111111111*
|
||||
L021474 111010101111111111111111011111111111111110111111111111111111111111*
|
||||
L021276 111001101111111111111011110111111111111111111111111111111111111111*
|
||||
L021342 111010111111111111110111110111111111111111111111111111111111011111*
|
||||
L021408 111001011111111111110111110111111111111111111111111111111111101111*
|
||||
L021474 111010101111111111111111110111111111111111111111111111111111101111*
|
||||
L021540 000000000000000000000000000000000000000000000000000000000000000000*
|
||||
L021606
|
||||
111111111111111111111111111111111111111111111111111111111111111111*
|
||||
L021672 111111111111111111011111111111101111111111111011111111111011011111*
|
||||
L021672 111111111011111111011111111111100111111110111111111111111111111111*
|
||||
L021738 111111111111111111111111111111111111111111111111111111111101111111*
|
||||
L021804 000000000000000000000000000000000000000000000000000000000000000000*
|
||||
L021870 111111111111111111011111111111101111111111111011111111011011111111*
|
||||
L021936 111111111111111111111111111111111110111111111011111111110111111111*
|
||||
L022002 111110011111110111111011011111111111111101101111111111111111111111*
|
||||
L022068 111110101011111111110111101111111111111101011111111111111111111111*
|
||||
L021870 111111111011111111011111111111101111111110111111111111011111111111*
|
||||
L021936 111111111011111111111111111111111110111101111111111111111111111111*
|
||||
L022002 111110011111111111111011110110111111111111111111011111111111011111*
|
||||
L022068 111110101111111011110111111001111111111111111111111111111111011111*
|
||||
L022134 000000000000000000000000000000000000000000000000000000000000000000*
|
||||
L022200 000000000000000000000000000000000000000000000000000000000000000000*
|
||||
L022266 000000000000000000000000000000000000000000000000000000000000000000*
|
||||
L022332
|
||||
111111111111111111111111111111111111111111111101111111111111111111*
|
||||
L022398 111111111111111011101111111111111111111111111111111111111111111111*
|
||||
L022464 111011111111111111111111011111111111111111111111111011111111011111*
|
||||
L022398 111111111111111111101111111111111111111111111111101111111111111111*
|
||||
L022464 111011111111111111111111110111110111111011111111111111111111111111*
|
||||
L022530 000000000000000000000000000000000000000000000000000000000000000000*
|
||||
L022596 000000000000000000000000000000000000000000000000000000000000000000*
|
||||
L022662 000000000000000000000000000000000000000000000000000000000000000000*
|
||||
L022728 111011111111111111111111011111111111111111111111111011111111111111*
|
||||
L022794 111111111111111111111111011111111111111111111111111111111111101111*
|
||||
L022860 111111111111111111111111111111111111111111111111111111101111101111*
|
||||
L022728 111011111111111111111111110111111111111011111111111111111111111111*
|
||||
L022794 111111111111111111111111110111111011111111111111111111111111111111*
|
||||
L022860 111111111111111111111111111111111011111111111111111111101111111111*
|
||||
L022926 000000000000000000000000000000000000000000000000000000000000000000*
|
||||
L022992 000000000000000000000000000000000000000000000000000000000000000000*
|
||||
L023058
|
||||
|
@ -489,54 +490,54 @@ L023190 111111111111111111111111111111111111111111111111111111111111111111*
|
|||
L023256 111111111111111111111111111111111111111111111111111111111111111111*
|
||||
L023322 111111111111111111111111111111111111111111111111111111111111111111*
|
||||
L023388 111111111111111111111111111111111111111111111111111111111111111111*
|
||||
L023454 111011111110011101111111011111111111111111111111111011111111011111*
|
||||
L023520 101011111110111101111111011111111111111111111111111011111111011111*
|
||||
L023586 111011111110111101111111011111111111011111111111111011111111011111*
|
||||
L023652 111111111101111111101111111111111011111111111111111111111111111111*
|
||||
L023718 111111111111111101101111111111111011111111111111110111111111111111*
|
||||
L023454 111011111111011101111111100111110111111011111111111111111111111111*
|
||||
L023520 101011111111111101111111100111110111111011111111111111111111111111*
|
||||
L023586 111011111111111101111111100111110111011011111111111111111111111111*
|
||||
L023652 111111111110111111101111011111111111111111111111111111111111111111*
|
||||
L023718 111111111110111101101111111111111111110111111111111111111111111111*
|
||||
L023784
|
||||
111111111111111111111111111111111111111111111101111111111111111111*
|
||||
L023850 111111111111111111101111101111111011111111111111111111111111111111*
|
||||
L023916 110111111111111101101111111111111011111111111111111111111111111111*
|
||||
L023982 111111111111111101101111111111111011111111111111111111111111101111*
|
||||
L024048 111111111110011110111111011101111111111111111111111111111111111111*
|
||||
L024114 101111111110111110111111011101111111111111111111111111111111111111*
|
||||
L024180 000000000000000000000000000000000000000000000000000000000000000000*
|
||||
L024246 111001111111111111110111011111111111111110111111111111111111111111*
|
||||
L024312 111011101111111111111011011111111111111110111111111111111111111111*
|
||||
L024378 111010101111111111110111011111111111111101111111111111111111111111*
|
||||
L023850 111111111110111111101111111011111111111111111111111111111111111111*
|
||||
L023916 110111111110111101101111111111111111111111111111111111111111111111*
|
||||
L023982 111111111110111101101111111111111011111111111111111111111111111111*
|
||||
L024048 111111111111011110111111100111111111111111111111110111111111111111*
|
||||
L024114 101111111111111110111111100111111111111111111111110111111111111111*
|
||||
L024180 111111111111111111111111111111101111111111111111111111111111111111*
|
||||
L024246 111001111111111111110111110111111111111111111111111111111111101111*
|
||||
L024312 111011101111111111111011110111111111111111111111111111111111101111*
|
||||
L024378 111010101111111111110111110111111111111111111111111111111111011111*
|
||||
L024444 000000000000000000000000000000000000000000000000000000000000000000*
|
||||
L024510
|
||||
111111111111111111111111111111111111111111111110111111111111111111*
|
||||
L024576 111111111111111111111111111111111111111101111111111111111111111111*
|
||||
L024576 111111111111111111111111111111111111111111111111111111111111011111*
|
||||
L024642 111111111111111111111111111111111111111111111111111111111101111111*
|
||||
L024708 000000000000000000000000000000000000000000000000000000000000000000*
|
||||
L024774 111111111110111110111111011101111111011111111111111111111111111111*
|
||||
L024840 111111111111111110101111111110111011111111111111111111111111111111*
|
||||
L024906 111011111110111101111111011111111111101111111111111011111111011111*
|
||||
L024972 111111111101111111101110111111111111111111111111111111111111111111*
|
||||
L025038 111111111111111101101110111111111111111111111111110111111111111111*
|
||||
L025104 111111111111111111101110101111111111111111111111111111111111111111*
|
||||
L024774 111111111111111110111111100111111111011111111111110111111111111111*
|
||||
L024840 111111111110111110101111111111111111111111111111111011111111111111*
|
||||
L024906 111011111111111101111111100111110111101011111111111111111111111111*
|
||||
L024972 111111111111111111101110011111111111111111111111111111111111111111*
|
||||
L025038 111111111111111101101110111111111111110111111111111111111111111111*
|
||||
L025104 111111111111111111101110111011111111111111111111111111111111111111*
|
||||
L025170 110111111111111101101110111111111111111111111111111111111111111111*
|
||||
L025236
|
||||
111111111111111111111111111111111111111111111111111111111111111111*
|
||||
L025302 111111111111111101101110111111111111111111111111111111111111101111*
|
||||
L025368 111111111110111110111111011101111111101111111111111111111111111111*
|
||||
L025434 111111111111111110101110111110111111111111111111111111111111111111*
|
||||
L025302 111111111111111101101110111111111011111111111111111111111111111111*
|
||||
L025368 111111111111111110111111100111111111101111111111110111111111111111*
|
||||
L025434 111111111111111110101110111111111111111111111111111011111111111111*
|
||||
L025500 000000000000000000000000000000000000000000000000000000000000000000*
|
||||
L025566 000000000000000000000000000000000000000000000000000000000000000000*
|
||||
L025632 111111111111111111111111011111111111111111111111111111111111111111*
|
||||
L025632 111111111111111111111111110111111111111111111111111111111111111111*
|
||||
L025698 111111111111111111111111111111111111111111111111111111111101111111*
|
||||
L025764 000000000000000000000000000000000000000000000000000000000000000000*
|
||||
L025830 000000000000000000000000000000000000000000000000000000000000000000*
|
||||
L025896 000000000000000000000000000000000000000000000000000000000000000000*
|
||||
L025962
|
||||
000000000000000000000000000000000000000000000000000000000000000000*
|
||||
L026028 111111111111111111110111101111111111111111111111111111111111111111*
|
||||
L026028 111111111111111111110111111011111111111111111111111111111111111111*
|
||||
L026094 111111111111111111111111111111111111111111111111111111111101111111*
|
||||
L026160 000000000000000000000000000000000000000000000000000000000000000000*
|
||||
L026226 110111111111111111110111111111111111111111111111111111111111111111*
|
||||
L026292 111011111111111111111011011111111111111111111111111111111111111111*
|
||||
L026292 111011111111111111111011110111111111111111111111111111111111111111*
|
||||
L026358 111111111111111111111111111111111111111111111111111111111111111111*
|
||||
L026424 111111111111111111111111111111111111111111111111111111111111111111*
|
||||
L026490 111111111111111111111111111111111111111111111111111111111111111111*
|
||||
|
@ -544,7 +545,7 @@ L026556 111111111111111111111111111111111111111111111111111111111111111111*
|
|||
L026622 111111111111111111111111111111111111111111111111111111111111111111*
|
||||
L026688
|
||||
000000000000000000000000000000000000000000000000000000000000000000
|
||||
111111111111111111111111111111111111111111111111101111111111111111*
|
||||
111111111111111111111111111111111111111111101111111111111111111111*
|
||||
L026820 0010*
|
||||
L026824 01100110010010*
|
||||
L026838 11010110011110*
|
||||
|
@ -691,21 +692,21 @@ L033782 11110111110101*
|
|||
L033796 11111111111111*
|
||||
NOTE BLOCK 5 *
|
||||
L033810
|
||||
111111111111111111111111111111111111111110111111111111111111111111
|
||||
111111111101111111111111111111111111111111111111111111111111111111
|
||||
111111111111101111111111111111111111111111111111111111111111111111
|
||||
111011111111111110111111111111111111111111111111111111111111111111
|
||||
111111111111111111111111111111111111111111111111111011111111111111
|
||||
111111110111111111111111011111111111111111111111111111111111111111
|
||||
111111111111111111111101111111111101111111111111111111111111111111
|
||||
111111111111111111101111111111111111111111111111111111111111111111
|
||||
101111111111111111111111111111111111111111111111111111111111111111*
|
||||
111111111111111111111111111111111111111111111111111111111111111111
|
||||
111111111011111111111111111111111111111111111111111111111111111111
|
||||
111111111111111111111011111111111111111111111111111111111111111111
|
||||
111111111111111111111111111111111111111111111111111111111111111111
|
||||
111111111110111111111111111111111111111111111111111111111111111111
|
||||
111111111111111111111111111111111111111111111111111111111111111111
|
||||
111111111111111111111111111111111111111111111111111111111111111111
|
||||
111111111111111111111111111111111111111111111111111111111111111111
|
||||
101111111111111111111111111111011111111111111111111111111111111111*
|
||||
L034404
|
||||
000000000000000000000000000000000000000000000000000000000000000000*
|
||||
L034470 111111111111111111011111111111111111111111111111111111111111111111*
|
||||
L034536 111111111101111111111111111111111111111101111111111111111111111111*
|
||||
L034602 110111110111011101111110101111111110111101111111111111111111111111*
|
||||
L034668 111111111111111111111111111111111111111110111111110111111111111111*
|
||||
L034470 111111111101111111111011111111111111111111111111111111111111111111*
|
||||
L034536 111111111111111111111011111111011111111111111111111111111111111111*
|
||||
L034602 000000000000000000000000000000000000000000000000000000000000000000*
|
||||
L034668 000000000000000000000000000000000000000000000000000000000000000000*
|
||||
L034734 000000000000000000000000000000000000000000000000000000000000000000*
|
||||
L034800 111111111111111111111111111111111111111111111111111111111111111111*
|
||||
L034866 111111111111111111111111111111111111111111111111111111111111111111*
|
||||
|
@ -797,8 +798,8 @@ L040014 111111111111111111111111111111111111111111111111111111111111111111*
|
|||
L040080 111111111111111111111111111111111111111111111111111111111111111111*
|
||||
L040146 111111111111111111111111111111111111111111111111111111111111111111*
|
||||
L040212
|
||||
000000000000000000000000000000000000000000000000000000000000000000
|
||||
101111111111111111111111111111111111111111111111111111111111111111*
|
||||
101111111111111111111111111111111111111111111111111111111111111111
|
||||
000000000000000000000000000000000000000000000000000000000000000000*
|
||||
L040344 0010*
|
||||
L040348 10100110011110*
|
||||
L040362 11011011111110*
|
||||
|
@ -818,27 +819,27 @@ L040544 11110111111111*
|
|||
L040558 11111111111111*
|
||||
NOTE BLOCK 6 *
|
||||
L040572
|
||||
111111111111111011111111101111111111111111111111111111111111111111
|
||||
111111111111111111111111111111111111111111101111111111101111111111
|
||||
111111111111111111111111111011111111111111111111111111111111111111
|
||||
111111101111111111111110111111111111111111111111111111111111111111
|
||||
111111111111111111111111111111111101111111111111101111111111111111
|
||||
110101111111111111111111111111111111111111111111111111111111111111
|
||||
111111111111111111111111111111111111111111111101111111111111111111
|
||||
111111111011111111101111111101111111111111111111111111111111111111
|
||||
101111111111111111110111111111010111111011111111111111111111111111*
|
||||
111111011111111111111111101111111111111111111110111111111111111111
|
||||
111111111011111111111011111111111111111111111111111111111111111111
|
||||
111111111111111111111111111111111111111111111111111111111011111111
|
||||
111111111111111111111110111111111111111111111011111111111111111111
|
||||
111111111110111111111111111111111101111111111111101111111111111111
|
||||
110101111111111011111111111111111111111111111111111111111111111111
|
||||
111111111111111111011111111111111111111111111111111111111111111111
|
||||
111111111111111111111111111001111111111011111111111111111111111111
|
||||
101111111111111111111111111111110111111111111111111111111111111111*
|
||||
L041166
|
||||
111111111111111111111111111111111111111111111111111111111111111111*
|
||||
L041232 111111110111111111111111111111111111111111111111111111111111111111*
|
||||
L041232 111111111111111111111111110111111111111111111111111111111111111111*
|
||||
L041298 000000000000000000000000000000000000000000000000000000000000000000*
|
||||
L041364 000000000000000000000000000000000000000000000000000000000000000000*
|
||||
L041430 000000000000000000000000000000000000000000000000000000000000000000*
|
||||
L041496 000000000000000000000000000000000000000000000000000000000000000000*
|
||||
L041562 111111111111111111110111111111111111111111111111111111111111111111*
|
||||
L041628 111111111111111111111111111111111111111111111111111111111111111111*
|
||||
L041694 111111111111111111111111111111111111111111111111111111111111111111*
|
||||
L041760 111111111111111111111111111111111111111111111111111111111111111111*
|
||||
L041826 111111111111111111111111111111111111111111111111111111111111111111*
|
||||
L041562 111111111111111111111111101111111111111111110111111111111011111111*
|
||||
L041628 111111110111111111111111111111111111111111111111111111111111111111*
|
||||
L041694 101111111111111111111111111111111111111111111111111111111111111111*
|
||||
L041760 111111111111111111111011111111111111111111110111111111111011111111*
|
||||
L041826 111111111111111111111111111111111111111111111111011111111011111111*
|
||||
L041892
|
||||
111111111111111111111111111111111111111111111111111111111111111111*
|
||||
L041958 111111111111111111111111111111111111111111111111111111111111111111*
|
||||
|
@ -853,16 +854,16 @@ L042486 111111111111111111111111111111111111111111111111111111111111111111*
|
|||
L042552 111111111111111111111111111111111111111111111111111111111111111111*
|
||||
L042618
|
||||
000000000000000000000000000000000000000000000000000000000000000000*
|
||||
L042684 111001111111111111111101111111110101110111111111111111111111111111*
|
||||
L042750 111010111111111111111110111111110101110111111111111111111111111111*
|
||||
L042816 111010111111111111111110111111111010110111111111111111111111111111*
|
||||
L042684 111001111111111111111101111111110101111111111111111111110111111111*
|
||||
L042750 111010111111111111111110111111110101111111111111111111110111111111*
|
||||
L042816 111010111111111111111110111111111010111111111111111111110111111111*
|
||||
L042882 000000000000000000000000000000000000000000000000000000000000000000*
|
||||
L042948 000000000000000000000000000000000000000000000000000000000000000000*
|
||||
L043014 111111111111110111111111111111111111110111111111111111111111111111*
|
||||
L043080 111111111111111111111111111111111111111111011111111111111111111111*
|
||||
L043014 111111111101111111111111111111111111111111111111111111110111111111*
|
||||
L043080 111111110111111111111111111111111111111111111111111111111111111111*
|
||||
L043146 101111111111111111111111111111111111111111111111111111111111111111*
|
||||
L043212 111111011111111111111111011111111111111111111111111111011111111111*
|
||||
L043278 111111011111111111111111111111111111110111111111111111111111111111*
|
||||
L043212 111111111111111111110111011111111111111111110111111111111111111111*
|
||||
L043278 111111111111111111111111111111111111111111110111111111110111111111*
|
||||
L043344
|
||||
000000000000000000000000000000000000000000000000000000000000000000*
|
||||
L043410 111111111111111111111111111111111111111111111111111111111111111111*
|
||||
|
@ -877,15 +878,15 @@ L043938 111111111111111111111111111111111111111111111111111111111111111111*
|
|||
L044004 111111111111111111111111111111111111111111111111111111111111111111*
|
||||
L044070
|
||||
000000000000000000000000000000000000000000000000000000000000000000*
|
||||
L044136 111111011111111111111111101111111111111011111111111111111111111111*
|
||||
L044202 111111111111111111111111111111111111111111011111111111111111111111*
|
||||
L044268 101111111111111111111111111111111111111111111111111111111111111111*
|
||||
L044334 111111011111111111111111111111111111111011111111111111101111111111*
|
||||
L044400 111111111111111111111111110111111111111011111111111111111111111111*
|
||||
L044466 111111111111111111101111111111111111111111111111111111101111111111*
|
||||
L044532 111111111111111111111111111111111111111111011111111111111111111111*
|
||||
L044136 111111011111111111111111111111111111111111111111111111111111111111*
|
||||
L044202 111111111111111111111111111111111111111111111111111111111111111111*
|
||||
L044268 111111111111111111111111111111111111111111111111111111111111111111*
|
||||
L044334 111111111111111111111111111111111111111111111111111111111111111111*
|
||||
L044400 111111111111111111111111111111111111111111111111111111111111111111*
|
||||
L044466 111111111111111111111011111111111111111011111111111111111111111111*
|
||||
L044532 111111110111111111111111111111111111111111111111111111111111111111*
|
||||
L044598 101111111111111111111111111111111111111111111111111111111111111111*
|
||||
L044664 111101011111111111111101111110111010110111111111101111111111111111*
|
||||
L044664 111101111111111011111101111110111010111111110111111111110111111111*
|
||||
L044730 000000000000000000000000000000000000000000000000000000000000000000*
|
||||
L044796
|
||||
000000000000000000000000000000000000000000000000000000000000000000*
|
||||
|
@ -901,15 +902,15 @@ L045390 111111111111111111111111111111111111111111111111111111111111111111*
|
|||
L045456 111111111111111111111111111111111111111111111111111111111111111111*
|
||||
L045522
|
||||
000000000000000000000000000000000000000000000000000000000000000000*
|
||||
L045588 111111111111110111111111111111111111111011111111111111111111111111*
|
||||
L045654 111111111111111111111111111111111111111111011111111111111111111111*
|
||||
L045588 110111111111111111111111111111111111111111111101111111111111111111*
|
||||
L045654 111111110111111111111111111111111111111111111111111111111111111111*
|
||||
L045720 101111111111111111111111111111111111111111111111111111111111111111*
|
||||
L045786 111111111111111111111111111111011111111011111111111111111111111111*
|
||||
L045852 000000000000000000000000000000000000000000000000000000000000000000*
|
||||
L045918 111111111111111111101111101111111111111111111111111111111111111111*
|
||||
L045984 111111111111111111111111111111111111111111011111111111111111111111*
|
||||
L045786 111111111111111111111111111011111111111111111101111111111111111111*
|
||||
L045852 111111111111111111111111111111111111111111111111011111110111111111*
|
||||
L045918 111111111111111111111111101111111111111011111111111111111111111111*
|
||||
L045984 111111110111111111111111111111111111111111111111111111111111111111*
|
||||
L046050 101111111111111111111111111111111111111111111111111111111111111111*
|
||||
L046116 111111011111111111111111111111111111110111111110011111111111111111*
|
||||
L046116 111111111111110111101111111111111111111111110111111111110111111111*
|
||||
L046182 000000000000000000000000000000000000000000000000000000000000000000*
|
||||
L046248
|
||||
000000000000000000000000000000000000000000000000000000000000000000*
|
||||
|
@ -928,14 +929,14 @@ L046974
|
|||
000000000000000000000000000000000000000000000000000000000000000000*
|
||||
L047106 0010*
|
||||
L047110 00100110010000*
|
||||
L047124 00010110011110*
|
||||
L047124 10101110001110*
|
||||
L047138 11011111110100*
|
||||
L047152 11111011111111*
|
||||
L047166 10100111011000*
|
||||
L047180 10101110000010*
|
||||
L047194 11011111110001*
|
||||
L047208 11111011110011*
|
||||
L047222 10101110000000*
|
||||
L047222 00110110010000*
|
||||
L047236 11101100000010*
|
||||
L047250 11011111110000*
|
||||
L047264 11110011110011*
|
||||
|
@ -945,15 +946,15 @@ L047306 11011011110001*
|
|||
L047320 11110111111110*
|
||||
NOTE BLOCK 7 *
|
||||
L047334
|
||||
111111111111111111111111111101111111111110111111111111111111111111
|
||||
111111111111111111111111111111011111111111101111111111111111111111
|
||||
111111111111101111111111111011111111111111111111111111111111111111
|
||||
111111111111111011111111111111111111111110111111111111111111111111
|
||||
111111111001111111111111111111111111111111111111111111111111111111
|
||||
111111111111101111111111111111111111111111111111111111111011111111
|
||||
111011111111111110111111111111111111111111111111111111111111111111
|
||||
111111111111111111111111111111111111111111111111111111111111111111
|
||||
111111111111111111111111011111111111011011111111111111111101111111
|
||||
111111101110111111111101111111111101111111111111111111111111111111
|
||||
111111111111111011101111111111111111111111111110011111101111111111
|
||||
101111111011111111111011111111111111111111111111111111111111101111*
|
||||
111111111111111111111111011111111111011111111111111111111101111111
|
||||
111111101111111111111101111111111101111111111111111111101111111111
|
||||
111111111111111111101111111011111111111111101110011111111111111111
|
||||
101111111111111111110111111110111111111011111111111111111111101111*
|
||||
L047928
|
||||
000000000000000000000000000000000000000000000000000000000000000000*
|
||||
L047994 110111111111011101101110101111111110011101111111111111111111111111*
|
||||
|
@ -961,16 +962,16 @@ L048060 111111111111111111101111111111111111111110111111111111111111101111*
|
|||
L048126 000000000000000000000000000000000000000000000000000000000000000000*
|
||||
L048192 000000000000000000000000000000000000000000000000000000000000000000*
|
||||
L048258 000000000000000000000000000000000000000000000000000000000000000000*
|
||||
L048324 111111111111111111111111111101111111111111111111111111111111111111*
|
||||
L048390 111111111111111111111111111111111111111111011111111111111111111111*
|
||||
L048456 000000000000000000000000000000000000000000000000000000000000000000*
|
||||
L048522 000000000000000000000000000000000000000000000000000000000000000000*
|
||||
L048324 111111111111111111011111111111111111111111111111111111111111111111*
|
||||
L048390 111111111110111111111111111111111111111101111111111111111111111111*
|
||||
L048456 110111111111011101111110101111111110011101111111111111111111111111*
|
||||
L048522 111111111111111111111111111111111111110110111111111111111111111111*
|
||||
L048588 000000000000000000000000000000000000000000000000000000000000000000*
|
||||
L048654
|
||||
000000000000000000000000000000000000000000000000000000000000000000*
|
||||
L048720 111111110111111111110111111111111111111111111111111111111111111111*
|
||||
L048786 111111111111111111110111110111111111111111111111111111111111111111*
|
||||
L048852 111111110111111111111111111011111111111111111111111111101111111111*
|
||||
L048720 111111111111110111111111110111111111111111111111111111111110111111*
|
||||
L048786 111111111111111111111111111101111111111111111111101111111111111111*
|
||||
L048852 111111111111111111111111111101111111111111111111111111111011111111*
|
||||
L048918 000000000000000000000000000000000000000000000000000000000000000000*
|
||||
L048984 000000000000000000000000000000000000000000000000000000000000000000*
|
||||
L049050 111111111111111111111111111111111111111111111111111111111111111111*
|
||||
|
@ -981,19 +982,19 @@ L049314 111111111111111111111111111111111111111111111111111111111111111111*
|
|||
L049380
|
||||
111111111111111111111111111111111111111111111111111111111111111111*
|
||||
L049446 111111111111111111111111111111111111011111111101111111111111111111*
|
||||
L049512 111111111111111111110111111111111111011111111111111111111110111111*
|
||||
L049512 111111111111111111111111111111111111011111111111111111110110111111*
|
||||
L049578 000000000000000000000000000000000000000000000000000000000000000000*
|
||||
L049644 000000000000000000000000000000000000000000000000000000000000000000*
|
||||
L049710 000000000000000000000000000000000000000000000000000000000000000000*
|
||||
L049776 111111111111110111111111111111111111111111111111111111101111111111*
|
||||
L049842 111111111111111111111111111111111111111111011111111111111111111111*
|
||||
L049776 111111111111111111111111111011111111111111011111111111111111111111*
|
||||
L049842 111111110111111111111111111111111111111111111111111111111111111111*
|
||||
L049908 000000000000000000000000000000000000000000000000000000000000000000*
|
||||
L049974 111111111111111011111111111111111111111111111111111111011111111111*
|
||||
L049974 111111111111111111111111110111111111111111101111111111111111111111*
|
||||
L050040 000000000000000000000000000000000000000000000000000000000000000000*
|
||||
L050106
|
||||
000000000000000000000000000000000000000000000000000000000000000000*
|
||||
L050172 111111111111111011111111111111111111111111111111111111111111111111*
|
||||
L050238 111111111111111111111111111111111111111111011111111111111111111111*
|
||||
L050172 111111111111111111111111111111111111111111101111111111111111111111*
|
||||
L050238 111111110111111111111111111111111111111111111111111111111111111111*
|
||||
L050304 000000000000000000000000000000000000000000000000000000000000000000*
|
||||
L050370 111111111111111111111111111111111111111111111111111111111111111111*
|
||||
L050436 111111111111111111111111111111111111111111111111111111111111111111*
|
||||
|
@ -1003,19 +1004,19 @@ L050634 111111111111111111111111111111111111111111111111111111111111111111*
|
|||
L050700 111111111111111111111111111111111111111111111111111111111111111111*
|
||||
L050766 111111111111111111111111111111111111111111111111111111111111111111*
|
||||
L050832
|
||||
111111111111111111111111111111101111111111111111111111111111111111*
|
||||
L050898 111111110111111111111011111111111111111111111111111111011111111111*
|
||||
L050964 111111101111111111101111111111111111111111111111111111111111111111*
|
||||
111111111101111111111111111111111111111111111111111111111111111111*
|
||||
L050898 111111101111111111101111111111111111111111111111111111111111111111*
|
||||
L050964 111111111111110111111111110111111111111111111111111111111110111111*
|
||||
L051030 000000000000000000000000000000000000000000000000000000000000000000*
|
||||
L051096 000000000000000000000000000000000000000000000000000000000000000000*
|
||||
L051162 000000000000000000000000000000000000000000000000000000000000000000*
|
||||
L051228 111111111101111111110111111111111111111111111111111111111111111111*
|
||||
L051294 111111111111111111110111111111111111110111111111011111111111111111*
|
||||
L051228 111111111111111111111111111111111111111111111111111111010111111111*
|
||||
L051294 111111111111111111111111111101111111111111111111011111110111111111*
|
||||
L051360 000000000000000000000000000000000000000000000000000000000000000000*
|
||||
L051426 000000000000000000000000000000000000000000000000000000000000000000*
|
||||
L051492 000000000000000000000000000000000000000000000000000000000000000000*
|
||||
L051558
|
||||
111111111111111111111111111111101111111111111111111111111111111111*
|
||||
111111111101111111111111111111111111111111111111111111111111111111*
|
||||
L051624 111111111111111111111111111111111111111111111111111111111111111111*
|
||||
L051690 111111111111111111111111111111111111111111111111111111111111111111*
|
||||
L051756 111111111111111111111111111111111111111111111111111111111111111111*
|
||||
|
@ -1033,11 +1034,11 @@ L052416 111111111111111111111111111111111111111111111111111111111111111111*
|
|||
L052482 111111111111111111111111111111111111111111111111111111111111111111*
|
||||
L052548 111111111111111111111111111111111111111111111111111111111111111111*
|
||||
L052614 111111111111111111111111111111111111111111111111111111111111111111*
|
||||
L052680 111111110111111111111011111111111111111111111111111111011111111111*
|
||||
L052746 111111111111111111111111111111111111110111111111101111111111111111*
|
||||
L052812 111111111111111111111011111111111111110111111111111111111111111111*
|
||||
L052878 000000000000000000000000000000000000000000000000000000000000000000*
|
||||
L052944 000000000000000000000000000000000000000000000000000000000000000000*
|
||||
L052680 111111111111111111110111111111111111111111111111111111111111111111*
|
||||
L052746 111111110111111111111111111111111111111111111111111111111111111111*
|
||||
L052812 000000000000000000000000000000000000000000000000000000000000000000*
|
||||
L052878 111111111111111111111111111111111111111111111111111111111111111111*
|
||||
L052944 111111111111111111111111111111111111111111111111111111111111111111*
|
||||
L053010
|
||||
111111111111111111111111111111111111111111111111111111111111111111*
|
||||
L053076 111111111111111111111111111111111111111111111111111111111111111111*
|
||||
|
@ -1055,7 +1056,7 @@ L053736
|
|||
101111111111111111111111111111111111111111111111111111111111111111*
|
||||
L053868 0010*
|
||||
L053872 11100110011000*
|
||||
L053886 00101110000010*
|
||||
L053886 10100110010010*
|
||||
L053900 10100100010000*
|
||||
L053914 11100011110011*
|
||||
L053928 10100110010001*
|
||||
|
@ -1067,7 +1068,7 @@ L053998 10100110010011*
|
|||
L054012 11011011110100*
|
||||
L054026 11111111111110*
|
||||
L054040 00110011111000*
|
||||
L054054 10100100010011*
|
||||
L054054 00001110000011*
|
||||
L054068 11011011110100*
|
||||
L054082 11111111111110*
|
||||
E1
|
||||
|
@ -1089,6 +1090,6 @@ E1
|
|||
00000000
|
||||
1
|
||||
*
|
||||
CDE1F*
|
||||
CF4AD*
|
||||
U00000000000000000000000000000000*
|
||||
A145
|
||||
A5B2
|
||||
|
|
|
@ -16,8 +16,8 @@ RCS = "$Revision: 1.2 $";
|
|||
Parent = m4a5.lci;
|
||||
SDS_File = m4a5.sds;
|
||||
Design = 68030_tk.tt4;
|
||||
DATE = 5/15/14;
|
||||
TIME = 23:02:50;
|
||||
DATE = 5/16/14;
|
||||
TIME = 17:07:12;
|
||||
Source_Format = Pure_VHDL;
|
||||
Type = TT2;
|
||||
Pre_Fit_Time = 1;
|
||||
|
@ -76,31 +76,27 @@ Usercode_Format = Hex;
|
|||
|
||||
[LOCATION ASSIGNMENTS]
|
||||
Layer = OFF;
|
||||
A_21_ = pin,94,-,A,-;
|
||||
A_20_ = pin,93,-,A,-;
|
||||
SIZE_1_ = pin,79,-,H,-;
|
||||
A_19_ = pin,97,-,A,-;
|
||||
A_18_ = pin,95,-,A,-;
|
||||
A_31_ = pin,4,-,B,-;
|
||||
A_17_ = pin,59,-,F,-;
|
||||
A_16_ = pin,96,-,A,-;
|
||||
SIZE_1_ = pin,79,-,H,-;
|
||||
A_31_ = pin,4,-,B,-;
|
||||
IPL_2_ = pin,68,-,G,-;
|
||||
FC_1_ = pin,58,-,F,-;
|
||||
AS_030 = pin,82,-,H,-;
|
||||
DS_030 = pin,98,-,A,-;
|
||||
CPU_SPACE = pin,14,-,-,-;
|
||||
A_0_ = pin,69,-,G,-;
|
||||
nEXP_SPACE = pin,14,-,-,-;
|
||||
BERR = pin,41,-,E,-;
|
||||
BG_030 = pin,21,-,C,-;
|
||||
A_0_ = pin,69,-,G,-;
|
||||
BGACK_000 = pin,28,-,D,-;
|
||||
CLK_030 = pin,64,-,-,-;
|
||||
IPL_1_ = pin,56,-,F,-;
|
||||
CLK_000 = pin,11,-,-,-;
|
||||
IPL_0_ = pin,67,-,G,-;
|
||||
CLK_OSZI = pin,61,-,-,-;
|
||||
DSACK_0_ = pin,80,-,H,-;
|
||||
CLK_DIV_OUT = pin,65,-,G,-;
|
||||
BGACK_000 = pin,28,-,D,-;
|
||||
FC_0_ = pin,57,-,F,-;
|
||||
CLK_030 = pin,64,-,-,-;
|
||||
CLK_000 = pin,11,-,-,-;
|
||||
CLK_OSZI = pin,61,-,-,-;
|
||||
CLK_DIV_OUT = pin,65,-,G,-;
|
||||
AVEC = pin,92,-,A,-;
|
||||
AVEC_EXP = pin,22,-,C,-;
|
||||
VPA = pin,36,-,-,-;
|
||||
|
@ -120,15 +116,19 @@ A_25_ = pin,18,-,C,-;
|
|||
A_24_ = pin,19,-,C,-;
|
||||
A_23_ = pin,84,-,H,-;
|
||||
A_22_ = pin,85,-,H,-;
|
||||
A_21_ = pin,94,-,A,-;
|
||||
A_20_ = pin,93,-,A,-;
|
||||
A_19_ = pin,97,-,A,-;
|
||||
A_18_ = pin,95,-,A,-;
|
||||
IPL_030_2_ = pin,9,-,B,-;
|
||||
DSACK_1_ = pin,81,-,H,-;
|
||||
AS_000 = pin,33,-,D,-;
|
||||
UDS_000 = pin,32,-,D,-;
|
||||
LDS_000 = pin,31,-,D,-;
|
||||
BG_000 = pin,29,-,D,-;
|
||||
BGACK_030 = pin,83,-,H,-;
|
||||
IPL_030_1_ = pin,7,-,B,-;
|
||||
IPL_030_0_ = pin,8,-,B,-;
|
||||
BG_000 = pin,29,-,D,-;
|
||||
BGACK_030 = pin,83,-,H,-;
|
||||
CLK_EXP = pin,10,-,B,-;
|
||||
FPU_CS = pin,78,-,H,-;
|
||||
DTACK = pin,30,-,D,-;
|
||||
|
@ -137,23 +137,23 @@ VMA = pin,35,-,D,-;
|
|||
RESET = pin,3,-,B,-;
|
||||
cpu_est_0_ = node,-,-,D,14;
|
||||
cpu_est_1_ = node,-,-,D,2;
|
||||
inst_AS_030_000_SYNC = node,-,-,F,0;
|
||||
inst_AS_030_000_SYNC = node,-,-,H,1;
|
||||
inst_DTACK_SYNC = node,-,-,G,13;
|
||||
inst_VPA_D = node,-,-,G,1;
|
||||
inst_VPA_D = node,-,-,H,13;
|
||||
inst_VPA_SYNC = node,-,-,G,9;
|
||||
inst_CLK_000_D = node,-,-,H,1;
|
||||
inst_CLK_000_DD = node,-,-,D,13;
|
||||
inst_CLK_000_D0 = node,-,-,G,8;
|
||||
inst_CLK_000_D1 = node,-,-,D,13;
|
||||
inst_CLK_OUT_PRE = node,-,-,H,5;
|
||||
cpu_est_2_ = node,-,-,D,10;
|
||||
CLK_CNT_0_ = node,-,-,H,6;
|
||||
SM_AMIGA_6_ = node,-,-,D,6;
|
||||
SM_AMIGA_7_ = node,-,-,H,9;
|
||||
SM_AMIGA_1_ = node,-,-,H,2;
|
||||
SM_AMIGA_4_ = node,-,-,G,12;
|
||||
SM_AMIGA_1_ = node,-,-,G,12;
|
||||
SM_AMIGA_4_ = node,-,-,F,0;
|
||||
SM_AMIGA_3_ = node,-,-,G,5;
|
||||
SM_AMIGA_5_ = node,-,-,A,0;
|
||||
SM_AMIGA_2_ = node,-,-,G,8;
|
||||
SM_AMIGA_0_ = node,-,-,H,13;
|
||||
SM_AMIGA_2_ = node,-,-,G,1;
|
||||
SM_AMIGA_0_ = node,-,-,H,2;
|
||||
|
||||
[GROUP ASSIGNMENTS]
|
||||
Layer = OFF;
|
||||
|
|
1843
Logic/68030_tk.out
1843
Logic/68030_tk.out
File diff suppressed because it is too large
Load Diff
|
@ -8,34 +8,30 @@
|
|||
; Source file 68030_tk.tt4
|
||||
; FITTER-generated Placements.
|
||||
; DEVICE mach447a
|
||||
; DATE Thu May 15 23:02:50 2014
|
||||
; DATE Fri May 16 17:07:12 2014
|
||||
|
||||
|
||||
Pin 94 A_21_
|
||||
Pin 93 A_20_
|
||||
Pin 79 SIZE_1_
|
||||
Pin 97 A_19_
|
||||
Pin 95 A_18_
|
||||
Pin 4 A_31_
|
||||
Pin 59 A_17_
|
||||
Pin 96 A_16_
|
||||
Pin 79 SIZE_1_
|
||||
Pin 4 A_31_
|
||||
Pin 68 IPL_2_
|
||||
Pin 58 FC_1_
|
||||
Pin 82 AS_030
|
||||
Pin 98 DS_030
|
||||
Pin 14 CPU_SPACE
|
||||
Pin 69 A_0_
|
||||
Pin 14 nEXP_SPACE
|
||||
Pin 41 BERR Comb ; S6=1 S9=1 Pair 203
|
||||
Pin 21 BG_030
|
||||
Pin 69 A_0_
|
||||
Pin 28 BGACK_000
|
||||
Pin 64 CLK_030
|
||||
Pin 56 IPL_1_
|
||||
Pin 11 CLK_000
|
||||
Pin 67 IPL_0_
|
||||
Pin 61 CLK_OSZI
|
||||
Pin 80 DSACK_0_ Comb ; S6=1 S9=1 Pair 287
|
||||
Pin 65 CLK_DIV_OUT Reg ; S6=1 S9=1 Pair 245
|
||||
Pin 28 BGACK_000
|
||||
Pin 57 FC_0_
|
||||
Pin 64 CLK_030
|
||||
Pin 11 CLK_000
|
||||
Pin 61 CLK_OSZI
|
||||
Pin 65 CLK_DIV_OUT Reg ; S6=1 S9=1 Pair 245
|
||||
Pin 92 AVEC Comb ; S6=1 S9=1 Pair 107
|
||||
Pin 22 AVEC_EXP Comb ; S6=1 S9=1 Pair 149
|
||||
Pin 36 VPA
|
||||
|
@ -55,15 +51,19 @@ Pin 18 A_25_
|
|||
Pin 19 A_24_
|
||||
Pin 84 A_23_
|
||||
Pin 85 A_22_
|
||||
Pin 94 A_21_
|
||||
Pin 93 A_20_
|
||||
Pin 97 A_19_
|
||||
Pin 95 A_18_
|
||||
Pin 9 IPL_030_2_ Reg ; S6=1 S9=1 Pair 131
|
||||
Pin 81 DSACK_1_ Reg ; S6=1 S9=1 Pair 281
|
||||
Pin 33 AS_000 Reg ; S6=1 S9=1 Pair 181
|
||||
Pin 32 UDS_000 Reg ; S6=1 S9=1 Pair 191
|
||||
Pin 31 LDS_000 Reg ; S6=1 S9=1 Pair 185
|
||||
Pin 29 BG_000 Reg ; S6=1 S9=1 Pair 175
|
||||
Pin 83 BGACK_030 Reg ; S6=1 S9=1 Pair 275
|
||||
Pin 7 IPL_030_1_ Reg ; S6=1 S9=1 Pair 143
|
||||
Pin 8 IPL_030_0_ Reg ; S6=1 S9=1 Pair 137
|
||||
Pin 29 BG_000 Reg ; S6=1 S9=1 Pair 175
|
||||
Pin 83 BGACK_030 Reg ; S6=1 S9=1 Pair 275
|
||||
Pin 10 CLK_EXP Reg ; S6=1 S9=0 Pair 125
|
||||
Pin 78 FPU_CS Reg ; S6=1 S9=1 Pair 269
|
||||
Pin 30 DTACK Reg ; S6=1 S9=1 Pair 173
|
||||
|
@ -75,32 +75,32 @@ Node 281 RN_DSACK_1_ Reg ; S6=1 S9=1
|
|||
Node 181 RN_AS_000 Reg ; S6=1 S9=1
|
||||
Node 191 RN_UDS_000 Reg ; S6=1 S9=1
|
||||
Node 185 RN_LDS_000 Reg ; S6=1 S9=1
|
||||
Node 175 RN_BG_000 Reg ; S6=1 S9=1
|
||||
Node 275 RN_BGACK_030 Reg ; S6=1 S9=1
|
||||
Node 143 RN_IPL_030_1_ Reg ; S6=1 S9=1
|
||||
Node 137 RN_IPL_030_0_ Reg ; S6=1 S9=1
|
||||
Node 175 RN_BG_000 Reg ; S6=1 S9=1
|
||||
Node 275 RN_BGACK_030 Reg ; S6=1 S9=1
|
||||
Node 269 RN_FPU_CS Reg ; S6=1 S9=1
|
||||
Node 173 RN_DTACK Reg ; S6=1 S9=1
|
||||
Node 251 RN_E Reg ; S6=1 S9=1
|
||||
Node 179 RN_VMA Reg ; S6=1 S9=1
|
||||
Node 194 cpu_est_0_ Reg ; S6=1 S9=0
|
||||
Node 176 cpu_est_1_ Reg ; S6=1 S9=0
|
||||
Node 221 inst_AS_030_000_SYNC Reg ; S6=1 S9=1
|
||||
Node 271 inst_AS_030_000_SYNC Reg ; S6=1 S9=1
|
||||
Node 265 inst_DTACK_SYNC Reg ; S6=0 S9=0
|
||||
Node 247 inst_VPA_D Reg ; S6=1 S9=1
|
||||
Node 289 inst_VPA_D Reg ; S6=1 S9=0
|
||||
Node 259 inst_VPA_SYNC Reg ; S6=0 S9=0
|
||||
Node 271 inst_CLK_000_D Reg ; S6=1 S9=0
|
||||
Node 193 inst_CLK_000_DD Reg ; S6=1 S9=0
|
||||
Node 257 inst_CLK_000_D0 Reg ; S6=1 S9=1
|
||||
Node 193 inst_CLK_000_D1 Reg ; S6=1 S9=0
|
||||
Node 277 inst_CLK_OUT_PRE Reg ; S6=1 S9=0
|
||||
Node 188 cpu_est_2_ Reg ; S6=1 S9=0
|
||||
Node 278 CLK_CNT_0_ Reg ; S6=1 S9=0
|
||||
Node 182 SM_AMIGA_6_ Reg ; S6=0 S9=1
|
||||
Node 283 SM_AMIGA_7_ Reg ; S6=1 S9=1
|
||||
Node 272 SM_AMIGA_1_ Reg ; S6=0 S9=1
|
||||
Node 263 SM_AMIGA_4_ Reg ; S6=1 S9=0
|
||||
Node 263 SM_AMIGA_1_ Reg ; S6=1 S9=0
|
||||
Node 221 SM_AMIGA_4_ Reg ; S6=1 S9=1
|
||||
Node 253 SM_AMIGA_3_ Reg ; S6=1 S9=0
|
||||
Node 101 SM_AMIGA_5_ Reg ; S6=1 S9=1
|
||||
Node 257 SM_AMIGA_2_ Reg ; S6=1 S9=0
|
||||
Node 289 SM_AMIGA_0_ Reg ; S6=0 S9=1
|
||||
Node 247 SM_AMIGA_2_ Reg ; S6=1 S9=0
|
||||
Node 272 SM_AMIGA_0_ Reg ; S6=0 S9=1
|
||||
; Unused Pins & Nodes
|
||||
; -> None Found.
|
||||
|
|
|
@ -5,8 +5,8 @@
|
|||
|--------------------------------------------|
|
||||
|
||||
|
||||
Start: Thu May 15 23:02:49 2014
|
||||
End : Thu May 15 23:02:50 2014 $$$ Elapsed time: 00:00:01
|
||||
Start: Fri May 16 17:07:12 2014
|
||||
End : Fri May 16 17:07:12 2014 $$$ Elapsed time: 00:00:00
|
||||
===========================================================================
|
||||
Part [C:/Program Files (x86)/ispLever/ispcpld/dat/mach4a/mach447a] Design [68030_tk.tt4]
|
||||
|
||||
|
@ -26,11 +26,11 @@ _|____|____|____|_______________|____|_____________|___|________________
|
|||
2 | 16 | 2 | 2 => 100% | 8 | 8 => 100% | 33 | 1 => 3%
|
||||
3 | 16 | 12 | 12 => 100% | 8 | 8 => 100% | 33 | 29 => 87%
|
||||
4 | 16 | 3 | 3 => 100% | 8 | 3 => 37% | 33 | 14 => 42%
|
||||
5 | 16 | 1 | 1 => 100% | 8 | 4 => 50% | 33 | 12 => 36%
|
||||
5 | 16 | 1 | 1 => 100% | 8 | 4 => 50% | 33 | 5 => 15%
|
||||
6 | 16 | 8 | 8 => 100% | 8 | 7 => 87% | 33 | 20 => 60%
|
||||
7 | 16 | 10 | 10 => 100% | 8 | 8 => 100% | 33 | 25 => 75%
|
||||
---|----|----|------------|-------|------------|-----|------------------
|
||||
| Avg number of array inputs in used blocks : 14.88 => 45%
|
||||
| Avg number of array inputs in used blocks : 14.00 => 42%
|
||||
|
||||
* Input/Clock Signal count: 35 -> placed: 35 = 100%
|
||||
|
||||
|
@ -62,14 +62,14 @@ ___|__|__|____|____________________________________________________________
|
|||
3| 2|OUT| 20|=> ....|....| AMIGA_BUS_ENABLE_LOW
|
||||
4| 3| IO| 33|=> ....|....| AS_000
|
||||
|=> Paired w/: RN_AS_000
|
||||
5| 7|INP| 82|=> ...3|.567| AS_030
|
||||
5| 7|INP| 82|=> ...3|..67| AS_030
|
||||
6| 0|OUT| 92|=> ....|....| AVEC
|
||||
7| 2|OUT| 22|=> ....|....| AVEC_EXP
|
||||
8| 6|INP| 69|=> ...3|....| A_0_
|
||||
9| 0|INP| 96|=> ....|.5.7| A_16_
|
||||
10| 5|INP| 59|=> ....|.5.7| A_17_
|
||||
11| 0|INP| 95|=> ....|.5.7| A_18_
|
||||
12| 0|INP| 97|=> ....|.5.7| A_19_
|
||||
9| 0|INP| 96|=> ....|...7| A_16_
|
||||
10| 5|INP| 59|=> ....|...7| A_17_
|
||||
11| 0|INP| 95|=> ....|...7| A_18_
|
||||
12| 0|INP| 97|=> ....|...7| A_19_
|
||||
13| 0|INP| 93|=> ....|4...| A_20_
|
||||
14| 0|INP| 94|=> ....|4...| A_21_
|
||||
15| 7|INP| 85|=> ....|4...| A_22_
|
||||
|
@ -83,94 +83,94 @@ ___|__|__|____|____________________________________________________________
|
|||
23| 1|INP| 5|=> ....|4...| A_30_
|
||||
24| 1|INP| 4|=> ....|4...| A_31_
|
||||
25| 4|OUT| 41|=> ....|....| BERR
|
||||
26| 3|INP| 28|=> ....|.5.7| BGACK_000
|
||||
26| 3|INP| 28|=> ....|...7| BGACK_000
|
||||
27| 7| IO| 83|=> ....|....| BGACK_030
|
||||
|=> Paired w/: RN_BGACK_030
|
||||
28| 3| IO| 29|=> ....|....| BG_000
|
||||
|=> Paired w/: RN_BG_000
|
||||
29| 2|INP| 21|=> ...3|....| BG_030
|
||||
30| 4|OUT| 47|=> ....|....| CIIN
|
||||
31| +|INP| 11|=> ....|...7| CLK_000
|
||||
32| +|INP| 64|=> ...3|.5.7| CLK_030
|
||||
31| +|INP| 11|=> ....|..6.| CLK_000
|
||||
32| +|INP| 64|=> ...3|...7| CLK_030
|
||||
33| 7|NOD| . |=> ....|...7| CLK_CNT_0_
|
||||
34| 6|OUT| 65|=> ....|....| CLK_DIV_OUT
|
||||
35| 1|OUT| 10|=> ....|....| CLK_EXP
|
||||
36| +|Cin| 61|=> 01.3|..67| CLK_OSZI
|
||||
37| +|INP| 14|=> ...3|.5.7| CPU_SPACE
|
||||
38| 7|OUT| 80|=> ....|....| DSACK_0_
|
||||
39| 7| IO| 81|=> ...3|....| DSACK_1_
|
||||
36| +|Cin| 61|=> 01.3|.567| CLK_OSZI
|
||||
37| 7|OUT| 80|=> ....|....| DSACK_0_
|
||||
38| 7| IO| 81|=> ...3|....| DSACK_1_
|
||||
|=> Paired w/: RN_DSACK_1_
|
||||
40| 0|INP| 98|=> ...3|....| DS_030
|
||||
41| 3| IO| 30|=> ....|..6.| DTACK
|
||||
42| 6| IO| 66|=> ....|....| E
|
||||
39| 0|INP| 98|=> ...3|....| DS_030
|
||||
40| 3| IO| 30|=> ....|..6.| DTACK
|
||||
41| 6| IO| 66|=> ....|....| E
|
||||
|=> Paired w/: RN_E
|
||||
43| 5|INP| 57|=> ....|.5.7| FC_0_
|
||||
44| 5|INP| 58|=> ....|.5.7| FC_1_
|
||||
45| 7| IO| 78|=> ....|....| FPU_CS
|
||||
42| 5|INP| 57|=> ....|...7| FC_0_
|
||||
43| 5|INP| 58|=> ....|...7| FC_1_
|
||||
44| 7| IO| 78|=> ....|....| FPU_CS
|
||||
|=> Paired w/: RN_FPU_CS
|
||||
46| 1| IO| 8|=> ....|....| IPL_030_0_
|
||||
45| 1| IO| 8|=> ....|....| IPL_030_0_
|
||||
|=> Paired w/: RN_IPL_030_0_
|
||||
47| 1| IO| 7|=> ....|....| IPL_030_1_
|
||||
46| 1| IO| 7|=> ....|....| IPL_030_1_
|
||||
|=> Paired w/: RN_IPL_030_1_
|
||||
48| 1| IO| 9|=> ....|....| IPL_030_2_
|
||||
47| 1| IO| 9|=> ....|....| IPL_030_2_
|
||||
|=> Paired w/: RN_IPL_030_2_
|
||||
49| 6|INP| 67|=> .1..|....| IPL_0_
|
||||
50| 5|INP| 56|=> .1..|....| IPL_1_
|
||||
51| 6|INP| 68|=> .1..|....| IPL_2_
|
||||
52| 3| IO| 31|=> ....|....| LDS_000
|
||||
48| 6|INP| 67|=> .1..|....| IPL_0_
|
||||
49| 5|INP| 56|=> .1..|....| IPL_1_
|
||||
50| 6|INP| 68|=> .1..|....| IPL_2_
|
||||
51| 3| IO| 31|=> ....|....| LDS_000
|
||||
|=> Paired w/: RN_LDS_000
|
||||
53| 1|OUT| 3|=> ....|....| RESET
|
||||
54| 3|NOD| . |=> ...3|...7| RN_AS_000
|
||||
52| 1|OUT| 3|=> ....|....| RESET
|
||||
53| 3|NOD| . |=> ...3|...7| RN_AS_000
|
||||
|=> Paired w/: AS_000
|
||||
55| 7|NOD| . |=> ...3|...7| RN_BGACK_030
|
||||
54| 7|NOD| . |=> ...3|...7| RN_BGACK_030
|
||||
|=> Paired w/: BGACK_030
|
||||
56| 3|NOD| . |=> ...3|....| RN_BG_000
|
||||
55| 3|NOD| . |=> ...3|....| RN_BG_000
|
||||
|=> Paired w/: BG_000
|
||||
57| 7|NOD| . |=> ....|...7| RN_DSACK_1_
|
||||
56| 7|NOD| . |=> ....|...7| RN_DSACK_1_
|
||||
|=> Paired w/: DSACK_1_
|
||||
58| 6|NOD| . |=> ...3|..6.| RN_E
|
||||
57| 6|NOD| . |=> ...3|..6.| RN_E
|
||||
|=> Paired w/: E
|
||||
59| 7|NOD| . |=> ..2.|4..7| RN_FPU_CS
|
||||
58| 7|NOD| . |=> ..2.|4..7| RN_FPU_CS
|
||||
|=> Paired w/: FPU_CS
|
||||
60| 1|NOD| . |=> .1..|....| RN_IPL_030_0_
|
||||
59| 1|NOD| . |=> .1..|....| RN_IPL_030_0_
|
||||
|=> Paired w/: IPL_030_0_
|
||||
61| 1|NOD| . |=> .1..|....| RN_IPL_030_1_
|
||||
60| 1|NOD| . |=> .1..|....| RN_IPL_030_1_
|
||||
|=> Paired w/: IPL_030_1_
|
||||
62| 1|NOD| . |=> .1..|....| RN_IPL_030_2_
|
||||
61| 1|NOD| . |=> .1..|....| RN_IPL_030_2_
|
||||
|=> Paired w/: IPL_030_2_
|
||||
63| 3|NOD| . |=> ...3|....| RN_LDS_000
|
||||
62| 3|NOD| . |=> ...3|....| RN_LDS_000
|
||||
|=> Paired w/: LDS_000
|
||||
64| 3|NOD| . |=> ...3|....| RN_UDS_000
|
||||
63| 3|NOD| . |=> ...3|....| RN_UDS_000
|
||||
|=> Paired w/: UDS_000
|
||||
65| 3|NOD| . |=> ...3|..6.| RN_VMA
|
||||
64| 3|NOD| . |=> ...3|..6.| RN_VMA
|
||||
|=> Paired w/: VMA
|
||||
66| +|INP| 86|=> 01.3|.567| RST
|
||||
67| 6|INP| 71|=> ...3|4...| RW
|
||||
68| 6|INP| 70|=> ...3|....| SIZE_0_
|
||||
69| 7|INP| 79|=> ...3|....| SIZE_1_
|
||||
70| 7|NOD| . |=> ....|...7| SM_AMIGA_0_
|
||||
71| 7|NOD| . |=> ....|...7| SM_AMIGA_1_
|
||||
72| 6|NOD| . |=> ....|..67| SM_AMIGA_2_
|
||||
73| 6|NOD| . |=> ....|..6.| SM_AMIGA_3_
|
||||
74| 6|NOD| . |=> ...3|..6.| SM_AMIGA_4_
|
||||
75| 0|NOD| . |=> 0...|..6.| SM_AMIGA_5_
|
||||
76| 3|NOD| . |=> 0..3|....| SM_AMIGA_6_
|
||||
77| 7|NOD| . |=> ...3|...7| SM_AMIGA_7_
|
||||
78| 3| IO| 32|=> ....|....| UDS_000
|
||||
65| +|INP| 86|=> 01.3|.567| RST
|
||||
66| 6|INP| 71|=> ...3|4...| RW
|
||||
67| 6|INP| 70|=> ...3|....| SIZE_0_
|
||||
68| 7|INP| 79|=> ...3|....| SIZE_1_
|
||||
69| 7|NOD| . |=> ....|...7| SM_AMIGA_0_
|
||||
70| 6|NOD| . |=> ....|..67| SM_AMIGA_1_
|
||||
71| 6|NOD| . |=> ....|..6.| SM_AMIGA_2_
|
||||
72| 6|NOD| . |=> ....|..6.| SM_AMIGA_3_
|
||||
73| 5|NOD| . |=> ...3|.56.| SM_AMIGA_4_
|
||||
74| 0|NOD| . |=> 0...|.5..| SM_AMIGA_5_
|
||||
75| 3|NOD| . |=> 0..3|....| SM_AMIGA_6_
|
||||
76| 7|NOD| . |=> ...3|...7| SM_AMIGA_7_
|
||||
77| 3| IO| 32|=> ....|....| UDS_000
|
||||
|=> Paired w/: RN_UDS_000
|
||||
79| 3| IO| 35|=> ....|....| VMA
|
||||
78| 3| IO| 35|=> ....|....| VMA
|
||||
|=> Paired w/: RN_VMA
|
||||
80| +|INP| 36|=> ....|..6.| VPA
|
||||
81| 3|NOD| . |=> ...3|..6.| cpu_est_0_
|
||||
82| 3|NOD| . |=> ...3|..6.| cpu_est_1_
|
||||
83| 3|NOD| . |=> ...3|..6.| cpu_est_2_
|
||||
84| 5|NOD| . |=> 0..3|.5..| inst_AS_030_000_SYNC
|
||||
85| 7|NOD| . |=> 01.3|..67| inst_CLK_000_D
|
||||
86| 3|NOD| . |=> 01.3|..67| inst_CLK_000_DD
|
||||
87| 7|NOD| . |=> .1..|..67| inst_CLK_OUT_PRE
|
||||
88| 6|NOD| . |=> ....|..6.| inst_DTACK_SYNC
|
||||
89| 6|NOD| . |=> ...3|..6.| inst_VPA_D
|
||||
90| 6|NOD| . |=> ....|..6.| inst_VPA_SYNC
|
||||
79| +|INP| 36|=> ....|...7| VPA
|
||||
80| 3|NOD| . |=> ...3|..6.| cpu_est_0_
|
||||
81| 3|NOD| . |=> ...3|..6.| cpu_est_1_
|
||||
82| 3|NOD| . |=> ...3|..6.| cpu_est_2_
|
||||
83| 7|NOD| . |=> 0..3|...7| inst_AS_030_000_SYNC
|
||||
84| 6|NOD| . |=> 01.3|.567| inst_CLK_000_D0
|
||||
85| 3|NOD| . |=> 01.3|..67| inst_CLK_000_D1
|
||||
86| 7|NOD| . |=> .1..|..67| inst_CLK_OUT_PRE
|
||||
87| 6|NOD| . |=> ....|..6.| inst_DTACK_SYNC
|
||||
88| 7|NOD| . |=> ...3|..6.| inst_VPA_D
|
||||
89| 6|NOD| . |=> ....|..6.| inst_VPA_SYNC
|
||||
90| +|INP| 14|=> ...3|...7| nEXP_SPACE
|
||||
---------------------------------------------------------------------------
|
||||
===========================================================================
|
||||
< C:/Program Files (x86)/ispLever/ispcpld/dat/mach4a/mach447a Device Pin Assignments >
|
||||
|
@ -192,7 +192,7 @@ ____|_____|_________|______________________________________________________
|
|||
11 | CkIn | |*| CLK_000
|
||||
12 | Vcc | | | (pwr/test)
|
||||
13 | GND | | | (pwr/test)
|
||||
14 | CkIn | |*| CPU_SPACE
|
||||
14 | CkIn | | | nEXP_SPACE
|
||||
15 | I_O | 2_00|*| A_28_
|
||||
16 | I_O | 2_01|*| A_27_
|
||||
17 | I_O | 2_02|*| A_26_
|
||||
|
@ -451,19 +451,19 @@ IMX No. | +---- Block IO Pin or Macrocell Number
|
|||
| Src (ABEL Node/Pin#) Signal
|
||||
--|--|--------------------|---------------------------------------------------
|
||||
Mux00| Input Pin ( 86)| RST
|
||||
Mux01| Mcel 3 13 ( 193)| inst_CLK_000_DD
|
||||
Mux01| Mcel 3 13 ( 193)| inst_CLK_000_D1
|
||||
Mux02| ... | ...
|
||||
Mux03| ... | ...
|
||||
Mux04| Input Pin ( 61)| CLK_OSZI
|
||||
Mux05| Mcel 5 0 ( 221)| inst_AS_030_000_SYNC
|
||||
Mux05| ... | ...
|
||||
Mux06| ... | ...
|
||||
Mux07| ... | ...
|
||||
Mux08| ... | ...
|
||||
Mux09| ... | ...
|
||||
Mux10| Mcel 7 1 ( 271)| inst_CLK_000_D
|
||||
Mux10| Mcel 7 1 ( 271)| inst_AS_030_000_SYNC
|
||||
Mux11| ... | ...
|
||||
Mux12| ... | ...
|
||||
Mux13| ... | ...
|
||||
Mux13| Mcel 6 8 ( 257)| inst_CLK_000_D0
|
||||
Mux14| ... | ...
|
||||
Mux15| Mcel 0 0 ( 101)| SM_AMIGA_5_
|
||||
Mux16| Mcel 3 6 ( 182)| SM_AMIGA_6_
|
||||
|
@ -658,7 +658,7 @@ IMX No. | +---- Block IO Pin or Macrocell Number
|
|||
| Src (ABEL Node/Pin#) Signal
|
||||
--|--|--------------------|---------------------------------------------------
|
||||
Mux00| IOPin 6 2 ( 67)| IPL_0_
|
||||
Mux01| Mcel 3 13 ( 193)| inst_CLK_000_DD
|
||||
Mux01| Mcel 3 13 ( 193)| inst_CLK_000_D1
|
||||
Mux02| ... | ...
|
||||
Mux03| IOPin 5 4 ( 56)| IPL_1_
|
||||
Mux04| IOPin 6 3 ( 68)| IPL_2_
|
||||
|
@ -667,7 +667,7 @@ Mux06| ... | ...
|
|||
Mux07| ... | ...
|
||||
Mux08| Mcel 1 8 ( 137)| RN_IPL_030_0_
|
||||
Mux09| ... | ...
|
||||
Mux10| Mcel 7 1 ( 271)| inst_CLK_000_D
|
||||
Mux10| Mcel 6 8 ( 257)| inst_CLK_000_D0
|
||||
Mux11| ... | ...
|
||||
Mux12| Mcel 1 12 ( 143)| RN_IPL_030_1_
|
||||
Mux13| Mcel 7 5 ( 277)| inst_CLK_OUT_PRE
|
||||
|
@ -918,7 +918,7 @@ _|_________________|__|__|___|_____|__|______|___|__________|______________
|
|||
10| cpu_est_2_|NOD| | A | 3 :+: 1| 2 to [ 8]| 1 XOR to [10]
|
||||
11| | ? | | S | | 4 to [12]| 1 XOR free
|
||||
12| UDS_000| IO| | S | 8 | 4 to [12]| 1 XOR to [12] as logic PT
|
||||
13|inst_CLK_000_DD|NOD| | A | 1 | 2 to [12]| 1 XOR to [13] for 1 PT sig
|
||||
13|inst_CLK_000_D1|NOD| | A | 1 | 2 to [12]| 1 XOR to [13] for 1 PT sig
|
||||
14| cpu_est_0_|NOD| | A | 3 | 2 to [14]| 1 XOR to [14] as logic PT
|
||||
15| | ? | | S | | 4 free | 1 XOR free
|
||||
---------------------------------------------------------------------------
|
||||
|
@ -945,7 +945,7 @@ _|_________________|__|__|___|_____|_______________________________________
|
|||
10| cpu_est_2_|NOD| | A | 3 :+: 1|=> can support up to [ 4] logic PT(s)
|
||||
11| | ? | | S | |=> can support up to [ 1] logic PT(s)
|
||||
12| UDS_000| IO| | S | 8 |=> can support up to [ 12] logic PT(s)
|
||||
13|inst_CLK_000_DD|NOD| | A | 1 |=> can support up to [ 6] logic PT(s)
|
||||
13|inst_CLK_000_D1|NOD| | A | 1 |=> can support up to [ 6] logic PT(s)
|
||||
14| cpu_est_0_|NOD| | A | 3 |=> can support up to [ 8] logic PT(s)
|
||||
15| | ? | | S | |=> can support up to [ 5] logic PT(s)
|
||||
---------------------------------------------------------------------------
|
||||
|
@ -970,7 +970,7 @@ _|_________________|__|_____|____________________|________________________
|
|||
10| cpu_est_2_|NOD| | => | 2 3 4 5 | 33 32 31 30
|
||||
11| | | | => | 2 3 4 5 | 33 32 31 30
|
||||
12| UDS_000| IO| | => |( 3) 4 5 6 |( 32) 31 30 29
|
||||
13|inst_CLK_000_DD|NOD| | => | 3 4 5 6 | 32 31 30 29
|
||||
13|inst_CLK_000_D1|NOD| | => | 3 4 5 6 | 32 31 30 29
|
||||
14| cpu_est_0_|NOD| | => | 4 5 6 7 | 31 30 29 28
|
||||
15| | | | => | 4 5 6 7 | 31 30 29 28
|
||||
---------------------------------------------------------------------------
|
||||
|
@ -1057,7 +1057,7 @@ IMX No. | +---- Block IO Pin or Macrocell Number
|
|||
6 [IOpin 6 | 29| IO BG_000|*| ] paired w/[ RN_BG_000]
|
||||
[RegIn 6 |192| -| | ]
|
||||
[MCell 12 |191|NOD RN_UDS_000| |*] paired w/[ UDS_000]
|
||||
[MCell 13 |193|NOD inst_CLK_000_DD| |*]
|
||||
[MCell 13 |193|NOD inst_CLK_000_D1| |*]
|
||||
|
||||
7 [IOpin 7 | 28|INP BGACK_000|*|*]
|
||||
[RegIn 7 |195| -| | ]
|
||||
|
@ -1071,36 +1071,36 @@ IMX No. | +---- Block IO Pin or Macrocell Number
|
|||
| Src (ABEL Node/Pin#) Signal
|
||||
--|--|--------------------|---------------------------------------------------
|
||||
Mux00| IOPin 6 5 ( 70)| SIZE_0_
|
||||
Mux01| Mcel 3 13 ( 193)| inst_CLK_000_DD
|
||||
Mux01| Mcel 3 13 ( 193)| inst_CLK_000_D1
|
||||
Mux02| Mcel 6 4 ( 251)| RN_E
|
||||
Mux03| Mcel 3 2 ( 176)| cpu_est_1_
|
||||
Mux04| Mcel 6 1 ( 247)| inst_VPA_D
|
||||
Mux05| IOPin 0 7 ( 98)| DS_030
|
||||
Mux04| IOPin 2 6 ( 21)| BG_030
|
||||
Mux05| Mcel 3 8 ( 185)| RN_LDS_000
|
||||
Mux06| IOPin 7 6 ( 79)| SIZE_1_
|
||||
Mux07| Mcel 3 5 ( 181)| RN_AS_000
|
||||
Mux07| Mcel 7 13 ( 289)| inst_VPA_D
|
||||
Mux08| IOPin 6 6 ( 71)| RW
|
||||
Mux09| IOPin 7 3 ( 82)| AS_030
|
||||
Mux10| Mcel 3 14 ( 194)| cpu_est_0_
|
||||
Mux11| Mcel 3 12 ( 191)| RN_UDS_000
|
||||
Mux12| Mcel 7 1 ( 271)| inst_CLK_000_D
|
||||
Mux13| ... | ...
|
||||
Mux14| Mcel 6 12 ( 263)| SM_AMIGA_4_
|
||||
Mux15| Input Pin ( 14)| CPU_SPACE
|
||||
Mux16| Mcel 3 8 ( 185)| RN_LDS_000
|
||||
Mux12| IOPin 0 7 ( 98)| DS_030
|
||||
Mux13| Mcel 6 8 ( 257)| inst_CLK_000_D0
|
||||
Mux14| Mcel 3 4 ( 179)| RN_VMA
|
||||
Mux15| Input Pin ( 14)| nEXP_SPACE
|
||||
Mux16| Mcel 3 6 ( 182)| SM_AMIGA_6_
|
||||
Mux17| Mcel 3 1 ( 175)| RN_BG_000
|
||||
Mux18| IOPin 6 4 ( 69)| A_0_
|
||||
Mux19| ... | ...
|
||||
Mux20| Mcel 3 10 ( 188)| cpu_est_2_
|
||||
Mux21| Mcel 3 4 ( 179)| RN_VMA
|
||||
Mux22| IOPin 2 6 ( 21)| BG_030
|
||||
Mux19| Mcel 7 1 ( 271)| inst_AS_030_000_SYNC
|
||||
Mux20| Input Pin ( 64)| CLK_030
|
||||
Mux21| Input Pin ( 86)| RST
|
||||
Mux22| ... | ...
|
||||
Mux23| Mcel 7 4 ( 275)| RN_BGACK_030
|
||||
Mux24| Input Pin ( 86)| RST
|
||||
Mux25| Mcel 5 0 ( 221)| inst_AS_030_000_SYNC
|
||||
Mux24| Mcel 3 5 ( 181)| RN_AS_000
|
||||
Mux25| Mcel 5 0 ( 221)| SM_AMIGA_4_
|
||||
Mux26| ... | ...
|
||||
Mux27| Mcel 7 9 ( 283)| SM_AMIGA_7_
|
||||
Mux28| Input Pin ( 64)| CLK_030
|
||||
Mux28| ... | ...
|
||||
Mux29| Input Pin ( 61)| CLK_OSZI
|
||||
Mux30| Mcel 3 6 ( 182)| SM_AMIGA_6_
|
||||
Mux30| Mcel 3 10 ( 188)| cpu_est_2_
|
||||
Mux31| ... | ...
|
||||
Mux32| IOPin 7 4 ( 81)| DSACK_1_
|
||||
---------------------------------------------------------------------------
|
||||
|
@ -1318,7 +1318,7 @@ Mux32| ... | ...
|
|||
| Sig Type-+ | | | | | | | XOR to Mcell Assignment
|
||||
| Signal Name | | | | | | | | |
|
||||
_|_________________|__|__|___|_____|__|______|___|__________|______________
|
||||
0|inst_AS_030_000_SYNC|NOD| | S | 4 | 4 to [ 0]| 1 XOR free
|
||||
0| SM_AMIGA_4_|NOD| | S | 2 | 4 to [ 0]| 1 XOR free
|
||||
1| | ? | | S | | 4 free | 1 XOR free
|
||||
2| | ? | | S | | 4 free | 1 XOR free
|
||||
3| | ? | | S | | 4 free | 1 XOR free
|
||||
|
@ -1345,7 +1345,7 @@ _|_________________|__|__|___|_____|__|______|___|__________|______________
|
|||
| Sig Type-+ | | | |
|
||||
| Signal Name | | | | | Maximum PT Capacity
|
||||
_|_________________|__|__|___|_____|_______________________________________
|
||||
0|inst_AS_030_000_SYNC|NOD| | S | 4 |=> can support up to [ 15] logic PT(s)
|
||||
0| SM_AMIGA_4_|NOD| | S | 2 |=> can support up to [ 15] logic PT(s)
|
||||
1| | ? | | S | |=> can support up to [ 15] logic PT(s)
|
||||
2| | ? | | S | |=> can support up to [ 20] logic PT(s)
|
||||
3| | ? | | S | |=> can support up to [ 20] logic PT(s)
|
||||
|
@ -1370,7 +1370,7 @@ _|_________________|__|__|___|_____|_______________________________________
|
|||
| Sig Type---+ | to | Block [ 5] IO Pin | Device Pin
|
||||
| Signal Name | | pin | Numbers | Numbers
|
||||
_|_________________|__|_____|____________________|________________________
|
||||
0|inst_AS_030_000_SYNC|NOD| | => | 5 6 7 0 | 55 54 53 60
|
||||
0| SM_AMIGA_4_|NOD| | => | 5 6 7 0 | 55 54 53 60
|
||||
1| | | | => | 5 6 7 0 | 55 54 53 60
|
||||
2| | | | => | 6 7 0 1 | 54 53 60 59
|
||||
3| | | | => | 6 7 0 1 | 54 53 60 59
|
||||
|
@ -1434,7 +1434,7 @@ IMX No. | +---- Block IO Pin or Macrocell Number
|
|||
---|-------|----|---|---|----------|------|-|------------------------------
|
||||
0 [IOpin 0 | 60| -| | ]
|
||||
[RegIn 0 |222| -| | ]
|
||||
[MCell 0 |221|NOD inst_AS_030_000_SYNC| |*]
|
||||
[MCell 0 |221|NOD SM_AMIGA_4_| |*]
|
||||
[MCell 1 |223| -| | ]
|
||||
|
||||
1 [IOpin 1 | 59|INP A_17_|*|*]
|
||||
|
@ -1479,31 +1479,31 @@ IMX No. | +---- Block IO Pin or Macrocell Number
|
|||
| Src (ABEL Node/Pin#) Signal
|
||||
--|--|--------------------|---------------------------------------------------
|
||||
Mux00| Input Pin ( 86)| RST
|
||||
Mux01| IOPin 5 2 ( 58)| FC_1_
|
||||
Mux01| ... | ...
|
||||
Mux02| ... | ...
|
||||
Mux03| ... | ...
|
||||
Mux04| IOPin 3 7 ( 28)| BGACK_000
|
||||
Mux05| Input Pin ( 14)| CPU_SPACE
|
||||
Mux06| IOPin 5 3 ( 57)| FC_0_
|
||||
Mux04| Input Pin ( 61)| CLK_OSZI
|
||||
Mux05| Mcel 5 0 ( 221)| SM_AMIGA_4_
|
||||
Mux06| ... | ...
|
||||
Mux07| ... | ...
|
||||
Mux08| IOPin 5 1 ( 59)| A_17_
|
||||
Mux09| IOPin 7 3 ( 82)| AS_030
|
||||
Mux10| ... | ...
|
||||
Mux11| IOPin 0 5 ( 96)| A_16_
|
||||
Mux12| IOPin 0 6 ( 97)| A_19_
|
||||
Mux08| ... | ...
|
||||
Mux09| ... | ...
|
||||
Mux10| Mcel 6 8 ( 257)| inst_CLK_000_D0
|
||||
Mux11| ... | ...
|
||||
Mux12| ... | ...
|
||||
Mux13| ... | ...
|
||||
Mux14| ... | ...
|
||||
Mux15| ... | ...
|
||||
Mux15| Mcel 0 0 ( 101)| SM_AMIGA_5_
|
||||
Mux16| ... | ...
|
||||
Mux17| IOPin 0 4 ( 95)| A_18_
|
||||
Mux17| ... | ...
|
||||
Mux18| ... | ...
|
||||
Mux19| ... | ...
|
||||
Mux20| Input Pin ( 64)| CLK_030
|
||||
Mux20| ... | ...
|
||||
Mux21| ... | ...
|
||||
Mux22| ... | ...
|
||||
Mux23| ... | ...
|
||||
Mux24| ... | ...
|
||||
Mux25| Mcel 5 0 ( 221)| inst_AS_030_000_SYNC
|
||||
Mux25| ... | ...
|
||||
Mux26| ... | ...
|
||||
Mux27| ... | ...
|
||||
Mux28| ... | ...
|
||||
|
@ -1523,18 +1523,18 @@ Mux32| ... | ...
|
|||
| Signal Name | | | | | | | | |
|
||||
_|_________________|__|__|___|_____|__|______|___|__________|______________
|
||||
0| CLK_DIV_OUT|OUT| | S | 1 | 4 free | 1 XOR to [ 0] for 1 PT sig
|
||||
1| inst_VPA_D|NOD| | S | 1 | 4 free | 1 XOR to [ 1] for 1 PT sig
|
||||
1| SM_AMIGA_2_|NOD| | A | 3 | 2 to [ 1]| 1 XOR to [ 1] as logic PT
|
||||
2| | ? | | S | | 4 free | 1 XOR free
|
||||
3| | ? | | S | | 4 free | 1 XOR free
|
||||
4| E| IO| | S | 3 | 4 to [ 4]| 1 XOR free
|
||||
5| SM_AMIGA_3_|NOD| | A | 3 | 2 to [ 5]| 1 XOR to [ 5] as logic PT
|
||||
6| | ? | | S | | 4 free | 1 XOR free
|
||||
7| | ? | | S | | 4 free | 1 XOR free
|
||||
8| SM_AMIGA_2_|NOD| | A | 3 | 2 to [ 8]| 1 XOR to [ 8] as logic PT
|
||||
8|inst_CLK_000_D0|NOD| | S | 1 | 4 free | 1 XOR to [ 8] for 1 PT sig
|
||||
9| inst_VPA_SYNC|NOD| | A | 2 | 2 to [ 9]| 1 XOR free
|
||||
10| | ? | | S | | 4 free | 1 XOR free
|
||||
11| | ? | | S | | 4 free | 1 XOR free
|
||||
12| SM_AMIGA_4_|NOD| | A | 2 | 2 to [12]| 1 XOR free
|
||||
12| SM_AMIGA_1_|NOD| | A | 3 | 2 to [12]| 1 XOR to [12] as logic PT
|
||||
13|inst_DTACK_SYNC|NOD| | A | 2 | 2 to [13]| 1 XOR free
|
||||
14| | ? | | S | | 4 free | 1 XOR free
|
||||
15| | ? | | S | | 4 free | 1 XOR free
|
||||
|
@ -1549,19 +1549,19 @@ _|_________________|__|__|___|_____|__|______|___|__________|______________
|
|||
| Sig Type-+ | | | |
|
||||
| Signal Name | | | | | Maximum PT Capacity
|
||||
_|_________________|__|__|___|_____|_______________________________________
|
||||
0| CLK_DIV_OUT|OUT| | S | 1 |=> can support up to [ 14] logic PT(s)
|
||||
1| inst_VPA_D|NOD| | S | 1 |=> can support up to [ 19] logic PT(s)
|
||||
2| | ? | | S | |=> can support up to [ 14] logic PT(s)
|
||||
0| CLK_DIV_OUT|OUT| | S | 1 |=> can support up to [ 10] logic PT(s)
|
||||
1| SM_AMIGA_2_|NOD| | A | 3 |=> can support up to [ 17] logic PT(s)
|
||||
2| | ? | | S | |=> can support up to [ 10] logic PT(s)
|
||||
3| | ? | | S | |=> can support up to [ 10] logic PT(s)
|
||||
4| E| IO| | S | 3 |=> can support up to [ 15] logic PT(s)
|
||||
5| SM_AMIGA_3_|NOD| | A | 3 |=> can support up to [ 13] logic PT(s)
|
||||
6| | ? | | S | |=> can support up to [ 10] logic PT(s)
|
||||
7| | ? | | S | |=> can support up to [ 10] logic PT(s)
|
||||
8| SM_AMIGA_2_|NOD| | A | 3 |=> can support up to [ 13] logic PT(s)
|
||||
9| inst_VPA_SYNC|NOD| | A | 2 |=> can support up to [ 13] logic PT(s)
|
||||
6| | ? | | S | |=> can support up to [ 14] logic PT(s)
|
||||
7| | ? | | S | |=> can support up to [ 14] logic PT(s)
|
||||
8|inst_CLK_000_D0|NOD| | S | 1 |=> can support up to [ 15] logic PT(s)
|
||||
9| inst_VPA_SYNC|NOD| | A | 2 |=> can support up to [ 17] logic PT(s)
|
||||
10| | ? | | S | |=> can support up to [ 10] logic PT(s)
|
||||
11| | ? | | S | |=> can support up to [ 10] logic PT(s)
|
||||
12| SM_AMIGA_4_|NOD| | A | 2 |=> can support up to [ 13] logic PT(s)
|
||||
12| SM_AMIGA_1_|NOD| | A | 3 |=> can support up to [ 13] logic PT(s)
|
||||
13|inst_DTACK_SYNC|NOD| | A | 2 |=> can support up to [ 13] logic PT(s)
|
||||
14| | ? | | S | |=> can support up to [ 10] logic PT(s)
|
||||
15| | ? | | S | |=> can support up to [ 10] logic PT(s)
|
||||
|
@ -1575,18 +1575,18 @@ _|_________________|__|__|___|_____|_______________________________________
|
|||
| Signal Name | | pin | Numbers | Numbers
|
||||
_|_________________|__|_____|____________________|________________________
|
||||
0| CLK_DIV_OUT|OUT| | => | 5 6 7 ( 0)| 70 71 72 ( 65)
|
||||
1| inst_VPA_D|NOD| | => | 5 6 7 0 | 70 71 72 65
|
||||
1| SM_AMIGA_2_|NOD| | => | 5 6 7 0 | 70 71 72 65
|
||||
2| | | | => | 6 7 0 1 | 71 72 65 66
|
||||
3| | | | => | 6 7 0 1 | 71 72 65 66
|
||||
4| E| IO| | => | 7 0 ( 1) 2 | 72 65 ( 66) 67
|
||||
5| SM_AMIGA_3_|NOD| | => | 7 0 1 2 | 72 65 66 67
|
||||
6| | | | => | 0 1 2 3 | 65 66 67 68
|
||||
7| | | | => | 0 1 2 3 | 65 66 67 68
|
||||
8| SM_AMIGA_2_|NOD| | => | 1 2 3 4 | 66 67 68 69
|
||||
8|inst_CLK_000_D0|NOD| | => | 1 2 3 4 | 66 67 68 69
|
||||
9| inst_VPA_SYNC|NOD| | => | 1 2 3 4 | 66 67 68 69
|
||||
10| | | | => | 2 3 4 5 | 67 68 69 70
|
||||
11| | | | => | 2 3 4 5 | 67 68 69 70
|
||||
12| SM_AMIGA_4_|NOD| | => | 3 4 5 6 | 68 69 70 71
|
||||
12| SM_AMIGA_1_|NOD| | => | 3 4 5 6 | 68 69 70 71
|
||||
13|inst_DTACK_SYNC|NOD| | => | 3 4 5 6 | 68 69 70 71
|
||||
14| | | | => | 4 5 6 7 | 69 70 71 72
|
||||
15| | | | => | 4 5 6 7 | 69 70 71 72
|
||||
|
@ -1640,7 +1640,7 @@ IMX No. | +---- Block IO Pin or Macrocell Number
|
|||
0 [IOpin 0 | 65|OUT CLK_DIV_OUT|*| ]
|
||||
[RegIn 0 |246| -| | ]
|
||||
[MCell 0 |245|OUT CLK_DIV_OUT| | ]
|
||||
[MCell 1 |247|NOD inst_VPA_D| |*]
|
||||
[MCell 1 |247|NOD SM_AMIGA_2_| |*]
|
||||
|
||||
1 [IOpin 1 | 66| IO E|*| ] paired w/[ RN_E]
|
||||
[RegIn 1 |249| -| | ]
|
||||
|
@ -1659,7 +1659,7 @@ IMX No. | +---- Block IO Pin or Macrocell Number
|
|||
|
||||
4 [IOpin 4 | 69|INP A_0_|*|*]
|
||||
[RegIn 4 |258| -| | ]
|
||||
[MCell 8 |257|NOD SM_AMIGA_2_| |*]
|
||||
[MCell 8 |257|NOD inst_CLK_000_D0| |*]
|
||||
[MCell 9 |259|NOD inst_VPA_SYNC| |*]
|
||||
|
||||
5 [IOpin 5 | 70|INP SIZE_0_|*|*]
|
||||
|
@ -1669,7 +1669,7 @@ IMX No. | +---- Block IO Pin or Macrocell Number
|
|||
|
||||
6 [IOpin 6 | 71|INP RW|*|*]
|
||||
[RegIn 6 |264| -| | ]
|
||||
[MCell 12 |263|NOD SM_AMIGA_4_| |*]
|
||||
[MCell 12 |263|NOD SM_AMIGA_1_| |*]
|
||||
[MCell 13 |265|NOD inst_DTACK_SYNC| |*]
|
||||
|
||||
7 [IOpin 7 | 72| -| | ]
|
||||
|
@ -1684,34 +1684,34 @@ IMX No. | +---- Block IO Pin or Macrocell Number
|
|||
| Src (ABEL Node/Pin#) Signal
|
||||
--|--|--------------------|---------------------------------------------------
|
||||
Mux00| Input Pin ( 86)| RST
|
||||
Mux01| Mcel 3 13 ( 193)| inst_CLK_000_DD
|
||||
Mux01| Mcel 3 13 ( 193)| inst_CLK_000_D1
|
||||
Mux02| Mcel 3 10 ( 188)| cpu_est_2_
|
||||
Mux03| Mcel 6 5 ( 253)| SM_AMIGA_3_
|
||||
Mux04| Mcel 7 5 ( 277)| inst_CLK_OUT_PRE
|
||||
Mux05| ... | ...
|
||||
Mux03| Input Pin ( 11)| CLK_000
|
||||
Mux04| Input Pin ( 61)| CLK_OSZI
|
||||
Mux05| Mcel 5 0 ( 221)| SM_AMIGA_4_
|
||||
Mux06| ... | ...
|
||||
Mux07| Mcel 6 12 ( 263)| SM_AMIGA_4_
|
||||
Mux07| Mcel 7 13 ( 289)| inst_VPA_D
|
||||
Mux08| ... | ...
|
||||
Mux09| IOPin 7 3 ( 82)| AS_030
|
||||
Mux10| Input Pin ( 36)| VPA
|
||||
Mux09| IOPin 3 5 ( 30)| DTACK
|
||||
Mux10| Mcel 6 9 ( 259)| inst_VPA_SYNC
|
||||
Mux11| Mcel 6 4 ( 251)| RN_E
|
||||
Mux12| Mcel 6 13 ( 265)| inst_DTACK_SYNC
|
||||
Mux13| Mcel 6 8 ( 257)| SM_AMIGA_2_
|
||||
Mux13| Mcel 7 5 ( 277)| inst_CLK_OUT_PRE
|
||||
Mux14| Mcel 3 4 ( 179)| RN_VMA
|
||||
Mux15| Mcel 0 0 ( 101)| SM_AMIGA_5_
|
||||
Mux15| ... | ...
|
||||
Mux16| Mcel 3 2 ( 176)| cpu_est_1_
|
||||
Mux17| Mcel 3 14 ( 194)| cpu_est_0_
|
||||
Mux18| ... | ...
|
||||
Mux19| Mcel 7 1 ( 271)| inst_CLK_000_D
|
||||
Mux19| IOPin 7 3 ( 82)| AS_030
|
||||
Mux20| ... | ...
|
||||
Mux21| Input Pin ( 61)| CLK_OSZI
|
||||
Mux22| ... | ...
|
||||
Mux23| IOPin 3 5 ( 30)| DTACK
|
||||
Mux24| Mcel 6 1 ( 247)| inst_VPA_D
|
||||
Mux21| ... | ...
|
||||
Mux22| Mcel 6 5 ( 253)| SM_AMIGA_3_
|
||||
Mux23| Mcel 6 12 ( 263)| SM_AMIGA_1_
|
||||
Mux24| Mcel 6 1 ( 247)| SM_AMIGA_2_
|
||||
Mux25| ... | ...
|
||||
Mux26| ... | ...
|
||||
Mux27| Mcel 6 9 ( 259)| inst_VPA_SYNC
|
||||
Mux28| ... | ...
|
||||
Mux27| ... | ...
|
||||
Mux28| Mcel 6 8 ( 257)| inst_CLK_000_D0
|
||||
Mux29| ... | ...
|
||||
Mux30| ... | ...
|
||||
Mux31| ... | ...
|
||||
|
@ -1728,8 +1728,8 @@ Mux32| ... | ...
|
|||
| Signal Name | | | | | | | | |
|
||||
_|_________________|__|__|___|_____|__|______|___|__________|______________
|
||||
0| FPU_CS| IO| | S | 2 | 4 to [ 0]| 1 XOR free
|
||||
1|inst_CLK_000_D|NOD| | A | 1 | 2 free | 1 XOR to [ 1] for 1 PT sig
|
||||
2| SM_AMIGA_1_|NOD| | S | 3 | 4 to [ 2]| 1 XOR free
|
||||
1|inst_AS_030_000_SYNC|NOD| | S | 4 | 4 to [ 1]| 1 XOR free
|
||||
2| SM_AMIGA_0_|NOD| | S | 3 | 4 to [ 2]| 1 XOR free
|
||||
3| | ? | | S | | 4 free | 1 XOR free
|
||||
4| BGACK_030| IO| | S | 2 | 4 to [ 4]| 1 XOR free
|
||||
5|inst_CLK_OUT_PRE|NOD| | A | 2 | 2 to [ 5]| 1 XOR free
|
||||
|
@ -1740,7 +1740,7 @@ _|_________________|__|__|___|_____|__|______|___|__________|______________
|
|||
10| | ? | | S | | 4 free | 1 XOR free
|
||||
11| | ? | | S | | 4 free | 1 XOR free
|
||||
12| DSACK_0_|OUT| | S | 1 | 4 free | 1 XOR to [12] for 1 PT sig
|
||||
13| SM_AMIGA_0_|NOD| | S | 3 | 4 to [13]| 1 XOR free
|
||||
13| inst_VPA_D|NOD| | A | 1 | 2 free | 1 XOR to [13] for 1 PT sig
|
||||
14| | ? | | S | | 4 free | 1 XOR free
|
||||
15| | ? | | S | | 4 free | 1 XOR free
|
||||
---------------------------------------------------------------------------
|
||||
|
@ -1754,9 +1754,9 @@ _|_________________|__|__|___|_____|__|______|___|__________|______________
|
|||
| Sig Type-+ | | | |
|
||||
| Signal Name | | | | | Maximum PT Capacity
|
||||
_|_________________|__|__|___|_____|_______________________________________
|
||||
0| FPU_CS| IO| | S | 2 |=> can support up to [ 7] logic PT(s)
|
||||
1|inst_CLK_000_D|NOD| | A | 1 |=> can support up to [ 8] logic PT(s)
|
||||
2| SM_AMIGA_1_|NOD| | S | 3 |=> can support up to [ 12] logic PT(s)
|
||||
0| FPU_CS| IO| | S | 2 |=> can support up to [ 5] logic PT(s)
|
||||
1|inst_AS_030_000_SYNC|NOD| | S | 4 |=> can support up to [ 10] logic PT(s)
|
||||
2| SM_AMIGA_0_|NOD| | S | 3 |=> can support up to [ 10] logic PT(s)
|
||||
3| | ? | | S | |=> can support up to [ 5] logic PT(s)
|
||||
4| BGACK_030| IO| | S | 2 |=> can support up to [ 12] logic PT(s)
|
||||
5|inst_CLK_OUT_PRE|NOD| | A | 2 |=> can support up to [ 10] logic PT(s)
|
||||
|
@ -1765,10 +1765,10 @@ _|_________________|__|__|___|_____|_______________________________________
|
|||
8| DSACK_1_| IO| | S | 2 |=> can support up to [ 15] logic PT(s)
|
||||
9| SM_AMIGA_7_|NOD| | S | 2 |=> can support up to [ 15] logic PT(s)
|
||||
10| | ? | | S | |=> can support up to [ 14] logic PT(s)
|
||||
11| | ? | | S | |=> can support up to [ 14] logic PT(s)
|
||||
12| DSACK_0_|OUT| | S | 1 |=> can support up to [ 15] logic PT(s)
|
||||
13| SM_AMIGA_0_|NOD| | S | 3 |=> can support up to [ 19] logic PT(s)
|
||||
14| | ? | | S | |=> can support up to [ 10] logic PT(s)
|
||||
11| | ? | | S | |=> can support up to [ 16] logic PT(s)
|
||||
12| DSACK_0_|OUT| | S | 1 |=> can support up to [ 17] logic PT(s)
|
||||
13| inst_VPA_D|NOD| | A | 1 |=> can support up to [ 17] logic PT(s)
|
||||
14| | ? | | S | |=> can support up to [ 12] logic PT(s)
|
||||
15| | ? | | S | |=> can support up to [ 10] logic PT(s)
|
||||
---------------------------------------------------------------------------
|
||||
===========================================================================
|
||||
|
@ -1780,8 +1780,8 @@ _|_________________|__|__|___|_____|_______________________________________
|
|||
| Signal Name | | pin | Numbers | Numbers
|
||||
_|_________________|__|_____|____________________|________________________
|
||||
0| FPU_CS| IO| | => | 5 6 ( 7) 0 | 80 79 ( 78) 85
|
||||
1|inst_CLK_000_D|NOD| | => | 5 6 7 0 | 80 79 78 85
|
||||
2| SM_AMIGA_1_|NOD| | => | 6 7 0 1 | 79 78 85 84
|
||||
1|inst_AS_030_000_SYNC|NOD| | => | 5 6 7 0 | 80 79 78 85
|
||||
2| SM_AMIGA_0_|NOD| | => | 6 7 0 1 | 79 78 85 84
|
||||
3| | | | => | 6 7 0 1 | 79 78 85 84
|
||||
4| BGACK_030| IO| | => | 7 0 1 ( 2)| 78 85 84 ( 83)
|
||||
5|inst_CLK_OUT_PRE|NOD| | => | 7 0 1 2 | 78 85 84 83
|
||||
|
@ -1792,7 +1792,7 @@ _|_________________|__|_____|____________________|________________________
|
|||
10| | | | => | 2 3 4 5 | 83 82 81 80
|
||||
11| | | | => | 2 3 4 5 | 83 82 81 80
|
||||
12| DSACK_0_|OUT| | => | 3 4 ( 5) 6 | 82 81 ( 80) 79
|
||||
13| SM_AMIGA_0_|NOD| | => | 3 4 5 6 | 82 81 80 79
|
||||
13| inst_VPA_D|NOD| | => | 3 4 5 6 | 82 81 80 79
|
||||
14| | | | => | 4 5 6 7 | 81 80 79 78
|
||||
15| | | | => | 4 5 6 7 | 81 80 79 78
|
||||
---------------------------------------------------------------------------
|
||||
|
@ -1847,11 +1847,11 @@ IMX No. | +---- Block IO Pin or Macrocell Number
|
|||
0 [IOpin 0 | 85|INP A_22_|*|*]
|
||||
[RegIn 0 |270| -| | ]
|
||||
[MCell 0 |269|NOD RN_FPU_CS| |*] paired w/[ FPU_CS]
|
||||
[MCell 1 |271|NOD inst_CLK_000_D| |*]
|
||||
[MCell 1 |271|NOD inst_AS_030_000_SYNC| |*]
|
||||
|
||||
1 [IOpin 1 | 84|INP A_23_|*|*]
|
||||
[RegIn 1 |273| -| | ]
|
||||
[MCell 2 |272|NOD SM_AMIGA_1_| |*]
|
||||
[MCell 2 |272|NOD SM_AMIGA_0_| |*]
|
||||
[MCell 3 |274| -| | ]
|
||||
|
||||
2 [IOpin 2 | 83| IO BGACK_030|*| ] paired w/[ RN_BGACK_030]
|
||||
|
@ -1877,7 +1877,7 @@ IMX No. | +---- Block IO Pin or Macrocell Number
|
|||
6 [IOpin 6 | 79|INP SIZE_1_|*|*]
|
||||
[RegIn 6 |288| -| | ]
|
||||
[MCell 12 |287|OUT DSACK_0_| | ]
|
||||
[MCell 13 |289|NOD SM_AMIGA_0_| |*]
|
||||
[MCell 13 |289|NOD inst_VPA_D| |*]
|
||||
|
||||
7 [IOpin 7 | 78| IO FPU_CS|*| ] paired w/[ RN_FPU_CS]
|
||||
[RegIn 7 |291| -| | ]
|
||||
|
@ -1894,32 +1894,32 @@ Mux00| Input Pin ( 86)| RST
|
|||
Mux01| IOPin 5 2 ( 58)| FC_1_
|
||||
Mux02| ... | ...
|
||||
Mux03| Mcel 7 8 ( 281)| RN_DSACK_1_
|
||||
Mux04| Mcel 7 2 ( 272)| SM_AMIGA_1_
|
||||
Mux05| Mcel 7 9 ( 283)| SM_AMIGA_7_
|
||||
Mux04| Input Pin ( 61)| CLK_OSZI
|
||||
Mux05| Input Pin ( 14)| nEXP_SPACE
|
||||
Mux06| IOPin 5 3 ( 57)| FC_0_
|
||||
Mux07| Mcel 7 6 ( 278)| CLK_CNT_0_
|
||||
Mux07| Mcel 6 12 ( 263)| SM_AMIGA_1_
|
||||
Mux08| IOPin 5 1 ( 59)| A_17_
|
||||
Mux09| IOPin 7 3 ( 82)| AS_030
|
||||
Mux10| Mcel 7 1 ( 271)| inst_CLK_000_D
|
||||
Mux10| Input Pin ( 36)| VPA
|
||||
Mux11| IOPin 0 5 ( 96)| A_16_
|
||||
Mux12| IOPin 0 6 ( 97)| A_19_
|
||||
Mux13| Mcel 6 8 ( 257)| SM_AMIGA_2_
|
||||
Mux14| Input Pin ( 11)| CLK_000
|
||||
Mux15| Input Pin ( 14)| CPU_SPACE
|
||||
Mux13| Mcel 7 5 ( 277)| inst_CLK_OUT_PRE
|
||||
Mux14| Mcel 7 2 ( 272)| SM_AMIGA_0_
|
||||
Mux15| ... | ...
|
||||
Mux16| ... | ...
|
||||
Mux17| IOPin 0 4 ( 95)| A_18_
|
||||
Mux18| IOPin 3 7 ( 28)| BGACK_000
|
||||
Mux19| Mcel 7 13 ( 289)| SM_AMIGA_0_
|
||||
Mux19| Mcel 7 1 ( 271)| inst_AS_030_000_SYNC
|
||||
Mux20| Input Pin ( 64)| CLK_030
|
||||
Mux21| Input Pin ( 61)| CLK_OSZI
|
||||
Mux21| Mcel 7 6 ( 278)| CLK_CNT_0_
|
||||
Mux22| ... | ...
|
||||
Mux23| Mcel 7 4 ( 275)| RN_BGACK_030
|
||||
Mux24| Mcel 3 5 ( 181)| RN_AS_000
|
||||
Mux25| ... | ...
|
||||
Mux26| ... | ...
|
||||
Mux27| Mcel 7 5 ( 277)| inst_CLK_OUT_PRE
|
||||
Mux28| ... | ...
|
||||
Mux29| Mcel 3 13 ( 193)| inst_CLK_000_DD
|
||||
Mux27| Mcel 7 9 ( 283)| SM_AMIGA_7_
|
||||
Mux28| Mcel 6 8 ( 257)| inst_CLK_000_D0
|
||||
Mux29| Mcel 3 13 ( 193)| inst_CLK_000_D1
|
||||
Mux30| Mcel 7 0 ( 269)| RN_FPU_CS
|
||||
Mux31| ... | ...
|
||||
Mux32| ... | ...
|
||||
|
|
|
@ -12,7 +12,7 @@ Project_Summary
|
|||
|
||||
Project Name : 68030_tk
|
||||
Project Path : C:\Users\Matze\Documents\GitHub\68030tk\Logic
|
||||
Project Fitted on : Thu May 15 23:02:50 2014
|
||||
Project Fitted on : Fri May 16 17:07:12 2014
|
||||
|
||||
Device : M4A5-128/64
|
||||
Package : 100TQFP
|
||||
|
@ -58,7 +58,7 @@ Logic Macrocells 128 43 85 --> 33%
|
|||
Input Registers 64 0 64 --> 0%
|
||||
Unusable Macrocells .. 0 ..
|
||||
|
||||
CSM Outputs/Total Block Inputs 264 119 145 --> 45%
|
||||
CSM Outputs/Total Block Inputs 264 112 152 --> 42%
|
||||
Logical Product Terms 640 103 537 --> 16%
|
||||
Product Term Clusters 128 37 91 --> 28%
|
||||
|
||||
|
@ -76,9 +76,9 @@ Block B 11 8 0 5 0 11 11 13 Hi
|
|||
Block C 1 8 0 2 0 14 2 16 Hi
|
||||
Block D 29 8 0 12 0 4 44 3 Hi
|
||||
Block E 14 3 0 3 0 13 3 16 Hi
|
||||
Block F 12 4 0 1 0 15 4 15 Hi
|
||||
Block G 20 7 0 8 0 8 17 10 Hi
|
||||
Block H 25 8 0 10 0 6 19 9 Hi
|
||||
Block F 5 4 0 1 0 15 2 15 Hi
|
||||
Block G 20 7 0 8 0 8 18 10 Hi
|
||||
Block H 25 8 0 10 0 6 20 9 Hi
|
||||
---------------------------------------------------------------------------------
|
||||
|
||||
<Note> Four rightmost columns above reflect last status of the placement process.
|
||||
|
@ -180,7 +180,7 @@ Pin No| Type |Pad |Pin | Signal name
|
|||
11 | CkIn | | * |CLK_000
|
||||
12 | Vcc | | |
|
||||
13 | GND | | |
|
||||
14 | CkIn | | * |CPU_SPACE
|
||||
14 | CkIn | | |nEXP_SPACE
|
||||
15 | I_O | C0 | * |A_28_
|
||||
16 | I_O | C1 | * |A_27_
|
||||
17 | I_O | C2 | * |A_26_
|
||||
|
@ -287,12 +287,12 @@ Input_Signal_List
|
|||
Pin r e O Input
|
||||
Pin Blk PTs Type e s E Fanout Pwr Slew Signal
|
||||
----------------------------------------------------------------------
|
||||
82 H . I/O ---D-FGH Hi Fast AS_030
|
||||
82 H . I/O ---D--GH Hi Fast AS_030
|
||||
69 G . I/O ---D---- Hi Fast A_0_
|
||||
96 A . I/O -----F-H Hi Fast A_16_
|
||||
59 F . I/O -----F-H Hi Fast A_17_
|
||||
95 A . I/O -----F-H Hi Fast A_18_
|
||||
97 A . I/O -----F-H Hi Fast A_19_
|
||||
96 A . I/O -------H Hi Fast A_16_
|
||||
59 F . I/O -------H Hi Fast A_17_
|
||||
95 A . I/O -------H Hi Fast A_18_
|
||||
97 A . I/O -------H Hi Fast A_19_
|
||||
93 A . I/O ----E--- Hi Fast A_20_
|
||||
94 A . I/O ----E--- Hi Fast A_21_
|
||||
85 H . I/O ----E--- Hi Fast A_22_
|
||||
|
@ -305,22 +305,22 @@ Pin Blk PTs Type e s E Fanout Pwr Slew Signal
|
|||
6 B . I/O ----E--- Hi Fast A_29_
|
||||
5 B . I/O ----E--- Hi Fast A_30_
|
||||
4 B . I/O ----E--- Hi Fast A_31_
|
||||
28 D . I/O -----F-H Hi Fast BGACK_000
|
||||
28 D . I/O -------H Hi Fast BGACK_000
|
||||
21 C . I/O ---D---- Hi Fast BG_030
|
||||
98 A . I/O ---D---- Hi Fast DS_030
|
||||
57 F . I/O -----F-H Hi Fast FC_0_
|
||||
58 F . I/O -----F-H Hi Fast FC_1_
|
||||
57 F . I/O -------H Hi Fast FC_0_
|
||||
58 F . I/O -------H Hi Fast FC_1_
|
||||
67 G . I/O -B------ Hi Fast IPL_0_
|
||||
56 F . I/O -B------ Hi Fast IPL_1_
|
||||
68 G . I/O -B------ Hi Fast IPL_2_
|
||||
71 G . I/O ---DE--- Hi Fast RW
|
||||
70 G . I/O ---D---- Hi Fast SIZE_0_
|
||||
79 H . I/O ---D---- Hi Fast SIZE_1_
|
||||
11 . . Ck/I -------H - Fast CLK_000
|
||||
14 . . Ck/I ---D-F-H - Fast CPU_SPACE
|
||||
36 . . Ded ------G- - Fast VPA
|
||||
11 . . Ck/I ------G- - Fast CLK_000
|
||||
14 . . Ck/I ---D---H - Fast nEXP_SPACE
|
||||
36 . . Ded -------H - Fast VPA
|
||||
61 . . Ck/I AB-D-FGH - Fast CLK_OSZI
|
||||
64 . . Ck/I ---D-F-H - Fast CLK_030
|
||||
64 . . Ck/I ---D---H - Fast CLK_030
|
||||
86 . . Ded AB-D-FGH - Fast RST
|
||||
----------------------------------------------------------------------
|
||||
|
||||
|
@ -404,23 +404,23 @@ Buried_Signal_List
|
|||
D8 D 12 DFF * * ---D---- Hi - RN_LDS_000 --> LDS_000
|
||||
D12 D 8 DFF * * ---D---- Hi - RN_UDS_000 --> UDS_000
|
||||
D4 D 2 TFF * * ---D--G- Hi - RN_VMA --> VMA
|
||||
H13 H 3 DFF * * -------H Hi Fast SM_AMIGA_0_
|
||||
H2 H 3 DFF * * -------H Hi Fast SM_AMIGA_1_
|
||||
G8 G 3 DFF * * ------GH Hi Fast SM_AMIGA_2_
|
||||
H2 H 3 DFF * * -------H Hi Fast SM_AMIGA_0_
|
||||
G12 G 3 DFF * * ------GH Hi Fast SM_AMIGA_1_
|
||||
G1 G 3 DFF * * ------G- Hi Fast SM_AMIGA_2_
|
||||
G5 G 3 DFF * * ------G- Hi Fast SM_AMIGA_3_
|
||||
G12 G 2 DFF * * ---D--G- Hi Fast SM_AMIGA_4_
|
||||
A0 A 2 DFF * * A-----G- Hi Fast SM_AMIGA_5_
|
||||
F0 F 2 DFF * * ---D-FG- Hi Fast SM_AMIGA_4_
|
||||
A0 A 2 DFF * * A----F-- Hi Fast SM_AMIGA_5_
|
||||
D6 D 3 DFF * * A--D---- Hi Fast SM_AMIGA_6_
|
||||
H9 H 2 DFF * * ---D---H Hi Fast SM_AMIGA_7_
|
||||
D14 D 3 DFF * * ---D--G- Hi Fast cpu_est_0_
|
||||
D2 D 4 TFF * * ---D--G- Hi Fast cpu_est_1_
|
||||
D10 D 3 DFF * * ---D--G- Hi Fast cpu_est_2_
|
||||
F0 F 4 DFF * * A--D-F-- Hi Fast inst_AS_030_000_SYNC
|
||||
H1 H 1 DFF * * AB-D--GH Hi Fast inst_CLK_000_D
|
||||
D13 D 1 DFF * * AB-D--GH Hi Fast inst_CLK_000_DD
|
||||
H1 H 4 DFF * * A--D---H Hi Fast inst_AS_030_000_SYNC
|
||||
G8 G 1 DFF * * AB-D-FGH Hi Fast inst_CLK_000_D0
|
||||
D13 D 1 DFF * * AB-D--GH Hi Fast inst_CLK_000_D1
|
||||
H5 H 2 DFF * * -B----GH Hi Fast inst_CLK_OUT_PRE
|
||||
G13 G 2 DFF * * ------G- Hi Fast inst_DTACK_SYNC
|
||||
G1 G 1 DFF * * ---D--G- Hi Fast inst_VPA_D
|
||||
H13 H 1 DFF * * ---D--G- Hi Fast inst_VPA_D
|
||||
G9 G 2 DFF * * ------G- Hi Fast inst_VPA_SYNC
|
||||
----------------------------------------------------------------------
|
||||
|
||||
|
@ -436,38 +436,34 @@ Signals_Fanout_List
|
|||
~~~~~~~~~~~~~~~~~~~
|
||||
Signal Source : Fanout List
|
||||
-----------------------------------------------------------------------------
|
||||
A_21_{ B}: CIIN{ E}
|
||||
A_20_{ B}: CIIN{ E}
|
||||
A_17_{ G}: FPU_CS{ H}inst_AS_030_000_SYNC{ H}
|
||||
A_16_{ B}: FPU_CS{ H}inst_AS_030_000_SYNC{ H}
|
||||
SIZE_1_{ I}: LDS_000{ D}
|
||||
A_19_{ B}: FPU_CS{ H}inst_AS_030_000_SYNC{ F}
|
||||
A_18_{ B}: FPU_CS{ H}inst_AS_030_000_SYNC{ F}
|
||||
A_31_{ C}: CIIN{ E}
|
||||
A_17_{ G}: FPU_CS{ H}inst_AS_030_000_SYNC{ F}
|
||||
A_16_{ B}: FPU_CS{ H}inst_AS_030_000_SYNC{ F}
|
||||
IPL_2_{ H}: IPL_030_2_{ B}
|
||||
FC_1_{ G}: FPU_CS{ H}inst_AS_030_000_SYNC{ F}
|
||||
FC_1_{ G}: FPU_CS{ H}inst_AS_030_000_SYNC{ H}
|
||||
AS_030{ I}: DSACK_1_{ H} AS_000{ D} UDS_000{ D}
|
||||
: LDS_000{ D} BG_000{ D} FPU_CS{ H}
|
||||
:inst_AS_030_000_SYNC{ F}inst_DTACK_SYNC{ G} inst_VPA_SYNC{ G}
|
||||
:inst_AS_030_000_SYNC{ H}inst_DTACK_SYNC{ G} inst_VPA_SYNC{ G}
|
||||
DS_030{ B}: UDS_000{ D} LDS_000{ D}
|
||||
CPU_SPACE{. }: DSACK_0_{ H} DSACK_1_{ H} BG_000{ D}
|
||||
:inst_AS_030_000_SYNC{ F}
|
||||
BG_030{ D}: BG_000{ D}
|
||||
A_0_{ H}: UDS_000{ D} LDS_000{ D}
|
||||
BGACK_000{ E}: BGACK_030{ H} FPU_CS{ H}inst_AS_030_000_SYNC{ F}
|
||||
CLK_030{. }: BG_000{ D} FPU_CS{ H}inst_AS_030_000_SYNC{ F}
|
||||
nEXP_SPACE{. }: DSACK_0_{ H}AMIGA_BUS_ENABLE{ D} DSACK_1_{ H}
|
||||
: BG_000{ D}inst_AS_030_000_SYNC{ H}
|
||||
BG_030{ D}: BG_000{ D}
|
||||
IPL_1_{ G}: IPL_030_1_{ B}
|
||||
CLK_000{. }: inst_CLK_000_D{ H}
|
||||
IPL_0_{ H}: IPL_030_0_{ B}
|
||||
FC_0_{ G}: FPU_CS{ H}inst_AS_030_000_SYNC{ F}
|
||||
VPA{. }: inst_VPA_D{ G}
|
||||
BGACK_000{ E}: BGACK_030{ H} FPU_CS{ H}inst_AS_030_000_SYNC{ H}
|
||||
FC_0_{ G}: FPU_CS{ H}inst_AS_030_000_SYNC{ H}
|
||||
CLK_030{. }: BG_000{ D} FPU_CS{ H}inst_AS_030_000_SYNC{ H}
|
||||
CLK_000{. }:inst_CLK_000_D0{ G}
|
||||
VPA{. }: inst_VPA_D{ H}
|
||||
RST{. }: IPL_030_2_{ B} DSACK_1_{ H} AS_000{ D}
|
||||
: UDS_000{ D} LDS_000{ D} BG_000{ D}
|
||||
: BGACK_030{ H} IPL_030_1_{ B} IPL_030_0_{ B}
|
||||
: UDS_000{ D} LDS_000{ D} IPL_030_1_{ B}
|
||||
: IPL_030_0_{ B} BG_000{ D} BGACK_030{ H}
|
||||
: FPU_CS{ H} DTACK{ D} VMA{ D}
|
||||
: RESET{ B}inst_AS_030_000_SYNC{ F}inst_DTACK_SYNC{ G}
|
||||
: RESET{ B}inst_AS_030_000_SYNC{ H}inst_DTACK_SYNC{ G}
|
||||
: inst_VPA_SYNC{ G} SM_AMIGA_6_{ D} SM_AMIGA_7_{ H}
|
||||
: SM_AMIGA_1_{ H} SM_AMIGA_4_{ G} SM_AMIGA_3_{ G}
|
||||
: SM_AMIGA_1_{ G} SM_AMIGA_4_{ F} SM_AMIGA_3_{ G}
|
||||
: SM_AMIGA_5_{ A} SM_AMIGA_2_{ G} SM_AMIGA_0_{ H}
|
||||
RW{ H}:AMIGA_BUS_DATA_DIR{ E} UDS_000{ D} LDS_000{ D}
|
||||
SIZE_0_{ H}: LDS_000{ D}
|
||||
|
@ -480,6 +476,10 @@ Signal Source : Fanout List
|
|||
A_24_{ D}: CIIN{ E}
|
||||
A_23_{ I}: CIIN{ E}
|
||||
A_22_{ I}: CIIN{ E}
|
||||
A_21_{ B}: CIIN{ E}
|
||||
A_20_{ B}: CIIN{ E}
|
||||
A_19_{ B}: FPU_CS{ H}inst_AS_030_000_SYNC{ H}
|
||||
A_18_{ B}: FPU_CS{ H}inst_AS_030_000_SYNC{ H}
|
||||
RN_IPL_030_2_{ C}: IPL_030_2_{ B}
|
||||
DSACK_1_{ I}: DTACK{ D}
|
||||
RN_DSACK_1_{ I}: DSACK_1_{ H}
|
||||
|
@ -487,11 +487,11 @@ RN_DSACK_1_{ I}: DSACK_1_{ H}
|
|||
: SM_AMIGA_7_{ H} SM_AMIGA_0_{ H}
|
||||
RN_UDS_000{ E}: UDS_000{ D}
|
||||
RN_LDS_000{ E}: LDS_000{ D}
|
||||
RN_IPL_030_1_{ C}: IPL_030_1_{ B}
|
||||
RN_IPL_030_0_{ C}: IPL_030_0_{ B}
|
||||
RN_BG_000{ E}: BG_000{ D}
|
||||
RN_BGACK_030{ I}: AS_000{ D} UDS_000{ D} LDS_000{ D}
|
||||
: BGACK_030{ H} DTACK{ D}
|
||||
RN_IPL_030_1_{ C}: IPL_030_1_{ B}
|
||||
RN_IPL_030_0_{ C}: IPL_030_0_{ B}
|
||||
RN_FPU_CS{ I}: BERR{ E} AVEC_EXP{ C} FPU_CS{ H}
|
||||
DTACK{ E}:inst_DTACK_SYNC{ G}
|
||||
RN_E{ H}: E{ G} VMA{ D} cpu_est_1_{ D}
|
||||
|
@ -501,39 +501,40 @@ RN_IPL_030_0_{ C}: IPL_030_0_{ B}
|
|||
: cpu_est_1_{ D} inst_VPA_SYNC{ G} cpu_est_2_{ D}
|
||||
cpu_est_1_{ E}: E{ G} VMA{ D} cpu_est_1_{ D}
|
||||
: inst_VPA_SYNC{ G} cpu_est_2_{ D}
|
||||
inst_AS_030_000_SYNC{ G}: AS_000{ D} UDS_000{ D} LDS_000{ D}
|
||||
:inst_AS_030_000_SYNC{ F} SM_AMIGA_6_{ D} SM_AMIGA_5_{ A}
|
||||
inst_AS_030_000_SYNC{ I}: AS_000{ D} UDS_000{ D} LDS_000{ D}
|
||||
:inst_AS_030_000_SYNC{ H} SM_AMIGA_6_{ D} SM_AMIGA_5_{ A}
|
||||
inst_DTACK_SYNC{ H}:inst_DTACK_SYNC{ G} SM_AMIGA_3_{ G} SM_AMIGA_2_{ G}
|
||||
inst_VPA_D{ H}: VMA{ D}inst_DTACK_SYNC{ G} inst_VPA_SYNC{ G}
|
||||
inst_VPA_D{ I}: VMA{ D}inst_DTACK_SYNC{ G} inst_VPA_SYNC{ G}
|
||||
inst_VPA_SYNC{ H}: inst_VPA_SYNC{ G} SM_AMIGA_3_{ G} SM_AMIGA_2_{ G}
|
||||
inst_CLK_000_D{ I}: IPL_030_2_{ B} DSACK_1_{ H} AS_000{ D}
|
||||
: UDS_000{ D} LDS_000{ D} BGACK_030{ H}
|
||||
: IPL_030_1_{ B} IPL_030_0_{ B} E{ G}
|
||||
: VMA{ D} cpu_est_0_{ D} cpu_est_1_{ D}
|
||||
:inst_DTACK_SYNC{ G} inst_VPA_SYNC{ G}inst_CLK_000_DD{ D}
|
||||
: cpu_est_2_{ D} SM_AMIGA_6_{ D} SM_AMIGA_7_{ H}
|
||||
: SM_AMIGA_1_{ H} SM_AMIGA_4_{ G} SM_AMIGA_3_{ G}
|
||||
: SM_AMIGA_5_{ A} SM_AMIGA_2_{ G} SM_AMIGA_0_{ H}
|
||||
inst_CLK_000_DD{ E}: IPL_030_2_{ B} AS_000{ D} UDS_000{ D}
|
||||
: LDS_000{ D} BGACK_030{ H} IPL_030_1_{ B}
|
||||
: IPL_030_0_{ B} E{ G} cpu_est_0_{ D}
|
||||
: cpu_est_1_{ D} cpu_est_2_{ D} SM_AMIGA_6_{ D}
|
||||
: SM_AMIGA_5_{ A}
|
||||
inst_CLK_000_D0{ H}: IPL_030_2_{ B} AS_000{ D} UDS_000{ D}
|
||||
: LDS_000{ D} IPL_030_1_{ B} IPL_030_0_{ B}
|
||||
: BGACK_030{ H} E{ G} VMA{ D}
|
||||
: cpu_est_0_{ D} cpu_est_1_{ D}inst_DTACK_SYNC{ G}
|
||||
: inst_VPA_SYNC{ G}inst_CLK_000_D1{ D} cpu_est_2_{ D}
|
||||
: SM_AMIGA_6_{ D} SM_AMIGA_7_{ H} SM_AMIGA_1_{ G}
|
||||
: SM_AMIGA_4_{ F} SM_AMIGA_3_{ G} SM_AMIGA_5_{ A}
|
||||
: SM_AMIGA_2_{ G} SM_AMIGA_0_{ H}
|
||||
inst_CLK_000_D1{ E}: IPL_030_2_{ B} DSACK_1_{ H} AS_000{ D}
|
||||
: UDS_000{ D} LDS_000{ D} IPL_030_1_{ B}
|
||||
: IPL_030_0_{ B} BGACK_030{ H} E{ G}
|
||||
: cpu_est_0_{ D} cpu_est_1_{ D} cpu_est_2_{ D}
|
||||
: SM_AMIGA_6_{ D} SM_AMIGA_1_{ G} SM_AMIGA_5_{ A}
|
||||
: SM_AMIGA_0_{ H}
|
||||
inst_CLK_OUT_PRE{ I}: CLK_DIV_OUT{ G} DSACK_1_{ H} CLK_EXP{ B}
|
||||
:inst_CLK_OUT_PRE{ H} SM_AMIGA_1_{ H} SM_AMIGA_0_{ H}
|
||||
:inst_CLK_OUT_PRE{ H} SM_AMIGA_1_{ G} SM_AMIGA_0_{ H}
|
||||
cpu_est_2_{ E}: E{ G} VMA{ D} cpu_est_1_{ D}
|
||||
: inst_VPA_SYNC{ G} cpu_est_2_{ D}
|
||||
CLK_CNT_0_{ I}:inst_CLK_OUT_PRE{ H} CLK_CNT_0_{ H}
|
||||
SM_AMIGA_6_{ E}: AS_000{ D} UDS_000{ D} LDS_000{ D}
|
||||
: BG_000{ D} SM_AMIGA_6_{ D} SM_AMIGA_5_{ A}
|
||||
SM_AMIGA_7_{ I}: BG_000{ D} SM_AMIGA_6_{ D} SM_AMIGA_7_{ H}
|
||||
SM_AMIGA_1_{ I}: DSACK_1_{ H} SM_AMIGA_1_{ H} SM_AMIGA_0_{ H}
|
||||
SM_AMIGA_4_{ H}: UDS_000{ D} LDS_000{ D} SM_AMIGA_4_{ G}
|
||||
SM_AMIGA_1_{ H}: DSACK_1_{ H} SM_AMIGA_1_{ G} SM_AMIGA_0_{ H}
|
||||
SM_AMIGA_4_{ G}: UDS_000{ D} LDS_000{ D} SM_AMIGA_4_{ F}
|
||||
: SM_AMIGA_3_{ G}
|
||||
SM_AMIGA_3_{ H}:inst_DTACK_SYNC{ G} inst_VPA_SYNC{ G} SM_AMIGA_3_{ G}
|
||||
: SM_AMIGA_2_{ G}
|
||||
SM_AMIGA_5_{ B}: SM_AMIGA_4_{ G} SM_AMIGA_5_{ A}
|
||||
SM_AMIGA_2_{ H}: SM_AMIGA_1_{ H} SM_AMIGA_2_{ G}
|
||||
SM_AMIGA_5_{ B}: SM_AMIGA_4_{ F} SM_AMIGA_5_{ A}
|
||||
SM_AMIGA_2_{ H}: SM_AMIGA_1_{ G} SM_AMIGA_2_{ G}
|
||||
SM_AMIGA_0_{ I}: SM_AMIGA_7_{ H} SM_AMIGA_0_{ H}
|
||||
-----------------------------------------------------------------------------
|
||||
|
||||
|
@ -552,10 +553,10 @@ Equations :
|
|||
+-----+-----+-----+-----+------------------------
|
||||
| | | | | AVEC
|
||||
| * | S | BS | BR | SM_AMIGA_5_
|
||||
| | | | | DS_030
|
||||
| | | | | A_19_
|
||||
| | | | | A_16_
|
||||
| | | | | A_18_
|
||||
| | | | | DS_030
|
||||
| | | | | A_21_
|
||||
| | | | | A_20_
|
||||
|
||||
|
@ -611,7 +612,7 @@ Equations :
|
|||
| * | S | BS | BR | VMA
|
||||
| * | S | BS | BR | AS_000
|
||||
| | | | | AMIGA_BUS_ENABLE
|
||||
| * | A | | | inst_CLK_000_DD
|
||||
| * | A | | | inst_CLK_000_D1
|
||||
| * | A | | | cpu_est_1_
|
||||
| * | S | BR | BS | SM_AMIGA_6_
|
||||
| * | A | | | cpu_est_2_
|
||||
|
@ -637,13 +638,13 @@ Equations :
|
|||
|
||||
|
||||
Block F
|
||||
block level set pt : !RST
|
||||
block level reset pt : GND
|
||||
block level set pt : GND
|
||||
block level reset pt : !RST
|
||||
Equations :
|
||||
| | |Block|Block| Signal
|
||||
| Reg |Mode |Set |Reset| Name
|
||||
+-----+-----+-----+-----+------------------------
|
||||
| * | S | BS | BR | inst_AS_030_000_SYNC
|
||||
| * | S | BS | BR | SM_AMIGA_4_
|
||||
| | | | | A_17_
|
||||
| | | | | FC_1_
|
||||
| | | | | FC_0_
|
||||
|
@ -659,10 +660,10 @@ Equations :
|
|||
+-----+-----+-----+-----+------------------------
|
||||
| * | S | BS | BR | E
|
||||
| * | S | BS | BR | CLK_DIV_OUT
|
||||
| * | S | BS | BR | inst_CLK_000_D0
|
||||
| * | S | BS | BR | RN_E
|
||||
| * | A | | | SM_AMIGA_1_
|
||||
| * | A | | | SM_AMIGA_2_
|
||||
| * | A | | | SM_AMIGA_4_
|
||||
| * | S | BS | BR | inst_VPA_D
|
||||
| * | A | | | SM_AMIGA_3_
|
||||
| * | A | | | inst_VPA_SYNC
|
||||
| * | A | | | inst_DTACK_SYNC
|
||||
|
@ -684,13 +685,13 @@ Equations :
|
|||
| * | S | BS | BR | BGACK_030
|
||||
| * | S | BS | BR | FPU_CS
|
||||
| | | | | DSACK_0_
|
||||
| * | A | | | inst_CLK_000_D
|
||||
| * | S | BS | BR | inst_AS_030_000_SYNC
|
||||
| * | S | BS | BR | RN_FPU_CS
|
||||
| * | A | | | inst_CLK_OUT_PRE
|
||||
| * | S | BS | BR | RN_BGACK_030
|
||||
| * | S | BS | BR | SM_AMIGA_7_
|
||||
| * | A | | | inst_VPA_D
|
||||
| * | S | BR | BS | SM_AMIGA_0_
|
||||
| * | S | BR | BS | SM_AMIGA_1_
|
||||
| * | S | BS | BR | RN_DSACK_1_
|
||||
| * | A | | | CLK_CNT_0_
|
||||
| | | | | AS_030
|
||||
|
@ -714,19 +715,19 @@ BLOCK_A_LOGIC_ARRAY_FANIN
|
|||
CSM Signal Source CSM Signal Source
|
||||
------------------------------------ ------------------------------------
|
||||
mx A0 RST pin 86 mx A17 ... ...
|
||||
mx A1 inst_CLK_000_DD mcell D13 mx A18 ... ...
|
||||
mx A1 inst_CLK_000_D1 mcell D13 mx A18 ... ...
|
||||
mx A2 ... ... mx A19 ... ...
|
||||
mx A3 ... ... mx A20 ... ...
|
||||
mx A4 CLK_OSZI pin 61 mx A21 ... ...
|
||||
mx A5inst_AS_030_000_SYNC mcell F0 mx A22 ... ...
|
||||
mx A5 ... ... mx A22 ... ...
|
||||
mx A6 ... ... mx A23 ... ...
|
||||
mx A7 ... ... mx A24 ... ...
|
||||
mx A8 ... ... mx A25 ... ...
|
||||
mx A9 ... ... mx A26 ... ...
|
||||
mx A10 inst_CLK_000_D mcell H1 mx A27 ... ...
|
||||
mx A10inst_AS_030_000_SYNC mcell H1 mx A27 ... ...
|
||||
mx A11 ... ... mx A28 ... ...
|
||||
mx A12 ... ... mx A29 ... ...
|
||||
mx A13 ... ... mx A30 ... ...
|
||||
mx A13 inst_CLK_000_D0 mcell G8 mx A30 ... ...
|
||||
mx A14 ... ... mx A31 ... ...
|
||||
mx A15 SM_AMIGA_5_ mcell A0 mx A32 ... ...
|
||||
mx A16 SM_AMIGA_6_ mcell D6
|
||||
|
@ -738,7 +739,7 @@ BLOCK_B_LOGIC_ARRAY_FANIN
|
|||
CSM Signal Source CSM Signal Source
|
||||
------------------------------------ ------------------------------------
|
||||
mx B0 IPL_0_ pin 67 mx B17 ... ...
|
||||
mx B1 inst_CLK_000_DD mcell D13 mx B18 ... ...
|
||||
mx B1 inst_CLK_000_D1 mcell D13 mx B18 ... ...
|
||||
mx B2 ... ... mx B19 ... ...
|
||||
mx B3 IPL_1_ pin 56 mx B20 ... ...
|
||||
mx B4 IPL_2_ pin 68 mx B21 RST pin 86
|
||||
|
@ -747,7 +748,7 @@ mx B6 ... ... mx B23 ... ...
|
|||
mx B7 ... ... mx B24 ... ...
|
||||
mx B8 RN_IPL_030_0_ mcell B8 mx B25 ... ...
|
||||
mx B9 ... ... mx B26 ... ...
|
||||
mx B10 inst_CLK_000_D mcell H1 mx B27 RN_IPL_030_2_ mcell B4
|
||||
mx B10 inst_CLK_000_D0 mcell G8 mx B27 RN_IPL_030_2_ mcell B4
|
||||
mx B11 ... ... mx B28 ... ...
|
||||
mx B12 RN_IPL_030_1_ mcell B12 mx B29 CLK_OSZI pin 61
|
||||
mx B13inst_CLK_OUT_PRE mcell H5 mx B30 ... ...
|
||||
|
@ -786,22 +787,22 @@ BLOCK_D_LOGIC_ARRAY_FANIN
|
|||
CSM Signal Source CSM Signal Source
|
||||
------------------------------------ ------------------------------------
|
||||
mx D0 SIZE_0_ pin 70 mx D17 RN_BG_000 mcell D1
|
||||
mx D1 inst_CLK_000_DD mcell D13 mx D18 A_0_ pin 69
|
||||
mx D2 RN_E mcell G4 mx D19 ... ...
|
||||
mx D3 cpu_est_1_ mcell D2 mx D20 cpu_est_2_ mcell D10
|
||||
mx D4 inst_VPA_D mcell G1 mx D21 RN_VMA mcell D4
|
||||
mx D5 DS_030 pin 98 mx D22 BG_030 pin 21
|
||||
mx D1 inst_CLK_000_D1 mcell D13 mx D18 A_0_ pin 69
|
||||
mx D2 RN_E mcell G4 mx D19inst_AS_030_000_SYNC mcell H1
|
||||
mx D3 cpu_est_1_ mcell D2 mx D20 CLK_030 pin 64
|
||||
mx D4 BG_030 pin 21 mx D21 RST pin 86
|
||||
mx D5 RN_LDS_000 mcell D8 mx D22 ... ...
|
||||
mx D6 SIZE_1_ pin 79 mx D23 RN_BGACK_030 mcell H4
|
||||
mx D7 RN_AS_000 mcell D5 mx D24 RST pin 86
|
||||
mx D8 RW pin 71 mx D25inst_AS_030_000_SYNC mcell F0
|
||||
mx D7 inst_VPA_D mcell H13 mx D24 RN_AS_000 mcell D5
|
||||
mx D8 RW pin 71 mx D25 SM_AMIGA_4_ mcell F0
|
||||
mx D9 AS_030 pin 82 mx D26 ... ...
|
||||
mx D10 cpu_est_0_ mcell D14 mx D27 SM_AMIGA_7_ mcell H9
|
||||
mx D11 RN_UDS_000 mcell D12 mx D28 CLK_030 pin 64
|
||||
mx D12 inst_CLK_000_D mcell H1 mx D29 CLK_OSZI pin 61
|
||||
mx D13 ... ... mx D30 SM_AMIGA_6_ mcell D6
|
||||
mx D14 SM_AMIGA_4_ mcell G12 mx D31 ... ...
|
||||
mx D15 CPU_SPACE pin 14 mx D32 DSACK_1_ pin 81
|
||||
mx D16 RN_LDS_000 mcell D8
|
||||
mx D11 RN_UDS_000 mcell D12 mx D28 ... ...
|
||||
mx D12 DS_030 pin 98 mx D29 CLK_OSZI pin 61
|
||||
mx D13 inst_CLK_000_D0 mcell G8 mx D30 cpu_est_2_ mcell D10
|
||||
mx D14 RN_VMA mcell D4 mx D31 ... ...
|
||||
mx D15 nEXP_SPACE pin 14 mx D32 DSACK_1_ pin 81
|
||||
mx D16 SM_AMIGA_6_ mcell D6
|
||||
----------------------------------------------------------------------------
|
||||
|
||||
|
||||
|
@ -833,22 +834,22 @@ BLOCK_F_LOGIC_ARRAY_FANIN
|
|||
~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
CSM Signal Source CSM Signal Source
|
||||
------------------------------------ ------------------------------------
|
||||
mx F0 RST pin 86 mx F17 A_18_ pin 95
|
||||
mx F1 FC_1_ pin 58 mx F18 ... ...
|
||||
mx F0 RST pin 86 mx F17 ... ...
|
||||
mx F1 ... ... mx F18 ... ...
|
||||
mx F2 ... ... mx F19 ... ...
|
||||
mx F3 ... ... mx F20 CLK_030 pin 64
|
||||
mx F4 BGACK_000 pin 28 mx F21 ... ...
|
||||
mx F5 CPU_SPACE pin 14 mx F22 ... ...
|
||||
mx F6 FC_0_ pin 57 mx F23 ... ...
|
||||
mx F3 ... ... mx F20 ... ...
|
||||
mx F4 CLK_OSZI pin 61 mx F21 ... ...
|
||||
mx F5 SM_AMIGA_4_ mcell F0 mx F22 ... ...
|
||||
mx F6 ... ... mx F23 ... ...
|
||||
mx F7 ... ... mx F24 ... ...
|
||||
mx F8 A_17_ pin 59 mx F25inst_AS_030_000_SYNC mcell F0
|
||||
mx F9 AS_030 pin 82 mx F26 ... ...
|
||||
mx F10 ... ... mx F27 ... ...
|
||||
mx F11 A_16_ pin 96 mx F28 ... ...
|
||||
mx F12 A_19_ pin 97 mx F29 ... ...
|
||||
mx F8 ... ... mx F25 ... ...
|
||||
mx F9 ... ... mx F26 ... ...
|
||||
mx F10 inst_CLK_000_D0 mcell G8 mx F27 ... ...
|
||||
mx F11 ... ... mx F28 ... ...
|
||||
mx F12 ... ... mx F29 ... ...
|
||||
mx F13 ... ... mx F30 ... ...
|
||||
mx F14 ... ... mx F31 ... ...
|
||||
mx F15 ... ... mx F32 ... ...
|
||||
mx F15 SM_AMIGA_5_ mcell A0 mx F32 ... ...
|
||||
mx F16 ... ...
|
||||
----------------------------------------------------------------------------
|
||||
|
||||
|
@ -858,21 +859,21 @@ BLOCK_G_LOGIC_ARRAY_FANIN
|
|||
CSM Signal Source CSM Signal Source
|
||||
------------------------------------ ------------------------------------
|
||||
mx G0 RST pin 86 mx G17 cpu_est_0_ mcell D14
|
||||
mx G1 inst_CLK_000_DD mcell D13 mx G18 ... ...
|
||||
mx G2 cpu_est_2_ mcell D10 mx G19 inst_CLK_000_D mcell H1
|
||||
mx G3 SM_AMIGA_3_ mcell G5 mx G20 ... ...
|
||||
mx G4inst_CLK_OUT_PRE mcell H5 mx G21 CLK_OSZI pin 61
|
||||
mx G5 ... ... mx G22 ... ...
|
||||
mx G6 ... ... mx G23 DTACK pin 30
|
||||
mx G7 SM_AMIGA_4_ mcell G12 mx G24 inst_VPA_D mcell G1
|
||||
mx G1 inst_CLK_000_D1 mcell D13 mx G18 ... ...
|
||||
mx G2 cpu_est_2_ mcell D10 mx G19 AS_030 pin 82
|
||||
mx G3 CLK_000 pin 11 mx G20 ... ...
|
||||
mx G4 CLK_OSZI pin 61 mx G21 ... ...
|
||||
mx G5 SM_AMIGA_4_ mcell F0 mx G22 SM_AMIGA_3_ mcell G5
|
||||
mx G6 ... ... mx G23 SM_AMIGA_1_ mcell G12
|
||||
mx G7 inst_VPA_D mcell H13 mx G24 SM_AMIGA_2_ mcell G1
|
||||
mx G8 ... ... mx G25 ... ...
|
||||
mx G9 AS_030 pin 82 mx G26 ... ...
|
||||
mx G10 VPA pin 36 mx G27 inst_VPA_SYNC mcell G9
|
||||
mx G11 RN_E mcell G4 mx G28 ... ...
|
||||
mx G9 DTACK pin 30 mx G26 ... ...
|
||||
mx G10 inst_VPA_SYNC mcell G9 mx G27 ... ...
|
||||
mx G11 RN_E mcell G4 mx G28 inst_CLK_000_D0 mcell G8
|
||||
mx G12 inst_DTACK_SYNC mcell G13 mx G29 ... ...
|
||||
mx G13 SM_AMIGA_2_ mcell G8 mx G30 ... ...
|
||||
mx G13inst_CLK_OUT_PRE mcell H5 mx G30 ... ...
|
||||
mx G14 RN_VMA mcell D4 mx G31 ... ...
|
||||
mx G15 SM_AMIGA_5_ mcell A0 mx G32 ... ...
|
||||
mx G15 ... ... mx G32 ... ...
|
||||
mx G16 cpu_est_1_ mcell D2
|
||||
----------------------------------------------------------------------------
|
||||
|
||||
|
@ -883,20 +884,20 @@ CSM Signal Source CSM Signal Source
|
|||
------------------------------------ ------------------------------------
|
||||
mx H0 RST pin 86 mx H17 A_18_ pin 95
|
||||
mx H1 FC_1_ pin 58 mx H18 BGACK_000 pin 28
|
||||
mx H2 ... ... mx H19 SM_AMIGA_0_ mcell H13
|
||||
mx H2 ... ... mx H19inst_AS_030_000_SYNC mcell H1
|
||||
mx H3 RN_DSACK_1_ mcell H8 mx H20 CLK_030 pin 64
|
||||
mx H4 SM_AMIGA_1_ mcell H2 mx H21 CLK_OSZI pin 61
|
||||
mx H5 SM_AMIGA_7_ mcell H9 mx H22 ... ...
|
||||
mx H4 CLK_OSZI pin 61 mx H21 CLK_CNT_0_ mcell H6
|
||||
mx H5 nEXP_SPACE pin 14 mx H22 ... ...
|
||||
mx H6 FC_0_ pin 57 mx H23 RN_BGACK_030 mcell H4
|
||||
mx H7 CLK_CNT_0_ mcell H6 mx H24 RN_AS_000 mcell D5
|
||||
mx H7 SM_AMIGA_1_ mcell G12 mx H24 RN_AS_000 mcell D5
|
||||
mx H8 A_17_ pin 59 mx H25 ... ...
|
||||
mx H9 AS_030 pin 82 mx H26 ... ...
|
||||
mx H10 inst_CLK_000_D mcell H1 mx H27inst_CLK_OUT_PRE mcell H5
|
||||
mx H11 A_16_ pin 96 mx H28 ... ...
|
||||
mx H12 A_19_ pin 97 mx H29 inst_CLK_000_DD mcell D13
|
||||
mx H13 SM_AMIGA_2_ mcell G8 mx H30 RN_FPU_CS mcell H0
|
||||
mx H14 CLK_000 pin 11 mx H31 ... ...
|
||||
mx H15 CPU_SPACE pin 14 mx H32 ... ...
|
||||
mx H10 VPA pin 36 mx H27 SM_AMIGA_7_ mcell H9
|
||||
mx H11 A_16_ pin 96 mx H28 inst_CLK_000_D0 mcell G8
|
||||
mx H12 A_19_ pin 97 mx H29 inst_CLK_000_D1 mcell D13
|
||||
mx H13inst_CLK_OUT_PRE mcell H5 mx H30 RN_FPU_CS mcell H0
|
||||
mx H14 SM_AMIGA_0_ mcell H2 mx H31 ... ...
|
||||
mx H15 ... ... mx H32 ... ...
|
||||
mx H16 ... ...
|
||||
----------------------------------------------------------------------------
|
||||
|
||||
|
@ -921,7 +922,7 @@ PostFit_Equations
|
|||
1 0 1 Pin AVEC
|
||||
0 0 1 Pin AVEC_EXP
|
||||
1 1 1 Pin AVEC_EXP.OE
|
||||
0 0 1 Pin AMIGA_BUS_ENABLE
|
||||
1 1 1 Pin AMIGA_BUS_ENABLE
|
||||
1 1 1 Pin AMIGA_BUS_DATA_DIR
|
||||
1 0 1 Pin AMIGA_BUS_ENABLE_LOW
|
||||
1 4 1 Pin CIIN
|
||||
|
@ -945,18 +946,18 @@ PostFit_Equations
|
|||
12 12 1 Pin LDS_000.D-
|
||||
1 1 1 Pin LDS_000.AP
|
||||
1 1 1 Pin LDS_000.C
|
||||
3 7 1 Pin BG_000.D-
|
||||
1 1 1 Pin BG_000.AP
|
||||
1 1 1 Pin BG_000.C
|
||||
2 4 1 Pin BGACK_030.D
|
||||
1 1 1 Pin BGACK_030.AP
|
||||
1 1 1 Pin BGACK_030.C
|
||||
3 4 1 Pin IPL_030_1_.D
|
||||
1 1 1 Pin IPL_030_1_.AP
|
||||
1 1 1 Pin IPL_030_1_.C
|
||||
3 4 1 Pin IPL_030_0_.D
|
||||
1 1 1 Pin IPL_030_0_.AP
|
||||
1 1 1 Pin IPL_030_0_.C
|
||||
3 7 1 Pin BG_000.D-
|
||||
1 1 1 Pin BG_000.AP
|
||||
1 1 1 Pin BG_000.C
|
||||
2 4 1 Pin BGACK_030.D
|
||||
1 1 1 Pin BGACK_030.AP
|
||||
1 1 1 Pin BGACK_030.C
|
||||
1 1 1 Pin CLK_EXP.D
|
||||
1 1 1 Pin CLK_EXP.C
|
||||
2 10 1 Pin FPU_CS.D-
|
||||
|
@ -988,10 +989,10 @@ PostFit_Equations
|
|||
2 10 1 Node inst_VPA_SYNC.D-
|
||||
1 1 1 Node inst_VPA_SYNC.AP
|
||||
1 1 1 Node inst_VPA_SYNC.C
|
||||
1 1 1 Node inst_CLK_000_D.D
|
||||
1 1 1 Node inst_CLK_000_D.C
|
||||
1 1 1 Node inst_CLK_000_DD.D
|
||||
1 1 1 Node inst_CLK_000_DD.C
|
||||
1 1 1 Node inst_CLK_000_D0.D
|
||||
1 1 1 Node inst_CLK_000_D0.C
|
||||
1 1 1 Node inst_CLK_000_D1.D
|
||||
1 1 1 Node inst_CLK_000_D1.C
|
||||
2 2 1 Node inst_CLK_OUT_PRE.D
|
||||
1 1 1 Node inst_CLK_OUT_PRE.C
|
||||
3 6 1 NodeX1 cpu_est_2_.D.X1
|
||||
|
@ -1006,7 +1007,7 @@ PostFit_Equations
|
|||
1 1 1 Node SM_AMIGA_7_.AP
|
||||
1 1 1 Node SM_AMIGA_7_.C
|
||||
1 1 1 Node SM_AMIGA_1_.AR
|
||||
3 4 1 Node SM_AMIGA_1_.D
|
||||
3 5 1 Node SM_AMIGA_1_.D
|
||||
1 1 1 Node SM_AMIGA_1_.C
|
||||
1 1 1 Node SM_AMIGA_4_.AR
|
||||
2 3 1 Node SM_AMIGA_4_.D
|
||||
|
@ -1021,10 +1022,10 @@ PostFit_Equations
|
|||
3 5 1 Node SM_AMIGA_2_.D
|
||||
1 1 1 Node SM_AMIGA_2_.C
|
||||
1 1 1 Node SM_AMIGA_0_.AR
|
||||
3 5 1 Node SM_AMIGA_0_.D
|
||||
3 6 1 Node SM_AMIGA_0_.D
|
||||
1 1 1 Node SM_AMIGA_0_.C
|
||||
=========
|
||||
167 P-Term Total: 167
|
||||
168 P-Term Total: 168
|
||||
Total Pins: 59
|
||||
Total Nodes: 19
|
||||
Average P-Term/Output: 2
|
||||
|
@ -1038,7 +1039,7 @@ BERR.OE = (!FPU_CS.Q);
|
|||
|
||||
DSACK_0_ = (1);
|
||||
|
||||
DSACK_0_.OE = (!CPU_SPACE);
|
||||
DSACK_0_.OE = (nEXP_SPACE);
|
||||
|
||||
CLK_DIV_OUT.D = (inst_CLK_OUT_PRE.Q);
|
||||
|
||||
|
@ -1050,7 +1051,7 @@ AVEC_EXP = (0);
|
|||
|
||||
AVEC_EXP.OE = (!FPU_CS.Q);
|
||||
|
||||
AMIGA_BUS_ENABLE = (0);
|
||||
AMIGA_BUS_ENABLE = (!nEXP_SPACE);
|
||||
|
||||
AMIGA_BUS_DATA_DIR = (!RW);
|
||||
|
||||
|
@ -1060,18 +1061,18 @@ CIIN = (A_23_ & A_22_ & A_21_ & A_20_);
|
|||
|
||||
CIIN.OE = (!A_31_ & !A_30_ & !A_29_ & !A_28_ & !A_27_ & !A_26_ & !A_25_ & !A_24_);
|
||||
|
||||
IPL_030_2_.D = (IPL_030_2_.Q & !inst_CLK_000_D.Q
|
||||
# IPL_030_2_.Q & inst_CLK_000_DD.Q
|
||||
# IPL_2_ & inst_CLK_000_D.Q & !inst_CLK_000_DD.Q);
|
||||
IPL_030_2_.D = (!inst_CLK_000_D0.Q & IPL_030_2_.Q
|
||||
# inst_CLK_000_D1.Q & IPL_030_2_.Q
|
||||
# IPL_2_ & inst_CLK_000_D0.Q & !inst_CLK_000_D1.Q);
|
||||
|
||||
IPL_030_2_.AP = (!RST);
|
||||
|
||||
IPL_030_2_.C = (CLK_OSZI);
|
||||
|
||||
DSACK_1_.OE = (!CPU_SPACE);
|
||||
DSACK_1_.OE = (nEXP_SPACE);
|
||||
|
||||
!DSACK_1_.D = (!AS_030 & !DSACK_1_.Q
|
||||
# !inst_CLK_000_D.Q & inst_CLK_OUT_PRE.Q & SM_AMIGA_1_.Q);
|
||||
# !inst_CLK_000_D1.Q & inst_CLK_OUT_PRE.Q & SM_AMIGA_1_.Q);
|
||||
|
||||
DSACK_1_.AP = (!RST);
|
||||
|
||||
|
@ -1080,7 +1081,7 @@ DSACK_1_.C = (CLK_OSZI);
|
|||
AS_000.OE = (BGACK_030.Q);
|
||||
|
||||
!AS_000.D = (!AS_030 & !AS_000.Q
|
||||
# !inst_AS_030_000_SYNC.Q & inst_CLK_000_D.Q & !inst_CLK_000_DD.Q & SM_AMIGA_6_.Q);
|
||||
# !inst_AS_030_000_SYNC.Q & inst_CLK_000_D0.Q & !inst_CLK_000_D1.Q & SM_AMIGA_6_.Q);
|
||||
|
||||
AS_000.AP = (!RST);
|
||||
|
||||
|
@ -1089,13 +1090,13 @@ AS_000.C = (CLK_OSZI);
|
|||
UDS_000.OE = (BGACK_030.Q);
|
||||
|
||||
!UDS_000.D = (!AS_030 & DS_030 & !UDS_000.Q
|
||||
# !AS_030 & !inst_CLK_000_D.Q & !UDS_000.Q
|
||||
# !AS_030 & !inst_CLK_000_D0.Q & !UDS_000.Q
|
||||
# !AS_030 & RW & inst_AS_030_000_SYNC.Q & !UDS_000.Q
|
||||
# !AS_030 & RW & inst_CLK_000_DD.Q & !UDS_000.Q
|
||||
# !AS_030 & RW & inst_CLK_000_D1.Q & !UDS_000.Q
|
||||
# !AS_030 & RW & !SM_AMIGA_6_.Q & !UDS_000.Q
|
||||
# !AS_030 & !RW & !UDS_000.Q & !SM_AMIGA_4_.Q
|
||||
# !DS_030 & !RW & !A_0_ & inst_CLK_000_D.Q & SM_AMIGA_4_.Q
|
||||
# !DS_030 & RW & !A_0_ & !inst_AS_030_000_SYNC.Q & inst_CLK_000_D.Q & !inst_CLK_000_DD.Q & SM_AMIGA_6_.Q);
|
||||
# !DS_030 & !RW & !A_0_ & inst_CLK_000_D0.Q & SM_AMIGA_4_.Q
|
||||
# !DS_030 & RW & !A_0_ & !inst_AS_030_000_SYNC.Q & inst_CLK_000_D0.Q & !inst_CLK_000_D1.Q & SM_AMIGA_6_.Q);
|
||||
|
||||
UDS_000.AP = (!RST);
|
||||
|
||||
|
@ -1104,53 +1105,53 @@ UDS_000.C = (CLK_OSZI);
|
|||
LDS_000.OE = (BGACK_030.Q);
|
||||
|
||||
!LDS_000.D = (!AS_030 & DS_030 & !LDS_000.Q
|
||||
# !AS_030 & !inst_CLK_000_D.Q & !LDS_000.Q
|
||||
# !AS_030 & !inst_CLK_000_D0.Q & !LDS_000.Q
|
||||
# !AS_030 & RW & inst_AS_030_000_SYNC.Q & !LDS_000.Q
|
||||
# !AS_030 & RW & inst_CLK_000_DD.Q & !LDS_000.Q
|
||||
# !AS_030 & RW & inst_CLK_000_D1.Q & !LDS_000.Q
|
||||
# !AS_030 & RW & !SM_AMIGA_6_.Q & !LDS_000.Q
|
||||
# !AS_030 & !RW & !LDS_000.Q & !SM_AMIGA_4_.Q
|
||||
# SIZE_1_ & !DS_030 & !RW & inst_CLK_000_D.Q & SM_AMIGA_4_.Q
|
||||
# !DS_030 & !RW & !SIZE_0_ & inst_CLK_000_D.Q & SM_AMIGA_4_.Q
|
||||
# !DS_030 & !RW & A_0_ & inst_CLK_000_D.Q & SM_AMIGA_4_.Q
|
||||
# SIZE_1_ & !DS_030 & RW & !inst_AS_030_000_SYNC.Q & inst_CLK_000_D.Q & !inst_CLK_000_DD.Q & SM_AMIGA_6_.Q
|
||||
# !DS_030 & RW & !SIZE_0_ & !inst_AS_030_000_SYNC.Q & inst_CLK_000_D.Q & !inst_CLK_000_DD.Q & SM_AMIGA_6_.Q
|
||||
# !DS_030 & RW & A_0_ & !inst_AS_030_000_SYNC.Q & inst_CLK_000_D.Q & !inst_CLK_000_DD.Q & SM_AMIGA_6_.Q);
|
||||
# SIZE_1_ & !DS_030 & !RW & inst_CLK_000_D0.Q & SM_AMIGA_4_.Q
|
||||
# !DS_030 & !RW & !SIZE_0_ & inst_CLK_000_D0.Q & SM_AMIGA_4_.Q
|
||||
# !DS_030 & !RW & A_0_ & inst_CLK_000_D0.Q & SM_AMIGA_4_.Q
|
||||
# SIZE_1_ & !DS_030 & RW & !inst_AS_030_000_SYNC.Q & inst_CLK_000_D0.Q & !inst_CLK_000_D1.Q & SM_AMIGA_6_.Q
|
||||
# !DS_030 & RW & !SIZE_0_ & !inst_AS_030_000_SYNC.Q & inst_CLK_000_D0.Q & !inst_CLK_000_D1.Q & SM_AMIGA_6_.Q
|
||||
# !DS_030 & RW & A_0_ & !inst_AS_030_000_SYNC.Q & inst_CLK_000_D0.Q & !inst_CLK_000_D1.Q & SM_AMIGA_6_.Q);
|
||||
|
||||
LDS_000.AP = (!RST);
|
||||
|
||||
LDS_000.C = (CLK_OSZI);
|
||||
|
||||
IPL_030_1_.D = (!inst_CLK_000_D0.Q & IPL_030_1_.Q
|
||||
# inst_CLK_000_D1.Q & IPL_030_1_.Q
|
||||
# IPL_1_ & inst_CLK_000_D0.Q & !inst_CLK_000_D1.Q);
|
||||
|
||||
IPL_030_1_.AP = (!RST);
|
||||
|
||||
IPL_030_1_.C = (CLK_OSZI);
|
||||
|
||||
IPL_030_0_.D = (!inst_CLK_000_D0.Q & IPL_030_0_.Q
|
||||
# inst_CLK_000_D1.Q & IPL_030_0_.Q
|
||||
# IPL_0_ & inst_CLK_000_D0.Q & !inst_CLK_000_D1.Q);
|
||||
|
||||
IPL_030_0_.AP = (!RST);
|
||||
|
||||
IPL_030_0_.C = (CLK_OSZI);
|
||||
|
||||
!BG_000.D = (!BG_030 & CLK_030 & !BG_000.Q
|
||||
# AS_030 & !CPU_SPACE & !BG_030 & !CLK_030 & SM_AMIGA_6_.Q
|
||||
# AS_030 & !CPU_SPACE & !BG_030 & !CLK_030 & SM_AMIGA_7_.Q);
|
||||
# AS_030 & !nEXP_SPACE & !BG_030 & !CLK_030 & SM_AMIGA_6_.Q
|
||||
# AS_030 & !nEXP_SPACE & !BG_030 & !CLK_030 & SM_AMIGA_7_.Q);
|
||||
|
||||
BG_000.AP = (!RST);
|
||||
|
||||
BG_000.C = (CLK_OSZI);
|
||||
|
||||
BGACK_030.D = (BGACK_000 & BGACK_030.Q
|
||||
# BGACK_000 & inst_CLK_000_D.Q & !inst_CLK_000_DD.Q);
|
||||
# BGACK_000 & inst_CLK_000_D0.Q & !inst_CLK_000_D1.Q);
|
||||
|
||||
BGACK_030.AP = (!RST);
|
||||
|
||||
BGACK_030.C = (CLK_OSZI);
|
||||
|
||||
IPL_030_1_.D = (IPL_030_1_.Q & !inst_CLK_000_D.Q
|
||||
# IPL_030_1_.Q & inst_CLK_000_DD.Q
|
||||
# IPL_1_ & inst_CLK_000_D.Q & !inst_CLK_000_DD.Q);
|
||||
|
||||
IPL_030_1_.AP = (!RST);
|
||||
|
||||
IPL_030_1_.C = (CLK_OSZI);
|
||||
|
||||
IPL_030_0_.D = (!inst_CLK_000_D.Q & IPL_030_0_.Q
|
||||
# inst_CLK_000_DD.Q & IPL_030_0_.Q
|
||||
# IPL_0_ & inst_CLK_000_D.Q & !inst_CLK_000_DD.Q);
|
||||
|
||||
IPL_030_0_.AP = (!RST);
|
||||
|
||||
IPL_030_0_.C = (CLK_OSZI);
|
||||
|
||||
CLK_EXP.D = (inst_CLK_OUT_PRE.Q);
|
||||
|
||||
CLK_EXP.C = (CLK_OSZI);
|
||||
|
@ -1170,16 +1171,16 @@ DTACK.AP = (!RST);
|
|||
|
||||
DTACK.C = (CLK_OSZI);
|
||||
|
||||
E.T = (E.Q & cpu_est_0_.Q & cpu_est_1_.Q & inst_CLK_000_D.Q & !inst_CLK_000_DD.Q & cpu_est_2_.Q
|
||||
# !E.Q & cpu_est_0_.Q & cpu_est_1_.Q & inst_CLK_000_D.Q & !inst_CLK_000_DD.Q & !cpu_est_2_.Q
|
||||
# !E.Q & !cpu_est_0_.Q & !cpu_est_1_.Q & inst_CLK_000_D.Q & !inst_CLK_000_DD.Q & !cpu_est_2_.Q);
|
||||
E.T = (E.Q & cpu_est_0_.Q & cpu_est_1_.Q & inst_CLK_000_D0.Q & !inst_CLK_000_D1.Q & cpu_est_2_.Q
|
||||
# !E.Q & cpu_est_0_.Q & cpu_est_1_.Q & inst_CLK_000_D0.Q & !inst_CLK_000_D1.Q & !cpu_est_2_.Q
|
||||
# !E.Q & !cpu_est_0_.Q & !cpu_est_1_.Q & inst_CLK_000_D0.Q & !inst_CLK_000_D1.Q & !cpu_est_2_.Q);
|
||||
|
||||
E.C = (CLK_OSZI);
|
||||
|
||||
VMA.AP = (!RST);
|
||||
|
||||
VMA.T = (!E.Q & !VMA.Q & !cpu_est_0_.Q & cpu_est_1_.Q & AS_000.Q & inst_CLK_000_D.Q & cpu_est_2_.Q
|
||||
# !E.Q & VMA.Q & cpu_est_0_.Q & !cpu_est_1_.Q & !inst_VPA_D.Q & !inst_CLK_000_D.Q & cpu_est_2_.Q);
|
||||
VMA.T = (!E.Q & !VMA.Q & !cpu_est_0_.Q & cpu_est_1_.Q & AS_000.Q & inst_CLK_000_D0.Q & cpu_est_2_.Q
|
||||
# !E.Q & VMA.Q & cpu_est_0_.Q & !cpu_est_1_.Q & !inst_VPA_D.Q & !inst_CLK_000_D0.Q & cpu_est_2_.Q);
|
||||
|
||||
VMA.C = (CLK_OSZI);
|
||||
|
||||
|
@ -1187,21 +1188,21 @@ RESET.D = (RST);
|
|||
|
||||
RESET.C = (CLK_OSZI);
|
||||
|
||||
cpu_est_0_.D = (cpu_est_0_.Q & !inst_CLK_000_D.Q
|
||||
# cpu_est_0_.Q & inst_CLK_000_DD.Q
|
||||
# !cpu_est_0_.Q & inst_CLK_000_D.Q & !inst_CLK_000_DD.Q);
|
||||
cpu_est_0_.D = (cpu_est_0_.Q & !inst_CLK_000_D0.Q
|
||||
# cpu_est_0_.Q & inst_CLK_000_D1.Q
|
||||
# !cpu_est_0_.Q & inst_CLK_000_D0.Q & !inst_CLK_000_D1.Q);
|
||||
|
||||
cpu_est_0_.C = (CLK_OSZI);
|
||||
|
||||
cpu_est_1_.T = (E.Q & !cpu_est_0_.Q & !cpu_est_1_.Q & inst_CLK_000_D.Q & !inst_CLK_000_DD.Q
|
||||
# !E.Q & cpu_est_0_.Q & inst_CLK_000_D.Q & !inst_CLK_000_DD.Q & cpu_est_2_.Q
|
||||
# !E.Q & !cpu_est_1_.Q & inst_CLK_000_D.Q & !inst_CLK_000_DD.Q & !cpu_est_2_.Q
|
||||
# E.Q & cpu_est_0_.Q & cpu_est_1_.Q & inst_CLK_000_D.Q & !inst_CLK_000_DD.Q & !cpu_est_2_.Q);
|
||||
cpu_est_1_.T = (E.Q & !cpu_est_0_.Q & !cpu_est_1_.Q & inst_CLK_000_D0.Q & !inst_CLK_000_D1.Q
|
||||
# !E.Q & cpu_est_0_.Q & inst_CLK_000_D0.Q & !inst_CLK_000_D1.Q & cpu_est_2_.Q
|
||||
# !E.Q & !cpu_est_1_.Q & inst_CLK_000_D0.Q & !inst_CLK_000_D1.Q & !cpu_est_2_.Q
|
||||
# E.Q & cpu_est_0_.Q & cpu_est_1_.Q & inst_CLK_000_D0.Q & !inst_CLK_000_D1.Q & !cpu_est_2_.Q);
|
||||
|
||||
cpu_est_1_.C = (CLK_OSZI);
|
||||
|
||||
inst_AS_030_000_SYNC.D = (AS_030
|
||||
# CPU_SPACE & CLK_030
|
||||
# !nEXP_SPACE & CLK_030
|
||||
# !CLK_030 & inst_AS_030_000_SYNC.Q
|
||||
# FC_1_ & BGACK_000 & CLK_030 & !A_19_ & !A_18_ & A_17_ & !A_16_ & FC_0_);
|
||||
|
||||
|
@ -1210,7 +1211,7 @@ inst_AS_030_000_SYNC.AP = (!RST);
|
|||
inst_AS_030_000_SYNC.C = (CLK_OSZI);
|
||||
|
||||
!inst_DTACK_SYNC.D = (!AS_030 & !inst_DTACK_SYNC.Q
|
||||
# inst_VPA_D.Q & inst_CLK_000_D.Q & SM_AMIGA_3_.Q & !DTACK.PIN);
|
||||
# inst_VPA_D.Q & inst_CLK_000_D0.Q & SM_AMIGA_3_.Q & !DTACK.PIN);
|
||||
|
||||
inst_DTACK_SYNC.AP = (!RST);
|
||||
|
||||
|
@ -1221,28 +1222,28 @@ inst_VPA_D.D = (VPA);
|
|||
inst_VPA_D.C = (CLK_OSZI);
|
||||
|
||||
!inst_VPA_SYNC.D = (!AS_030 & !inst_VPA_SYNC.Q
|
||||
# E.Q & !VMA.Q & !cpu_est_0_.Q & !cpu_est_1_.Q & !inst_VPA_D.Q & inst_CLK_000_D.Q & cpu_est_2_.Q & SM_AMIGA_3_.Q);
|
||||
# E.Q & !VMA.Q & !cpu_est_0_.Q & !cpu_est_1_.Q & !inst_VPA_D.Q & inst_CLK_000_D0.Q & cpu_est_2_.Q & SM_AMIGA_3_.Q);
|
||||
|
||||
inst_VPA_SYNC.AP = (!RST);
|
||||
|
||||
inst_VPA_SYNC.C = (CLK_OSZI);
|
||||
|
||||
inst_CLK_000_D.D = (CLK_000);
|
||||
inst_CLK_000_D0.D = (CLK_000);
|
||||
|
||||
inst_CLK_000_D.C = (CLK_OSZI);
|
||||
inst_CLK_000_D0.C = (CLK_OSZI);
|
||||
|
||||
inst_CLK_000_DD.D = (inst_CLK_000_D.Q);
|
||||
inst_CLK_000_D1.D = (inst_CLK_000_D0.Q);
|
||||
|
||||
inst_CLK_000_DD.C = (CLK_OSZI);
|
||||
inst_CLK_000_D1.C = (CLK_OSZI);
|
||||
|
||||
inst_CLK_OUT_PRE.D = (!inst_CLK_OUT_PRE.Q & CLK_CNT_0_.Q
|
||||
# inst_CLK_OUT_PRE.Q & !CLK_CNT_0_.Q);
|
||||
|
||||
inst_CLK_OUT_PRE.C = (CLK_OSZI);
|
||||
|
||||
cpu_est_2_.D.X1 = (E.Q & cpu_est_0_.Q & inst_CLK_000_D.Q & !inst_CLK_000_DD.Q & !cpu_est_2_.Q
|
||||
# !cpu_est_0_.Q & !cpu_est_1_.Q & inst_CLK_000_D.Q & !inst_CLK_000_DD.Q & !cpu_est_2_.Q
|
||||
# !E.Q & cpu_est_0_.Q & !cpu_est_1_.Q & inst_CLK_000_D.Q & !inst_CLK_000_DD.Q & cpu_est_2_.Q);
|
||||
cpu_est_2_.D.X1 = (E.Q & cpu_est_0_.Q & inst_CLK_000_D0.Q & !inst_CLK_000_D1.Q & !cpu_est_2_.Q
|
||||
# !cpu_est_0_.Q & !cpu_est_1_.Q & inst_CLK_000_D0.Q & !inst_CLK_000_D1.Q & !cpu_est_2_.Q
|
||||
# !E.Q & cpu_est_0_.Q & !cpu_est_1_.Q & inst_CLK_000_D0.Q & !inst_CLK_000_D1.Q & cpu_est_2_.Q);
|
||||
|
||||
cpu_est_2_.D.X2 = (cpu_est_2_.Q);
|
||||
|
||||
|
@ -1254,14 +1255,14 @@ CLK_CNT_0_.C = (CLK_OSZI);
|
|||
|
||||
SM_AMIGA_6_.AR = (!RST);
|
||||
|
||||
!SM_AMIGA_6_.D = (inst_CLK_000_D.Q & !SM_AMIGA_6_.Q
|
||||
!SM_AMIGA_6_.D = (inst_CLK_000_D0.Q & !SM_AMIGA_6_.Q
|
||||
# !SM_AMIGA_6_.Q & !SM_AMIGA_7_.Q
|
||||
# !inst_AS_030_000_SYNC.Q & inst_CLK_000_D.Q & !inst_CLK_000_DD.Q);
|
||||
# !inst_AS_030_000_SYNC.Q & inst_CLK_000_D0.Q & !inst_CLK_000_D1.Q);
|
||||
|
||||
SM_AMIGA_6_.C = (CLK_OSZI);
|
||||
|
||||
SM_AMIGA_7_.D = (inst_CLK_000_D.Q & SM_AMIGA_7_.Q
|
||||
# AS_000.Q & inst_CLK_000_D.Q & SM_AMIGA_0_.Q);
|
||||
SM_AMIGA_7_.D = (inst_CLK_000_D0.Q & SM_AMIGA_7_.Q
|
||||
# AS_000.Q & inst_CLK_000_D0.Q & SM_AMIGA_0_.Q);
|
||||
|
||||
SM_AMIGA_7_.AP = (!RST);
|
||||
|
||||
|
@ -1269,47 +1270,47 @@ SM_AMIGA_7_.C = (CLK_OSZI);
|
|||
|
||||
SM_AMIGA_1_.AR = (!RST);
|
||||
|
||||
SM_AMIGA_1_.D = (inst_CLK_000_D.Q & SM_AMIGA_1_.Q
|
||||
# inst_CLK_000_D.Q & SM_AMIGA_2_.Q
|
||||
# !inst_CLK_OUT_PRE.Q & SM_AMIGA_1_.Q & !SM_AMIGA_2_.Q);
|
||||
SM_AMIGA_1_.D = (inst_CLK_000_D1.Q & SM_AMIGA_1_.Q
|
||||
# !inst_CLK_OUT_PRE.Q & SM_AMIGA_1_.Q
|
||||
# inst_CLK_000_D0.Q & SM_AMIGA_2_.Q);
|
||||
|
||||
SM_AMIGA_1_.C = (CLK_OSZI);
|
||||
|
||||
SM_AMIGA_4_.AR = (!RST);
|
||||
|
||||
SM_AMIGA_4_.D = (!inst_CLK_000_D.Q & SM_AMIGA_4_.Q
|
||||
# !inst_CLK_000_D.Q & SM_AMIGA_5_.Q);
|
||||
SM_AMIGA_4_.D = (!inst_CLK_000_D0.Q & SM_AMIGA_4_.Q
|
||||
# !inst_CLK_000_D0.Q & SM_AMIGA_5_.Q);
|
||||
|
||||
SM_AMIGA_4_.C = (CLK_OSZI);
|
||||
|
||||
SM_AMIGA_3_.AR = (!RST);
|
||||
|
||||
SM_AMIGA_3_.D = (inst_CLK_000_D.Q & SM_AMIGA_4_.Q
|
||||
# inst_CLK_000_D.Q & SM_AMIGA_3_.Q
|
||||
SM_AMIGA_3_.D = (inst_CLK_000_D0.Q & SM_AMIGA_4_.Q
|
||||
# inst_CLK_000_D0.Q & SM_AMIGA_3_.Q
|
||||
# inst_DTACK_SYNC.Q & inst_VPA_SYNC.Q & SM_AMIGA_3_.Q);
|
||||
|
||||
SM_AMIGA_3_.C = (CLK_OSZI);
|
||||
|
||||
SM_AMIGA_5_.AR = (!RST);
|
||||
|
||||
SM_AMIGA_5_.D = (inst_CLK_000_D.Q & SM_AMIGA_5_.Q
|
||||
# !inst_AS_030_000_SYNC.Q & inst_CLK_000_D.Q & !inst_CLK_000_DD.Q & SM_AMIGA_6_.Q);
|
||||
SM_AMIGA_5_.D = (inst_CLK_000_D0.Q & SM_AMIGA_5_.Q
|
||||
# !inst_AS_030_000_SYNC.Q & inst_CLK_000_D0.Q & !inst_CLK_000_D1.Q & SM_AMIGA_6_.Q);
|
||||
|
||||
SM_AMIGA_5_.C = (CLK_OSZI);
|
||||
|
||||
SM_AMIGA_2_.AR = (!RST);
|
||||
|
||||
SM_AMIGA_2_.D = (!inst_CLK_000_D.Q & SM_AMIGA_2_.Q
|
||||
# !inst_DTACK_SYNC.Q & !inst_CLK_000_D.Q & SM_AMIGA_3_.Q
|
||||
# !inst_VPA_SYNC.Q & !inst_CLK_000_D.Q & SM_AMIGA_3_.Q);
|
||||
SM_AMIGA_2_.D = (!inst_CLK_000_D0.Q & SM_AMIGA_2_.Q
|
||||
# !inst_DTACK_SYNC.Q & !inst_CLK_000_D0.Q & SM_AMIGA_3_.Q
|
||||
# !inst_VPA_SYNC.Q & !inst_CLK_000_D0.Q & SM_AMIGA_3_.Q);
|
||||
|
||||
SM_AMIGA_2_.C = (CLK_OSZI);
|
||||
|
||||
SM_AMIGA_0_.AR = (!RST);
|
||||
|
||||
SM_AMIGA_0_.D = (!AS_000.Q & SM_AMIGA_0_.Q
|
||||
# !inst_CLK_000_D.Q & SM_AMIGA_0_.Q
|
||||
# !inst_CLK_000_D.Q & inst_CLK_OUT_PRE.Q & SM_AMIGA_1_.Q);
|
||||
# !inst_CLK_000_D0.Q & SM_AMIGA_0_.Q
|
||||
# !inst_CLK_000_D1.Q & inst_CLK_OUT_PRE.Q & SM_AMIGA_1_.Q);
|
||||
|
||||
SM_AMIGA_0_.C = (CLK_OSZI);
|
||||
|
||||
|
|
|
@ -32,6 +32,7 @@ TCR, Clocked Output-to-Register Time,
|
|||
TSU TCO TPD TCR
|
||||
#passes #passes #passes #passes
|
||||
SIGNAL NAME min max min max min max min max
|
||||
AMIGA_BUS_ENABLE .. .. .. .. 1 1 .. ..
|
||||
AMIGA_BUS_DATA_DIR .. .. .. .. 1 1 .. ..
|
||||
CIIN .. .. .. .. 1 1 .. ..
|
||||
IPL_030_2_ 1 1 0 0 .. .. 1 1
|
||||
|
@ -44,14 +45,14 @@ AMIGA_BUS_DATA_DIR .. .. .. .. 1 1 .. ..
|
|||
RN_UDS_000 1 1 0 0 .. .. 1 1
|
||||
LDS_000 1 1 0 0 .. .. 1 1
|
||||
RN_LDS_000 1 1 0 0 .. .. 1 1
|
||||
BG_000 1 1 0 0 .. .. 1 1
|
||||
RN_BG_000 1 1 0 0 .. .. 1 1
|
||||
BGACK_030 1 1 0 0 .. .. 1 1
|
||||
RN_BGACK_030 1 1 0 0 .. .. 1 1
|
||||
IPL_030_1_ 1 1 0 0 .. .. 1 1
|
||||
RN_IPL_030_1_ 1 1 0 0 .. .. 1 1
|
||||
IPL_030_0_ 1 1 0 0 .. .. 1 1
|
||||
RN_IPL_030_0_ 1 1 0 0 .. .. 1 1
|
||||
BG_000 1 1 0 0 .. .. 1 1
|
||||
RN_BG_000 1 1 0 0 .. .. 1 1
|
||||
BGACK_030 1 1 0 0 .. .. 1 1
|
||||
RN_BGACK_030 1 1 0 0 .. .. 1 1
|
||||
FPU_CS 1 1 0 0 .. .. 1 1
|
||||
RN_FPU_CS 1 1 0 0 .. .. 1 1
|
||||
DTACK 1 1 0 0 .. .. .. ..
|
||||
|
@ -66,8 +67,8 @@ inst_AS_030_000_SYNC 1 1 .. .. .. .. 1 1
|
|||
inst_DTACK_SYNC 1 1 .. .. .. .. 1 1
|
||||
inst_VPA_D 1 1 .. .. .. .. 1 1
|
||||
inst_VPA_SYNC 1 1 .. .. .. .. 1 1
|
||||
inst_CLK_000_D 1 1 .. .. .. .. 1 1
|
||||
inst_CLK_000_DD .. .. .. .. .. .. 1 1
|
||||
inst_CLK_000_D0 1 1 .. .. .. .. 1 1
|
||||
inst_CLK_000_D1 .. .. .. .. .. .. 1 1
|
||||
inst_CLK_OUT_PRE .. .. .. .. .. .. 1 1
|
||||
cpu_est_2_ .. .. .. .. .. .. 1 1
|
||||
CLK_CNT_0_ .. .. .. .. .. .. 1 1
|
||||
|
|
|
@ -1,168 +1,169 @@
|
|||
#$ TOOL ispLEVER Classic 1.7.00.05.28.13
|
||||
#$ DATE Thu May 15 23:02:46 2014
|
||||
#$ DATE Fri May 16 17:07:08 2014
|
||||
#$ MODULE 68030_tk
|
||||
#$ PINS 59 A_21_ A_20_ SIZE_1_ A_19_ A_18_ A_31_ A_17_ A_16_ IPL_2_ FC_1_ AS_030 DS_030 CPU_SPACE BERR BG_030 A_0_ BGACK_000 CLK_030 IPL_1_ CLK_000 IPL_0_ CLK_OSZI DSACK_0_ CLK_DIV_OUT FC_0_ AVEC AVEC_EXP VPA RST RW AMIGA_BUS_ENABLE AMIGA_BUS_DATA_DIR AMIGA_BUS_ENABLE_LOW CIIN SIZE_0_ A_30_ A_29_ A_28_ A_27_ A_26_ A_25_ A_24_ A_23_ A_22_ IPL_030_2_ DSACK_1_ AS_000 UDS_000 LDS_000 BG_000 BGACK_030 IPL_030_1_ IPL_030_0_ CLK_EXP FPU_CS DTACK E VMA RESET
|
||||
#$ NODES 19 cpu_est_0_ cpu_est_1_ inst_AS_030_000_SYNC inst_DTACK_SYNC inst_VPA_D inst_VPA_SYNC inst_CLK_000_D inst_CLK_000_DD inst_CLK_OUT_PRE cpu_est_2_ CLK_CNT_0_ SM_AMIGA_6_ SM_AMIGA_7_ SM_AMIGA_1_ SM_AMIGA_4_ SM_AMIGA_3_ SM_AMIGA_5_ SM_AMIGA_2_ SM_AMIGA_0_
|
||||
#$ PINS 59 A_17_ A_16_ SIZE_1_ A_31_ IPL_2_ FC_1_ AS_030 DS_030 A_0_ nEXP_SPACE BERR BG_030 IPL_1_ IPL_0_ DSACK_0_ BGACK_000 FC_0_ CLK_030 CLK_000 CLK_OSZI CLK_DIV_OUT AVEC AVEC_EXP VPA RST RW AMIGA_BUS_ENABLE AMIGA_BUS_DATA_DIR AMIGA_BUS_ENABLE_LOW CIIN SIZE_0_ A_30_ A_29_ A_28_ A_27_ A_26_ A_25_ A_24_ A_23_ A_22_ A_21_ A_20_ A_19_ A_18_ IPL_030_2_ DSACK_1_ AS_000 UDS_000 LDS_000 IPL_030_1_ IPL_030_0_ BG_000 BGACK_030 CLK_EXP FPU_CS DTACK E VMA RESET
|
||||
#$ NODES 19 cpu_est_0_ cpu_est_1_ inst_AS_030_000_SYNC inst_DTACK_SYNC inst_VPA_D inst_VPA_SYNC inst_CLK_000_D0 inst_CLK_000_D1 inst_CLK_OUT_PRE cpu_est_2_ CLK_CNT_0_ SM_AMIGA_6_ SM_AMIGA_7_ SM_AMIGA_1_ SM_AMIGA_4_ SM_AMIGA_3_ SM_AMIGA_5_ SM_AMIGA_2_ SM_AMIGA_0_
|
||||
.type fr
|
||||
.i 68
|
||||
.o 110
|
||||
.ilb SIZE_1_ A_31_ IPL_2_ FC_1_ AS_030 DS_030 CPU_SPACE BG_030 BGACK_000 CLK_030 CLK_000 CLK_OSZI VPA RST RW SIZE_0_ A_30_ A_29_ A_28_ A_27_ A_26_ A_25_ A_24_ A_23_ A_22_ A_21_ A_20_ A_19_ A_18_ A_17_ A_16_ A_0_ IPL_1_ IPL_0_ FC_0_ IPL_030_1_.Q IPL_030_2_.Q BGACK_030.Q FPU_CS.Q E.Q VMA.Q cpu_est_0_.Q cpu_est_1_.Q AS_000.Q inst_AS_030_000_SYNC.Q inst_DTACK_SYNC.Q inst_VPA_D.Q inst_VPA_SYNC.Q inst_CLK_000_D.Q inst_CLK_000_DD.Q inst_CLK_OUT_PRE.Q cpu_est_2_.Q CLK_CNT_0_.Q SM_AMIGA_6_.Q SM_AMIGA_7_.Q UDS_000.Q LDS_000.Q SM_AMIGA_1_.Q DSACK_1_.Q SM_AMIGA_4_.Q SM_AMIGA_3_.Q SM_AMIGA_5_.Q SM_AMIGA_2_.Q SM_AMIGA_0_.Q BG_000.Q IPL_030_0_.Q DSACK_1_.PIN DTACK.PIN
|
||||
.ob BERR AVEC AVEC_EXP AMIGA_BUS_ENABLE AMIGA_BUS_DATA_DIR AMIGA_BUS_ENABLE_LOW CIIN SM_AMIGA_3_.C SM_AMIGA_3_.AR SM_AMIGA_2_.C SM_AMIGA_2_.AR SM_AMIGA_1_.C SM_AMIGA_1_.AR SM_AMIGA_0_.C SM_AMIGA_0_.AR IPL_030_0_.C IPL_030_0_.AP IPL_030_1_.C IPL_030_1_.AP IPL_030_2_.C IPL_030_2_.AP SM_AMIGA_7_.C SM_AMIGA_7_.AP SM_AMIGA_6_.C SM_AMIGA_6_.AR SM_AMIGA_5_.C SM_AMIGA_5_.AR SM_AMIGA_4_.C SM_AMIGA_4_.AR DSACK_1_.C DSACK_1_.AP VMA.C VMA.AP BGACK_030.C BGACK_030.AP inst_CLK_OUT_PRE.C cpu_est_0_.C cpu_est_1_.C cpu_est_2_.C E.C LDS_000.C LDS_000.AP inst_DTACK_SYNC.C inst_DTACK_SYNC.AP FPU_CS.C FPU_CS.AP inst_AS_030_000_SYNC.C inst_AS_030_000_SYNC.AP AS_000.C AS_000.AP inst_VPA_SYNC.C inst_VPA_SYNC.AP BG_000.C BG_000.AP DTACK.C DTACK.AP UDS_000.C UDS_000.AP CLK_CNT_0_.C inst_VPA_D.C inst_CLK_000_D.C RESET.C inst_CLK_000_DD.C CLK_EXP.C DSACK_0_ DSACK_1_.OE DTACK.OE AS_000.OE UDS_000.OE LDS_000.OE BERR.OE DSACK_0_.OE AVEC_EXP.OE CIIN.OE CLK_DIV_OUT.C CLK_DIV_OUT.D IPL_030_1_.D IPL_030_2_.D BGACK_030.D FPU_CS.D E.T VMA.T cpu_est_0_.D cpu_est_1_.T AS_000.D inst_AS_030_000_SYNC.D inst_DTACK_SYNC.D inst_VPA_D.D inst_VPA_SYNC.D inst_CLK_000_D.D inst_CLK_000_DD.D inst_CLK_OUT_PRE.D cpu_est_2_.D RESET.D CLK_CNT_0_.D SM_AMIGA_6_.D SM_AMIGA_7_.D UDS_000.D LDS_000.D SM_AMIGA_1_.D DSACK_1_.D DTACK.D SM_AMIGA_4_.D SM_AMIGA_3_.D SM_AMIGA_5_.D SM_AMIGA_2_.D SM_AMIGA_0_.D BG_000.D CLK_EXP.D IPL_030_0_.D
|
||||
.p 273
|
||||
.ilb SIZE_1_ A_31_ IPL_2_ FC_1_ AS_030 DS_030 nEXP_SPACE BG_030 BGACK_000 CLK_030 CLK_000 CLK_OSZI VPA RST RW SIZE_0_ A_30_ A_29_ A_28_ A_27_ A_26_ A_25_ A_24_ A_23_ A_22_ A_21_ A_20_ A_19_ A_18_ A_17_ A_16_ A_0_ IPL_1_ IPL_0_ FC_0_ BGACK_030.Q FPU_CS.Q E.Q VMA.Q cpu_est_0_.Q cpu_est_1_.Q AS_000.Q inst_AS_030_000_SYNC.Q inst_DTACK_SYNC.Q inst_VPA_D.Q inst_VPA_SYNC.Q inst_CLK_000_D0.Q inst_CLK_000_D1.Q inst_CLK_OUT_PRE.Q cpu_est_2_.Q CLK_CNT_0_.Q SM_AMIGA_6_.Q SM_AMIGA_7_.Q UDS_000.Q LDS_000.Q DSACK_1_.Q SM_AMIGA_1_.Q SM_AMIGA_4_.Q SM_AMIGA_3_.Q SM_AMIGA_5_.Q SM_AMIGA_2_.Q SM_AMIGA_0_.Q BG_000.Q IPL_030_0_.Q IPL_030_1_.Q IPL_030_2_.Q DSACK_1_.PIN DTACK.PIN
|
||||
.ob BERR AVEC AVEC_EXP AMIGA_BUS_ENABLE AMIGA_BUS_DATA_DIR AMIGA_BUS_ENABLE_LOW CIIN SM_AMIGA_3_.C SM_AMIGA_3_.AR SM_AMIGA_2_.C SM_AMIGA_2_.AR SM_AMIGA_1_.C SM_AMIGA_1_.AR SM_AMIGA_0_.C SM_AMIGA_0_.AR IPL_030_0_.C IPL_030_0_.AP IPL_030_1_.C IPL_030_1_.AP IPL_030_2_.C IPL_030_2_.AP SM_AMIGA_7_.C SM_AMIGA_7_.AP SM_AMIGA_6_.C SM_AMIGA_6_.AR SM_AMIGA_5_.C SM_AMIGA_5_.AR SM_AMIGA_4_.C SM_AMIGA_4_.AR DSACK_1_.C DSACK_1_.AP VMA.C VMA.AP BGACK_030.C BGACK_030.AP inst_CLK_OUT_PRE.C cpu_est_0_.C cpu_est_1_.C cpu_est_2_.C E.C LDS_000.C LDS_000.AP inst_DTACK_SYNC.C inst_DTACK_SYNC.AP FPU_CS.C FPU_CS.AP inst_AS_030_000_SYNC.C inst_AS_030_000_SYNC.AP AS_000.C AS_000.AP inst_VPA_SYNC.C inst_VPA_SYNC.AP BG_000.C BG_000.AP DTACK.C DTACK.AP UDS_000.C UDS_000.AP CLK_CNT_0_.C inst_VPA_D.C inst_CLK_000_D0.C RESET.C inst_CLK_000_D1.C CLK_EXP.C DSACK_0_ DSACK_1_.OE DTACK.OE AS_000.OE UDS_000.OE LDS_000.OE BERR.OE DSACK_0_.OE AVEC_EXP.OE CIIN.OE CLK_DIV_OUT.C CLK_DIV_OUT.D BGACK_030.D FPU_CS.D E.T VMA.T cpu_est_0_.D cpu_est_1_.T AS_000.D inst_AS_030_000_SYNC.D inst_DTACK_SYNC.D inst_VPA_D.D inst_VPA_SYNC.D inst_CLK_000_D0.D inst_CLK_000_D1.D inst_CLK_OUT_PRE.D RESET.D cpu_est_2_.D CLK_CNT_0_.D SM_AMIGA_6_.D SM_AMIGA_7_.D UDS_000.D LDS_000.D DSACK_1_.D SM_AMIGA_1_.D DTACK.D SM_AMIGA_4_.D SM_AMIGA_3_.D SM_AMIGA_5_.D SM_AMIGA_2_.D SM_AMIGA_0_.D BG_000.D CLK_EXP.D IPL_030_0_.D IPL_030_1_.D IPL_030_2_.D
|
||||
.p 277
|
||||
-------------------------------------------------------------------- ~1~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
----1--------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
----11-------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~
|
||||
------0------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-------1------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~
|
||||
---0-----1---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
------1--1---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
--------01---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
----0----0---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~
|
||||
------1--0---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~
|
||||
----------1--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~
|
||||
----1--------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
----11-------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~~~
|
||||
------1------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
------0------------------------------------------------------------- ~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-------1------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~
|
||||
---0-----1---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
------0--1---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
--------01---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
----0----0---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~
|
||||
------1--0---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~
|
||||
----------1--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~
|
||||
-----------1-------------------------------------------------------- ~~~~~~~1~1~1~1~1~1~1~1~1~1~1~1~1~1~111111~1~1~1~1~1~1~1~1~111111~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
------------1------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~
|
||||
-------------1------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~
|
||||
------------1------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-------------1------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~
|
||||
-------------0------------------------------------------------------ ~~~~~~~~1~1~1~1~1~1~1~1~1~1~1~1~1~1~~~~~~1~1~1~1~1~1~1~1~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
--------------0----------------------------------------------------- ~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-0--------------0000000--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-----------------------1111----------------------------------------- ~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---------1-----------------1---------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---------1------------------1--------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---------1-------------------0-------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---------1--------------------1------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---1----11-----------------0010---1--------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---------1------------------------0--------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-------------------------------------1------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~111~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
--------1----------------------------1------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-------------------------------------0------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---------0----------------------------1----------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
--------------------------------------0----------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
----1----------------------------------0---------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~
|
||||
----1-----------------------------------1--------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~
|
||||
----1------------------------------------1-------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~
|
||||
----1-------------------------------------1------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~
|
||||
-------------------------------------------1------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~
|
||||
----1---------------------------------------1----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---------0----------------------------------1----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
----1---------1-----------------------------1----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~
|
||||
-------------------------------------------11----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
----1-----------------------------------------1--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~
|
||||
----1-----------------------------------------0--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---------1-----------------1---------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---------1------------------1--------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---------1-------------------0-------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---------1--------------------1------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---1----11-----------------0010---1--------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---------1------------------------0--------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-----------------------------------1-------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~111~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
--------1--------------------------1-------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-----------------------------------0-------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---------0--------------------------1------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
------------------------------------0------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
----1--------------------------------0------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~
|
||||
----1---------------------------------1----------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~
|
||||
----1----------------------------------1---------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~
|
||||
----1-----------------------------------1--------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-----------------------------------------1-------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~
|
||||
----1-------------------------------------1------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---------0--------------------------------1------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
----1---------1---------------------------1------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~~~
|
||||
-----------------------------------------11------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
----1---------------------------------------1----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~
|
||||
----1---------------------------------------0----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-------------------------------------------10----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-------------------------------------0-------1---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~
|
||||
--------------------------------------1------1---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---------------------------------------1-----1---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~
|
||||
----------------------------------------1----1---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~
|
||||
--------------------------------------------11---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~
|
||||
----------------------------------------------1--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~
|
||||
----1-----------------------------------------0--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~1~1~~~~~~~~11~~~~~~~~~~~~~
|
||||
---------------------------------------1------0--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-----------------------------------------1----0--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-------------------------------------------1--0--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---------------------------------------------10--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---------------------------------------0-------1-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~
|
||||
----------------------------------------1------1-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~
|
||||
-----------------------------------------1-----1-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~
|
||||
------------------------------------------1----1-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~
|
||||
----------------------------------------------11-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~
|
||||
------------------------------------------------1------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~
|
||||
----1-------------------------------------------1------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~
|
||||
----1-------------------------------------------0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~1~1~~~~~~~~11~~~~~~~~~~~
|
||||
-----------------------------------1------------0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
------------------------------------1-----------0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-----------------------------------------1------0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-------------------------------------------1----0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---------------------------------------------1--0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-----------------------------------------------10------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~
|
||||
----1--------------------------------------------1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
----1---------1----------------------------------1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~
|
||||
-----------------------------------1-------------1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
------------------------------------1------------1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-----------------------------------------1-------1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-------------------------------------------1-----1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
--1---------------------------------------------10------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
--------1---------------------------------------10------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
--------------------------------1---------------10------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---------------------------------1--------------10------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1
|
||||
---------------------------------------1-1------10------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~
|
||||
-----------------------------------------0------10------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-----------------------------------------00-----10------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~
|
||||
---------------------------------------1-00-----10------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
--------------------------------------------------1----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~
|
||||
----1---------------------------------------------0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~
|
||||
------------------------------------------1--------1---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~
|
||||
---------------------------------------00011----1--1---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
------------------------------------------------0--1---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~
|
||||
---------------------------------------0110---0-0--1---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-------------------------------------------------1-1---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~
|
||||
---------------------------------------0-1------10-1---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---------------------------------------1-11-----10-1---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
----1----------------------------------------------0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~
|
||||
-----------------------------------------------1---0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~
|
||||
---------------------------------------1-11-----10-0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---------------------------------------0-11-----10-0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---------------------------------------0--0-----10-0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---------------------------------------0-00-----10-0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
--------------------------------------------------0-1--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~
|
||||
----------------------------------------------------0--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~
|
||||
--------------------------------------------------1-0--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~
|
||||
--------------------------------------------1--------1-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~
|
||||
------------------------------------------------0----1-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~
|
||||
-------------------------------------------------1---1-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~
|
||||
--------------------------------------------0---10---1-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~
|
||||
-----0--------1----------------1------------0---10---1-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~
|
||||
0----0--------11---------------0------------0---10---1-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~
|
||||
----1------------------------------------------------0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
----1---------1--------------------------------------0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~
|
||||
-------------------------------------------1---------0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
------------------------------------------------1-----1------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~
|
||||
------------------------------------------------0-----1------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~
|
||||
---------0-------------------------------------------00------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~
|
||||
-----1-------------------------------------------------1------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~
|
||||
--------------1-----------------------------1----------1------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~
|
||||
----1------------------------------------------1-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~1~~~~~~~~~~~~
|
||||
----1---------1--------------------------------1-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~~~
|
||||
---------------------------------------1-------1-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-----------------------------------------1-----1-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
--1-------------------------------------------10-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1
|
||||
--------1-------------------------------------10-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
--------------------------------1-------------10-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~
|
||||
---------------------------------1------------10-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~
|
||||
-------------------------------------1-1------10-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~
|
||||
---------------------------------------0------10-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---------------------------------------00-----10-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~
|
||||
-------------------------------------1-00-----10-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
------------------------------------------------1------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~
|
||||
----1-------------------------------------------0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~
|
||||
----------------------------------------1--------1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~
|
||||
-------------------------------------00011----1--1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
----------------------------------------------0--1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~
|
||||
-------------------------------------0110---0-0--1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-----------------------------------------------1-1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~
|
||||
-------------------------------------0-1------10-1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-------------------------------------1-11-----10-1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
----1--------------------------------------------0------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---------------------------------------------1---0------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-------------------------------------1-11-----10-0------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-------------------------------------0-11-----10-0------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-------------------------------------0--0-----10-0------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-------------------------------------0-00-----10-0------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
------------------------------------------------0-1----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~
|
||||
--------------------------------------------------0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~
|
||||
------------------------------------------------1-0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~
|
||||
------------------------------------------1--------1---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~
|
||||
----------------------------------------------0----1---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~
|
||||
-----------------------------------------------1---1---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~
|
||||
------------------------------------------0---10---1---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~
|
||||
-----0--------1----------------1----------0---10---1---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~
|
||||
0----0--------11---------------0----------0---10---1---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~
|
||||
----1----------------------------------------------0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
----1---------1------------------------------------0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~~~
|
||||
-----------------------------------------1---------0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
----------------------------------------------1-----1--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~
|
||||
----------------------------------------------0-----1--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~
|
||||
---------0-----------------------------------------00--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~
|
||||
-----1-----------------------------------------------1-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~
|
||||
--------------1---------------------------1----------1-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~
|
||||
----------------------------------------------0------1-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~
|
||||
--------------1--------------------------------1-----1-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~
|
||||
--------------1------------------------------------0-1-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~
|
||||
-----1------------------------------------------------1------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~
|
||||
--------------1---------------------------1-----------1------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~
|
||||
----------------------------------------------0-------1------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~
|
||||
--------------1--------------------------------1------1------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~
|
||||
--------------1------------------------------------0--1------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~
|
||||
-----------------------------------------------1-------1------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~
|
||||
------------------------------------------------0------1------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~
|
||||
--------------1----------------------------------1-----1------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~
|
||||
--------------1--------------------------------------0-1------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~
|
||||
-----1--------------------------------------------------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~
|
||||
--------------1-----------------------------1-----------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~
|
||||
-----------------------------------------------1--------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~
|
||||
-----------------------------------------------01-------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~
|
||||
------------------------------------------------0-------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~
|
||||
--------------1----------------------------------1------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~
|
||||
--------------1--------------------------------------0--1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~
|
||||
------------------------------------------------1--------1---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~
|
||||
------------------------------------------------0-1------1---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~
|
||||
----1----------------------------------------------------0---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~
|
||||
------------------------------------------------1---------1--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~
|
||||
--------------------------------------------------0-------1--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~
|
||||
---------------------------------------------------------01--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~
|
||||
------------------------------------------------1----------1-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~
|
||||
-----0--------0----------------1----------------1----------1-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~
|
||||
0----0--------01---------------0----------------1----------1-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~
|
||||
------------------------------------------------0----------1-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~
|
||||
----1---------0--------------------------------------------0-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~
|
||||
--------------0----------------------------------------1---0-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~
|
||||
--------------0-----------------------------------------1--0-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~
|
||||
---------------------------------------------1-1------------1------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~
|
||||
------------------------------------------------1-----------1------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~
|
||||
---------------------------------------------0--0-----------1------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~
|
||||
-----------------------------------------------00-----------1------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~
|
||||
----1-------------------------------------------------------0------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~1~~~~~~~~~~~~~~~~~~~~~
|
||||
---------------------------------------------1--------------0------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-----------------------------------------------1------------0------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~
|
||||
------------------------------------------------1------------1------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~
|
||||
------------------------------------------------0------------1------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~
|
||||
------------------------------------------------1-------------1----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~
|
||||
------------------------------------------------0-------------1----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~
|
||||
--------------------------------------------------0------1----0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~
|
||||
-------------------------------------------0-------------------1---- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~
|
||||
-------------------------------------------1----1--------------1---- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~
|
||||
------------------------------------------------0--------------1---- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~
|
||||
---------1------------------------------------------------------1--- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~
|
||||
------------------------------------------------0----------------1-- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1
|
||||
-------------------------------------------------1---------------1-- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1
|
||||
------------------------------------------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~
|
||||
----1--------------------------------------------------------------1 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---------------------------------------------1---------------------1 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-------------------------------------------------------------------- 0~00~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
----1---------------------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~
|
||||
-------------------------------------------------------10----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~
|
||||
----------------------------------------------1----------1---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~
|
||||
-----0--------0----------------1--------------1----------1---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~
|
||||
0----0--------01---------------0--------------1----------1---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~
|
||||
----------------------------------------------0----------1---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~
|
||||
----1---------0------------------------------------------0---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~~~
|
||||
--------------0--------------------------------------1---0---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~
|
||||
--------------0---------------------------------------1--0---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~
|
||||
-------------------------------------------1-1------------1--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~
|
||||
----------------------------------------------1-----------1--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~
|
||||
-------------------------------------------0--0-----------1--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~
|
||||
---------------------------------------------00-----------1--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~
|
||||
----1-----------------------------------------------------0--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~1~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-------------------------------------------1--------------0--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---------------------------------------------1------------0--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~
|
||||
----------------------------------------------1------------1-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~
|
||||
----------------------------------------------0------------1-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~
|
||||
----------------------------------------------1-------------1------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~
|
||||
----------------------------------------------0-------------1------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~
|
||||
-----------------------------------------0-------------------1------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~
|
||||
-----------------------------------------1----1--------------1------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~
|
||||
----------------------------------------------0--------------1------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~
|
||||
---------1----------------------------------------------------1----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~
|
||||
----------------------------------------------0----------------1---- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~
|
||||
-----------------------------------------------1---------------1---- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~
|
||||
----------------------------------------------0-----------------1--- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~
|
||||
-----------------------------------------------1----------------1--- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~
|
||||
----------------------------------------------0------------------1-- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1
|
||||
-----------------------------------------------1-----------------1-- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1
|
||||
------------------------------------------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~
|
||||
----1--------------------------------------------------------------1 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-------------------------------------------1-----------------------1 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-------------------------------------------------------------------- 0~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-1------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
------1------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
--------0----------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---00-0--1---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
----0-0-01---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
----------0--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~
|
||||
------1------------------------------------------------------------- ~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
------0------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
--------0----------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---00-1--1---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
----0-1-01---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
----------0--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~
|
||||
-----------0-------------------------------------------------------- ~~~~~~~0~0~0~0~0~0~0~0~0~0~0~0~0~0~000000~0~0~0~0~0~0~0~0~000000~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
------------0------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~
|
||||
------------0------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-------------1------------------------------------------------------ ~~~~~~~~0~0~0~0~0~0~0~0~0~0~0~0~0~0~~~~~~0~0~0~0~0~0~0~0~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-------------0------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~
|
||||
-------------0------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~
|
||||
--------------1----------------------------------------------------- ~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
----------------1--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-----------------1-------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
|
@ -175,111 +176,114 @@
|
|||
------------------------0------------------------------------------- ~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-------------------------0------------------------------------------ ~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
--------------------------0----------------------------------------- ~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
----0-0--1-----------------1---------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
----0-0--1------------------1--------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
----0-0--1-------------------0-------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
----0-0--1--------------------1------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---10---11-----------------0010---1--------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
----0-0--1------------------------0--------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-------------------------------------1------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-------------------------------------0------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~000~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
--------------------------------------1----------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
----0----0----------------------------0----------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---------------------------------------1---------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
----------------------------------------01-------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-----------------------------------------01------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~0~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-----------------------------------------10------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---------------------------------------1-10------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-----------------------------------------00------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
----0-1--1-----------------1---------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
----0-1--1------------------1--------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
----0-1--1-------------------0-------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
----0-1--1--------------------1------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---10---11-----------------0010---1--------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
----0-1--1------------------------0--------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-----------------------------------1-------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-----------------------------------0-------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~000~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
------------------------------------1------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
----0----0--------------------------0------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-------------------------------------1------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
--------------------------------------01---------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---------------------------------------01--------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---------------------------------------10--------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-------------------------------------1-10--------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---------------------------------------00--------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
----0------------------------------------0-------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
----0----0--------------------------------0------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
----0--------------------------------------0------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
----0----0----------------------------------0----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
----0----------------------------------------0---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~
|
||||
----0------------------------------------------0-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~
|
||||
------------------------------------------------1------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~0~~~~
|
||||
----------------------------------------1-------1------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-------------------------------------------1----1------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~
|
||||
-------------------------------------------0----1------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
------------------------------------------------0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~0~~~~~~0~~~~~0~~~~~~~0~~~~~
|
||||
-----------------------------------0------------0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
------------------------------------0-----------0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-------------------------------------0----------0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-----------------------------------------0------0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
------------------------------------------1-----0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---------------------------------------------0--0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~
|
||||
----------------------------------------------1-0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-----------------------------------------------00------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~
|
||||
-------------------------------------------------1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~0~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-----------------------------------0-------------1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
------------------------------------0------------1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
----------------------------------------------1--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~0~~~~~~
|
||||
--------------------------------------1-------1--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-----------------------------------------0----1--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
----------------------------------------------0--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~0~~~~~~0~~~~~0~~~~~~~0~~~~~~~
|
||||
-----------------------------------0----------0--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---------------------------------------0------0--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
----------------------------------------1-----0--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-------------------------------------------0--0--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~
|
||||
--------------------------------------------1-0--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---------------------------------------------00--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~
|
||||
-----------------------------------------------1-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-----------------------------------0-----------1-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---------------------------------------0-------1-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-----------------------------------------1----11-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~
|
||||
--0-------------------------------------------10-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0
|
||||
--------------------------------0-------------10-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~
|
||||
---------------------------------0------------10-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~
|
||||
---------------------------------------1------10-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-------------------------------------0-10-----10-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~
|
||||
------------------------------------------0---10-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~
|
||||
----------------------------------------------001------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~
|
||||
------------------------------------------------0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~
|
||||
-----------------------------------------1----1-0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~
|
||||
-------------------------------------0-----------1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-----------------------------------------0-------1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
--0---------------------------------------------10------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
--------------------------------0---------------10------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---------------------------------0--------------10------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0
|
||||
-----------------------------------------1------10------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---------------------------------------0-10-----10------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~
|
||||
--------------------------------------------0---10------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~
|
||||
------------------------------------------------0-1----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~
|
||||
--------------------------------------------------0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~
|
||||
---------------------------------------0-----------1---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-----------------------------------------0---------1---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---------------------------------------0-0---------1---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---------------------------------------1--1--------1---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---------------------------------------------------0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---------------------------------------1-----------0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---------------------------------------0--1--------0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~0~~~~~~~~~~~~~~~~~
|
||||
-----------------------------------------01--------0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~
|
||||
------------------------------------------------0--0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~
|
||||
-------------------------------------------------1-0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~
|
||||
----------------------------------------------------1--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~
|
||||
--------------------------------------------------1-1--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~
|
||||
--------------------------------------------------0-0--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~
|
||||
----1-00-0-------------------------------------------1-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~
|
||||
--------------------------------------------0---10---1-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
1----0--------1-----------------------------0---10---1-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~
|
||||
-----0--------10----------------------------0---10---1-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~
|
||||
-----0--------1----------------1------------0---10---1-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~
|
||||
-----0--------1----------------0------------0---10---1-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~
|
||||
------------------------------------------------1----0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~
|
||||
----1-00-0--------------------------------------------1------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~
|
||||
-------------------------------------------0----------0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~
|
||||
-----------------------------------------------------00------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~
|
||||
----01-------------------------------------------------0------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~
|
||||
----0---------1-----------------------------1----------0------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~
|
||||
----0-------------------------------------------0------0------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~
|
||||
----0---------1----------------------------------1-----0------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~
|
||||
----0---------1--------------------------------------0-0------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~
|
||||
----01--------------------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~
|
||||
----0---------1-----------------------------1-----------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~
|
||||
----0-------------------------------------------0-------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~
|
||||
----0---------1----------------------------------1------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~
|
||||
----0---------1--------------------------------------0--0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~
|
||||
------------------------------------------------0-1------1---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~
|
||||
----0-----------------------------------------------------0--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~
|
||||
1----0--------0---------------------------------1----------1-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~
|
||||
-----0--------00--------------------------------1----------1-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~
|
||||
-----0--------0----------------1----------------1----------1-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~
|
||||
-----0--------0----------------0----------------1----------1-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~
|
||||
----0---------0----------------------------------------0---0-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~
|
||||
----0---------0-----------------------------------------0--0-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~
|
||||
---------------------------------------1000---0-1--1--------1------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~
|
||||
------------------------------------------------0-----------0------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~
|
||||
-----------------------------------------------------------00------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~
|
||||
--------------------------------------------1----------------0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~
|
||||
-------------------------------------------------1-----------0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~
|
||||
-----------------------------------------------------0-------0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~
|
||||
-----------------------------------------------------------0-0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~
|
||||
------------------------------------------------0-------------1----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~
|
||||
---------------------------------------------1-1--------------0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~
|
||||
---------------------------------------------------------0----0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~
|
||||
------------------------------------------------------------0-0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~
|
||||
------------------------------------------------1--------------0---- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~
|
||||
--------------------------------------------------0------------0---- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~
|
||||
------------------------------------------------------0--------0---- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~
|
||||
---------------------------------------------------------0-----0---- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~
|
||||
-------0-1------------------------------------------------------0--- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~
|
||||
------------------------------------------------0----------------0-- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0
|
||||
-------------------------------------------------1---------------0-- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0
|
||||
-------------------------------------------0----------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~
|
||||
----------------------------------------------1-1-----------1------0 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---------------------------------------0---------1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-------------------------------------0-0---------1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-------------------------------------1--1--------1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-------------------------------------------------0------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-------------------------------------1-----------0------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-------------------------------------0--1--------0------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~0~~~~~~~~~~~~~~~~~~
|
||||
---------------------------------------01--------0------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~
|
||||
----------------------------------------------0--0------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~
|
||||
-----------------------------------------------1-0------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~
|
||||
--------------------------------------------------1----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~
|
||||
------------------------------------------------1-1----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~
|
||||
------------------------------------------------0-0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~
|
||||
----1-00-0-----------------------------------------1---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~
|
||||
------------------------------------------0---10---1---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
1----0--------1---------------------------0---10---1---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~
|
||||
-----0--------10--------------------------0---10---1---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~
|
||||
-----0--------1----------------1----------0---10---1---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~
|
||||
-----0--------1----------------0----------0---10---1---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~
|
||||
----------------------------------------------1----0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~
|
||||
----1-00-0------------------------------------------1--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~
|
||||
-----------------------------------------0----------0--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~
|
||||
---------------------------------------------------00--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~
|
||||
----01-----------------------------------------------0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~
|
||||
----0---------1---------------------------1----------0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~
|
||||
----0-----------------------------------------0------0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~
|
||||
----0---------1--------------------------------1-----0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~
|
||||
----0---------1------------------------------------0-0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~
|
||||
----01------------------------------------------------0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~
|
||||
----0---------1---------------------------1-----------0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~
|
||||
----0-----------------------------------------0-------0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~
|
||||
----0---------1--------------------------------1------0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~
|
||||
----0---------1------------------------------------0--0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~
|
||||
----0--------------------------------------------------0------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~
|
||||
-----------------------------------------------01-------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~
|
||||
-----------------------------------------1----1---------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~
|
||||
----------------------------------------------0---------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~
|
||||
1----0--------0-------------------------------1----------1---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~
|
||||
-----0--------00------------------------------1----------1---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~
|
||||
-----0--------0----------------1--------------1----------1---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~
|
||||
-----0--------0----------------0--------------1----------1---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~
|
||||
----0---------0--------------------------------------0---0---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~
|
||||
----0---------0---------------------------------------0--0---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~
|
||||
-------------------------------------1000---0-1--1--------1--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~
|
||||
----------------------------------------------0-----------0--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~
|
||||
---------------------------------------------------------00--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~
|
||||
------------------------------------------1----------------0-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~
|
||||
-----------------------------------------------1-----------0-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~
|
||||
---------------------------------------------------0-------0-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~
|
||||
---------------------------------------------------------0-0-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~
|
||||
-------------------------------------------1-1--------------0------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~
|
||||
-----------------------------------------------01-----------0------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~
|
||||
--------------------------------------------------------0---0------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~
|
||||
----------------------------------------------------------0-0------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~
|
||||
-----------------------------------------------1-------------0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~
|
||||
------------------------------------------------0------------0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~
|
||||
----------------------------------------------------0--------0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~
|
||||
--------------------------------------------------------0----0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~
|
||||
-------0-1----------------------------------------------------0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~
|
||||
----------------------------------------------0----------------0---- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~
|
||||
-----------------------------------------------1---------------0---- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~
|
||||
----------------------------------------------0-----------------0--- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~
|
||||
-----------------------------------------------1----------------0--- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~
|
||||
----------------------------------------------0------------------0-- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0
|
||||
-----------------------------------------------1-----------------0-- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0
|
||||
-----------------------------------------0------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~
|
||||
--------------------------------------------1-1-----------1--------0 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
.end
|
||||
|
|
|
@ -1,168 +1,169 @@
|
|||
#$ TOOL ispLEVER Classic 1.7.00.05.28.13
|
||||
#$ DATE Thu May 15 23:02:46 2014
|
||||
#$ DATE Fri May 16 17:07:08 2014
|
||||
#$ MODULE 68030_tk
|
||||
#$ PINS 59 A_21_ A_20_ SIZE_1_ A_19_ A_18_ A_31_ A_17_ A_16_ IPL_2_ FC_1_ AS_030 DS_030 CPU_SPACE BERR BG_030 A_0_ BGACK_000 CLK_030 IPL_1_ CLK_000 IPL_0_ CLK_OSZI DSACK_0_ CLK_DIV_OUT FC_0_ AVEC AVEC_EXP VPA RST RW AMIGA_BUS_ENABLE AMIGA_BUS_DATA_DIR AMIGA_BUS_ENABLE_LOW CIIN SIZE_0_ A_30_ A_29_ A_28_ A_27_ A_26_ A_25_ A_24_ A_23_ A_22_ IPL_030_2_ DSACK_1_ AS_000 UDS_000 LDS_000 BG_000 BGACK_030 IPL_030_1_ IPL_030_0_ CLK_EXP FPU_CS DTACK E VMA RESET
|
||||
#$ NODES 19 cpu_est_0_ cpu_est_1_ inst_AS_030_000_SYNC inst_DTACK_SYNC inst_VPA_D inst_VPA_SYNC inst_CLK_000_D inst_CLK_000_DD inst_CLK_OUT_PRE cpu_est_2_ CLK_CNT_0_ SM_AMIGA_6_ SM_AMIGA_7_ SM_AMIGA_1_ SM_AMIGA_4_ SM_AMIGA_3_ SM_AMIGA_5_ SM_AMIGA_2_ SM_AMIGA_0_
|
||||
#$ PINS 59 A_17_ A_16_ SIZE_1_ A_31_ IPL_2_ FC_1_ AS_030 DS_030 A_0_ nEXP_SPACE BERR BG_030 IPL_1_ IPL_0_ DSACK_0_ BGACK_000 FC_0_ CLK_030 CLK_000 CLK_OSZI CLK_DIV_OUT AVEC AVEC_EXP VPA RST RW AMIGA_BUS_ENABLE AMIGA_BUS_DATA_DIR AMIGA_BUS_ENABLE_LOW CIIN SIZE_0_ A_30_ A_29_ A_28_ A_27_ A_26_ A_25_ A_24_ A_23_ A_22_ A_21_ A_20_ A_19_ A_18_ IPL_030_2_ DSACK_1_ AS_000 UDS_000 LDS_000 IPL_030_1_ IPL_030_0_ BG_000 BGACK_030 CLK_EXP FPU_CS DTACK E VMA RESET
|
||||
#$ NODES 19 cpu_est_0_ cpu_est_1_ inst_AS_030_000_SYNC inst_DTACK_SYNC inst_VPA_D inst_VPA_SYNC inst_CLK_000_D0 inst_CLK_000_D1 inst_CLK_OUT_PRE cpu_est_2_ CLK_CNT_0_ SM_AMIGA_6_ SM_AMIGA_7_ SM_AMIGA_1_ SM_AMIGA_4_ SM_AMIGA_3_ SM_AMIGA_5_ SM_AMIGA_2_ SM_AMIGA_0_
|
||||
.type fr
|
||||
.i 68
|
||||
.o 110
|
||||
.ilb SIZE_1_ A_31_ IPL_2_ FC_1_ AS_030 DS_030 CPU_SPACE BG_030 BGACK_000 CLK_030 CLK_000 CLK_OSZI VPA RST RW SIZE_0_ A_30_ A_29_ A_28_ A_27_ A_26_ A_25_ A_24_ A_23_ A_22_ A_21_ A_20_ A_19_ A_18_ A_17_ A_16_ A_0_ IPL_1_ IPL_0_ FC_0_ IPL_030_1_.Q IPL_030_2_.Q BGACK_030.Q FPU_CS.Q E.Q VMA.Q cpu_est_0_.Q cpu_est_1_.Q AS_000.Q inst_AS_030_000_SYNC.Q inst_DTACK_SYNC.Q inst_VPA_D.Q inst_VPA_SYNC.Q inst_CLK_000_D.Q inst_CLK_000_DD.Q inst_CLK_OUT_PRE.Q cpu_est_2_.Q CLK_CNT_0_.Q SM_AMIGA_6_.Q SM_AMIGA_7_.Q UDS_000.Q LDS_000.Q SM_AMIGA_1_.Q DSACK_1_.Q SM_AMIGA_4_.Q SM_AMIGA_3_.Q SM_AMIGA_5_.Q SM_AMIGA_2_.Q SM_AMIGA_0_.Q BG_000.Q IPL_030_0_.Q DSACK_1_.PIN DTACK.PIN
|
||||
.ob BERR AVEC AVEC_EXP AMIGA_BUS_ENABLE AMIGA_BUS_DATA_DIR AMIGA_BUS_ENABLE_LOW CIIN SM_AMIGA_3_.C SM_AMIGA_3_.AR SM_AMIGA_2_.C SM_AMIGA_2_.AR SM_AMIGA_1_.C SM_AMIGA_1_.AR SM_AMIGA_0_.C SM_AMIGA_0_.AR IPL_030_0_.C IPL_030_0_.AP IPL_030_1_.C IPL_030_1_.AP IPL_030_2_.C IPL_030_2_.AP SM_AMIGA_7_.C SM_AMIGA_7_.AP SM_AMIGA_6_.C SM_AMIGA_6_.AR SM_AMIGA_5_.C SM_AMIGA_5_.AR SM_AMIGA_4_.C SM_AMIGA_4_.AR DSACK_1_.C DSACK_1_.AP VMA.C VMA.AP BGACK_030.C BGACK_030.AP inst_CLK_OUT_PRE.C cpu_est_0_.C cpu_est_1_.C cpu_est_2_.C E.C LDS_000.C LDS_000.AP inst_DTACK_SYNC.C inst_DTACK_SYNC.AP FPU_CS.C FPU_CS.AP inst_AS_030_000_SYNC.C inst_AS_030_000_SYNC.AP AS_000.C AS_000.AP inst_VPA_SYNC.C inst_VPA_SYNC.AP BG_000.C BG_000.AP DTACK.C DTACK.AP UDS_000.C UDS_000.AP CLK_CNT_0_.C inst_VPA_D.C inst_CLK_000_D.C RESET.C inst_CLK_000_DD.C CLK_EXP.C DSACK_0_ DSACK_1_.OE DTACK.OE AS_000.OE UDS_000.OE LDS_000.OE BERR.OE DSACK_0_.OE AVEC_EXP.OE CIIN.OE CLK_DIV_OUT.C CLK_DIV_OUT.D IPL_030_1_.D IPL_030_2_.D BGACK_030.D FPU_CS.D E.T VMA.T cpu_est_0_.D cpu_est_1_.T AS_000.D inst_AS_030_000_SYNC.D inst_DTACK_SYNC.D inst_VPA_D.D inst_VPA_SYNC.D inst_CLK_000_D.D inst_CLK_000_DD.D inst_CLK_OUT_PRE.D cpu_est_2_.D RESET.D CLK_CNT_0_.D SM_AMIGA_6_.D SM_AMIGA_7_.D UDS_000.D LDS_000.D SM_AMIGA_1_.D DSACK_1_.D DTACK.D SM_AMIGA_4_.D SM_AMIGA_3_.D SM_AMIGA_5_.D SM_AMIGA_2_.D SM_AMIGA_0_.D BG_000.D CLK_EXP.D IPL_030_0_.D
|
||||
.p 273
|
||||
.ilb SIZE_1_ A_31_ IPL_2_ FC_1_ AS_030 DS_030 nEXP_SPACE BG_030 BGACK_000 CLK_030 CLK_000 CLK_OSZI VPA RST RW SIZE_0_ A_30_ A_29_ A_28_ A_27_ A_26_ A_25_ A_24_ A_23_ A_22_ A_21_ A_20_ A_19_ A_18_ A_17_ A_16_ A_0_ IPL_1_ IPL_0_ FC_0_ BGACK_030.Q FPU_CS.Q E.Q VMA.Q cpu_est_0_.Q cpu_est_1_.Q AS_000.Q inst_AS_030_000_SYNC.Q inst_DTACK_SYNC.Q inst_VPA_D.Q inst_VPA_SYNC.Q inst_CLK_000_D0.Q inst_CLK_000_D1.Q inst_CLK_OUT_PRE.Q cpu_est_2_.Q CLK_CNT_0_.Q SM_AMIGA_6_.Q SM_AMIGA_7_.Q UDS_000.Q LDS_000.Q DSACK_1_.Q SM_AMIGA_1_.Q SM_AMIGA_4_.Q SM_AMIGA_3_.Q SM_AMIGA_5_.Q SM_AMIGA_2_.Q SM_AMIGA_0_.Q BG_000.Q IPL_030_0_.Q IPL_030_1_.Q IPL_030_2_.Q DSACK_1_.PIN DTACK.PIN
|
||||
.ob BERR AVEC AVEC_EXP AMIGA_BUS_ENABLE AMIGA_BUS_DATA_DIR AMIGA_BUS_ENABLE_LOW CIIN SM_AMIGA_3_.C SM_AMIGA_3_.AR SM_AMIGA_2_.C SM_AMIGA_2_.AR SM_AMIGA_1_.C SM_AMIGA_1_.AR SM_AMIGA_0_.C SM_AMIGA_0_.AR IPL_030_0_.C IPL_030_0_.AP IPL_030_1_.C IPL_030_1_.AP IPL_030_2_.C IPL_030_2_.AP SM_AMIGA_7_.C SM_AMIGA_7_.AP SM_AMIGA_6_.C SM_AMIGA_6_.AR SM_AMIGA_5_.C SM_AMIGA_5_.AR SM_AMIGA_4_.C SM_AMIGA_4_.AR DSACK_1_.C DSACK_1_.AP VMA.C VMA.AP BGACK_030.C BGACK_030.AP inst_CLK_OUT_PRE.C cpu_est_0_.C cpu_est_1_.C cpu_est_2_.C E.C LDS_000.C LDS_000.AP inst_DTACK_SYNC.C inst_DTACK_SYNC.AP FPU_CS.C FPU_CS.AP inst_AS_030_000_SYNC.C inst_AS_030_000_SYNC.AP AS_000.C AS_000.AP inst_VPA_SYNC.C inst_VPA_SYNC.AP BG_000.C BG_000.AP DTACK.C DTACK.AP UDS_000.C UDS_000.AP CLK_CNT_0_.C inst_VPA_D.C inst_CLK_000_D0.C RESET.C inst_CLK_000_D1.C CLK_EXP.C DSACK_0_ DSACK_1_.OE DTACK.OE AS_000.OE UDS_000.OE LDS_000.OE BERR.OE DSACK_0_.OE AVEC_EXP.OE CIIN.OE CLK_DIV_OUT.C CLK_DIV_OUT.D BGACK_030.D FPU_CS.D E.T VMA.T cpu_est_0_.D cpu_est_1_.T AS_000.D inst_AS_030_000_SYNC.D inst_DTACK_SYNC.D inst_VPA_D.D inst_VPA_SYNC.D inst_CLK_000_D0.D inst_CLK_000_D1.D inst_CLK_OUT_PRE.D RESET.D cpu_est_2_.D CLK_CNT_0_.D SM_AMIGA_6_.D SM_AMIGA_7_.D UDS_000.D LDS_000.D DSACK_1_.D SM_AMIGA_1_.D DTACK.D SM_AMIGA_4_.D SM_AMIGA_3_.D SM_AMIGA_5_.D SM_AMIGA_2_.D SM_AMIGA_0_.D BG_000.D CLK_EXP.D IPL_030_0_.D IPL_030_1_.D IPL_030_2_.D
|
||||
.p 277
|
||||
-------------------------------------------------------------------- ~1~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
----1--------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
----11-------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~
|
||||
------0------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-------1------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~
|
||||
---0-----1---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
------1--1---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
--------01---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
----0----0---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~
|
||||
------1--0---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~
|
||||
----------1--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~
|
||||
----1--------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
----11-------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~~~
|
||||
------1------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
------0------------------------------------------------------------- ~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-------1------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~
|
||||
---0-----1---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
------0--1---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
--------01---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
----0----0---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~
|
||||
------1--0---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~
|
||||
----------1--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~
|
||||
-----------1-------------------------------------------------------- ~~~~~~~1~1~1~1~1~1~1~1~1~1~1~1~1~1~111111~1~1~1~1~1~1~1~1~111111~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
------------1------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~
|
||||
-------------1------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~
|
||||
------------1------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-------------1------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~
|
||||
-------------0------------------------------------------------------ ~~~~~~~~1~1~1~1~1~1~1~1~1~1~1~1~1~1~~~~~~1~1~1~1~1~1~1~1~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
--------------0----------------------------------------------------- ~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-0--------------0000000--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-----------------------1111----------------------------------------- ~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---------1-----------------1---------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---------1------------------1--------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---------1-------------------0-------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---------1--------------------1------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---1----11-----------------0010---1--------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---------1------------------------0--------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-------------------------------------1------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~111~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
--------1----------------------------1------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-------------------------------------0------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---------0----------------------------1----------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
--------------------------------------0----------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
----1----------------------------------0---------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~
|
||||
----1-----------------------------------1--------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~
|
||||
----1------------------------------------1-------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~
|
||||
----1-------------------------------------1------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~
|
||||
-------------------------------------------1------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~
|
||||
----1---------------------------------------1----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---------0----------------------------------1----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
----1---------1-----------------------------1----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~
|
||||
-------------------------------------------11----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
----1-----------------------------------------1--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~
|
||||
----1-----------------------------------------0--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---------1-----------------1---------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---------1------------------1--------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---------1-------------------0-------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---------1--------------------1------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---1----11-----------------0010---1--------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---------1------------------------0--------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-----------------------------------1-------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~111~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
--------1--------------------------1-------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-----------------------------------0-------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---------0--------------------------1------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
------------------------------------0------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
----1--------------------------------0------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~
|
||||
----1---------------------------------1----------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~
|
||||
----1----------------------------------1---------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~
|
||||
----1-----------------------------------1--------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-----------------------------------------1-------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~
|
||||
----1-------------------------------------1------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---------0--------------------------------1------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
----1---------1---------------------------1------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~~~
|
||||
-----------------------------------------11------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
----1---------------------------------------1----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~
|
||||
----1---------------------------------------0----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-------------------------------------------10----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-------------------------------------0-------1---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~
|
||||
--------------------------------------1------1---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---------------------------------------1-----1---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~
|
||||
----------------------------------------1----1---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~
|
||||
--------------------------------------------11---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~
|
||||
----------------------------------------------1--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~
|
||||
----1-----------------------------------------0--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~1~1~~~~~~~~11~~~~~~~~~~~~~
|
||||
---------------------------------------1------0--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-----------------------------------------1----0--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-------------------------------------------1--0--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---------------------------------------------10--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---------------------------------------0-------1-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~
|
||||
----------------------------------------1------1-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~
|
||||
-----------------------------------------1-----1-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~
|
||||
------------------------------------------1----1-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~
|
||||
----------------------------------------------11-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~
|
||||
------------------------------------------------1------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~
|
||||
----1-------------------------------------------1------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~
|
||||
----1-------------------------------------------0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~1~1~~~~~~~~11~~~~~~~~~~~
|
||||
-----------------------------------1------------0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
------------------------------------1-----------0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-----------------------------------------1------0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-------------------------------------------1----0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---------------------------------------------1--0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-----------------------------------------------10------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~
|
||||
----1--------------------------------------------1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
----1---------1----------------------------------1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~
|
||||
-----------------------------------1-------------1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
------------------------------------1------------1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-----------------------------------------1-------1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-------------------------------------------1-----1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
--1---------------------------------------------10------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
--------1---------------------------------------10------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
--------------------------------1---------------10------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---------------------------------1--------------10------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1
|
||||
---------------------------------------1-1------10------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~
|
||||
-----------------------------------------0------10------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-----------------------------------------00-----10------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~
|
||||
---------------------------------------1-00-----10------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
--------------------------------------------------1----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~
|
||||
----1---------------------------------------------0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~
|
||||
------------------------------------------1--------1---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~
|
||||
---------------------------------------00011----1--1---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
------------------------------------------------0--1---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~
|
||||
---------------------------------------0110---0-0--1---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-------------------------------------------------1-1---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~
|
||||
---------------------------------------0-1------10-1---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---------------------------------------1-11-----10-1---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
----1----------------------------------------------0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~
|
||||
-----------------------------------------------1---0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~
|
||||
---------------------------------------1-11-----10-0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---------------------------------------0-11-----10-0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---------------------------------------0--0-----10-0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---------------------------------------0-00-----10-0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
--------------------------------------------------0-1--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~
|
||||
----------------------------------------------------0--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~
|
||||
--------------------------------------------------1-0--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~
|
||||
--------------------------------------------1--------1-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~
|
||||
------------------------------------------------0----1-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~
|
||||
-------------------------------------------------1---1-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~
|
||||
--------------------------------------------0---10---1-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~
|
||||
-----0--------1----------------1------------0---10---1-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~
|
||||
0----0--------11---------------0------------0---10---1-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~
|
||||
----1------------------------------------------------0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
----1---------1--------------------------------------0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~
|
||||
-------------------------------------------1---------0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
------------------------------------------------1-----1------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~
|
||||
------------------------------------------------0-----1------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~
|
||||
---------0-------------------------------------------00------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~
|
||||
-----1-------------------------------------------------1------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~
|
||||
--------------1-----------------------------1----------1------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~
|
||||
----1------------------------------------------1-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~1~~~~~~~~~~~~
|
||||
----1---------1--------------------------------1-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~~~
|
||||
---------------------------------------1-------1-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-----------------------------------------1-----1-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
--1-------------------------------------------10-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1
|
||||
--------1-------------------------------------10-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
--------------------------------1-------------10-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~
|
||||
---------------------------------1------------10-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~
|
||||
-------------------------------------1-1------10-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~
|
||||
---------------------------------------0------10-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---------------------------------------00-----10-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~
|
||||
-------------------------------------1-00-----10-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
------------------------------------------------1------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~
|
||||
----1-------------------------------------------0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~
|
||||
----------------------------------------1--------1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~
|
||||
-------------------------------------00011----1--1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
----------------------------------------------0--1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~
|
||||
-------------------------------------0110---0-0--1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-----------------------------------------------1-1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~
|
||||
-------------------------------------0-1------10-1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-------------------------------------1-11-----10-1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
----1--------------------------------------------0------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---------------------------------------------1---0------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-------------------------------------1-11-----10-0------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-------------------------------------0-11-----10-0------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-------------------------------------0--0-----10-0------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-------------------------------------0-00-----10-0------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
------------------------------------------------0-1----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~
|
||||
--------------------------------------------------0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~
|
||||
------------------------------------------------1-0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~
|
||||
------------------------------------------1--------1---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~
|
||||
----------------------------------------------0----1---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~
|
||||
-----------------------------------------------1---1---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~
|
||||
------------------------------------------0---10---1---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~
|
||||
-----0--------1----------------1----------0---10---1---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~
|
||||
0----0--------11---------------0----------0---10---1---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~
|
||||
----1----------------------------------------------0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
----1---------1------------------------------------0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~~~
|
||||
-----------------------------------------1---------0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
----------------------------------------------1-----1--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~
|
||||
----------------------------------------------0-----1--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~
|
||||
---------0-----------------------------------------00--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~
|
||||
-----1-----------------------------------------------1-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~
|
||||
--------------1---------------------------1----------1-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~
|
||||
----------------------------------------------0------1-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~
|
||||
--------------1--------------------------------1-----1-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~
|
||||
--------------1------------------------------------0-1-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~
|
||||
-----1------------------------------------------------1------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~
|
||||
--------------1---------------------------1-----------1------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~
|
||||
----------------------------------------------0-------1------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~
|
||||
--------------1--------------------------------1------1------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~
|
||||
--------------1------------------------------------0--1------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~
|
||||
-----------------------------------------------1-------1------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~
|
||||
------------------------------------------------0------1------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~
|
||||
--------------1----------------------------------1-----1------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~
|
||||
--------------1--------------------------------------0-1------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~
|
||||
-----1--------------------------------------------------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~
|
||||
--------------1-----------------------------1-----------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~
|
||||
-----------------------------------------------1--------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~
|
||||
-----------------------------------------------01-------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~
|
||||
------------------------------------------------0-------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~
|
||||
--------------1----------------------------------1------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~
|
||||
--------------1--------------------------------------0--1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~
|
||||
------------------------------------------------1--------1---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~
|
||||
------------------------------------------------0-1------1---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~
|
||||
----1----------------------------------------------------0---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~
|
||||
------------------------------------------------1---------1--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~
|
||||
--------------------------------------------------0-------1--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~
|
||||
---------------------------------------------------------01--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~
|
||||
------------------------------------------------1----------1-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~
|
||||
-----0--------0----------------1----------------1----------1-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~
|
||||
0----0--------01---------------0----------------1----------1-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~
|
||||
------------------------------------------------0----------1-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~
|
||||
----1---------0--------------------------------------------0-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~
|
||||
--------------0----------------------------------------1---0-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~
|
||||
--------------0-----------------------------------------1--0-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~
|
||||
---------------------------------------------1-1------------1------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~
|
||||
------------------------------------------------1-----------1------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~
|
||||
---------------------------------------------0--0-----------1------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~
|
||||
-----------------------------------------------00-----------1------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~
|
||||
----1-------------------------------------------------------0------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~1~~~~~~~~~~~~~~~~~~~~~
|
||||
---------------------------------------------1--------------0------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-----------------------------------------------1------------0------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~
|
||||
------------------------------------------------1------------1------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~
|
||||
------------------------------------------------0------------1------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~
|
||||
------------------------------------------------1-------------1----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~
|
||||
------------------------------------------------0-------------1----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~
|
||||
--------------------------------------------------0------1----0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~
|
||||
-------------------------------------------0-------------------1---- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~
|
||||
-------------------------------------------1----1--------------1---- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~
|
||||
------------------------------------------------0--------------1---- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~
|
||||
---------1------------------------------------------------------1--- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~
|
||||
------------------------------------------------0----------------1-- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1
|
||||
-------------------------------------------------1---------------1-- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1
|
||||
------------------------------------------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~
|
||||
----1--------------------------------------------------------------1 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---------------------------------------------1---------------------1 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-------------------------------------------------------------------- 0~00~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
----1---------------------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~
|
||||
-------------------------------------------------------10----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~
|
||||
----------------------------------------------1----------1---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~
|
||||
-----0--------0----------------1--------------1----------1---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~
|
||||
0----0--------01---------------0--------------1----------1---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~
|
||||
----------------------------------------------0----------1---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~
|
||||
----1---------0------------------------------------------0---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~~~
|
||||
--------------0--------------------------------------1---0---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~
|
||||
--------------0---------------------------------------1--0---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~
|
||||
-------------------------------------------1-1------------1--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~
|
||||
----------------------------------------------1-----------1--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~
|
||||
-------------------------------------------0--0-----------1--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~
|
||||
---------------------------------------------00-----------1--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~
|
||||
----1-----------------------------------------------------0--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~1~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-------------------------------------------1--------------0--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---------------------------------------------1------------0--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~
|
||||
----------------------------------------------1------------1-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~
|
||||
----------------------------------------------0------------1-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~
|
||||
----------------------------------------------1-------------1------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~
|
||||
----------------------------------------------0-------------1------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~
|
||||
-----------------------------------------0-------------------1------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~
|
||||
-----------------------------------------1----1--------------1------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~
|
||||
----------------------------------------------0--------------1------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~
|
||||
---------1----------------------------------------------------1----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~
|
||||
----------------------------------------------0----------------1---- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~
|
||||
-----------------------------------------------1---------------1---- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~
|
||||
----------------------------------------------0-----------------1--- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~
|
||||
-----------------------------------------------1----------------1--- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~
|
||||
----------------------------------------------0------------------1-- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1
|
||||
-----------------------------------------------1-----------------1-- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1
|
||||
------------------------------------------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~
|
||||
----1--------------------------------------------------------------1 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-------------------------------------------1-----------------------1 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-------------------------------------------------------------------- 0~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-1------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
------1------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
--------0----------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---00-0--1---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
----0-0-01---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
----------0--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~
|
||||
------1------------------------------------------------------------- ~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
------0------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
--------0----------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---00-1--1---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
----0-1-01---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
----------0--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~
|
||||
-----------0-------------------------------------------------------- ~~~~~~~0~0~0~0~0~0~0~0~0~0~0~0~0~0~000000~0~0~0~0~0~0~0~0~000000~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
------------0------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~
|
||||
------------0------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-------------1------------------------------------------------------ ~~~~~~~~0~0~0~0~0~0~0~0~0~0~0~0~0~0~~~~~~0~0~0~0~0~0~0~0~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-------------0------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~
|
||||
-------------0------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~
|
||||
--------------1----------------------------------------------------- ~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
----------------1--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-----------------1-------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
|
@ -175,111 +176,114 @@
|
|||
------------------------0------------------------------------------- ~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-------------------------0------------------------------------------ ~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
--------------------------0----------------------------------------- ~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
----0-0--1-----------------1---------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
----0-0--1------------------1--------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
----0-0--1-------------------0-------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
----0-0--1--------------------1------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---10---11-----------------0010---1--------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
----0-0--1------------------------0--------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-------------------------------------1------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-------------------------------------0------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~000~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
--------------------------------------1----------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
----0----0----------------------------0----------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---------------------------------------1---------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
----------------------------------------01-------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-----------------------------------------01------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~0~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-----------------------------------------10------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---------------------------------------1-10------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-----------------------------------------00------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
----0-1--1-----------------1---------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
----0-1--1------------------1--------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
----0-1--1-------------------0-------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
----0-1--1--------------------1------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---10---11-----------------0010---1--------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
----0-1--1------------------------0--------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-----------------------------------1-------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-----------------------------------0-------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~000~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
------------------------------------1------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
----0----0--------------------------0------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-------------------------------------1------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
--------------------------------------01---------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---------------------------------------01--------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---------------------------------------10--------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-------------------------------------1-10--------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---------------------------------------00--------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
----0------------------------------------0-------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
----0----0--------------------------------0------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
----0--------------------------------------0------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
----0----0----------------------------------0----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
----0----------------------------------------0---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~
|
||||
----0------------------------------------------0-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~
|
||||
------------------------------------------------1------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~0~~~~
|
||||
----------------------------------------1-------1------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-------------------------------------------1----1------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~
|
||||
-------------------------------------------0----1------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
------------------------------------------------0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~0~~~~~~0~~~~~0~~~~~~~0~~~~~
|
||||
-----------------------------------0------------0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
------------------------------------0-----------0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-------------------------------------0----------0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-----------------------------------------0------0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
------------------------------------------1-----0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---------------------------------------------0--0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~
|
||||
----------------------------------------------1-0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-----------------------------------------------00------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~
|
||||
-------------------------------------------------1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~0~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-----------------------------------0-------------1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
------------------------------------0------------1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
----------------------------------------------1--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~0~~~~~~
|
||||
--------------------------------------1-------1--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-----------------------------------------0----1--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
----------------------------------------------0--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~0~~~~~~0~~~~~0~~~~~~~0~~~~~~~
|
||||
-----------------------------------0----------0--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---------------------------------------0------0--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
----------------------------------------1-----0--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-------------------------------------------0--0--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~
|
||||
--------------------------------------------1-0--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---------------------------------------------00--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~
|
||||
-----------------------------------------------1-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-----------------------------------0-----------1-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---------------------------------------0-------1-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-----------------------------------------1----11-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~
|
||||
--0-------------------------------------------10-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0
|
||||
--------------------------------0-------------10-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~
|
||||
---------------------------------0------------10-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~
|
||||
---------------------------------------1------10-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-------------------------------------0-10-----10-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~
|
||||
------------------------------------------0---10-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~
|
||||
----------------------------------------------001------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~
|
||||
------------------------------------------------0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~
|
||||
-----------------------------------------1----1-0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~
|
||||
-------------------------------------0-----------1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-----------------------------------------0-------1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
--0---------------------------------------------10------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
--------------------------------0---------------10------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---------------------------------0--------------10------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0
|
||||
-----------------------------------------1------10------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---------------------------------------0-10-----10------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~
|
||||
--------------------------------------------0---10------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~
|
||||
------------------------------------------------0-1----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~
|
||||
--------------------------------------------------0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~
|
||||
---------------------------------------0-----------1---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-----------------------------------------0---------1---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---------------------------------------0-0---------1---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---------------------------------------1--1--------1---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---------------------------------------------------0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---------------------------------------1-----------0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---------------------------------------0--1--------0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~0~~~~~~~~~~~~~~~~~
|
||||
-----------------------------------------01--------0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~
|
||||
------------------------------------------------0--0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~
|
||||
-------------------------------------------------1-0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~
|
||||
----------------------------------------------------1--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~
|
||||
--------------------------------------------------1-1--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~
|
||||
--------------------------------------------------0-0--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~
|
||||
----1-00-0-------------------------------------------1-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~
|
||||
--------------------------------------------0---10---1-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
1----0--------1-----------------------------0---10---1-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~
|
||||
-----0--------10----------------------------0---10---1-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~
|
||||
-----0--------1----------------1------------0---10---1-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~
|
||||
-----0--------1----------------0------------0---10---1-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~
|
||||
------------------------------------------------1----0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~
|
||||
----1-00-0--------------------------------------------1------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~
|
||||
-------------------------------------------0----------0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~
|
||||
-----------------------------------------------------00------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~
|
||||
----01-------------------------------------------------0------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~
|
||||
----0---------1-----------------------------1----------0------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~
|
||||
----0-------------------------------------------0------0------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~
|
||||
----0---------1----------------------------------1-----0------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~
|
||||
----0---------1--------------------------------------0-0------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~
|
||||
----01--------------------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~
|
||||
----0---------1-----------------------------1-----------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~
|
||||
----0-------------------------------------------0-------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~
|
||||
----0---------1----------------------------------1------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~
|
||||
----0---------1--------------------------------------0--0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~
|
||||
------------------------------------------------0-1------1---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~
|
||||
----0-----------------------------------------------------0--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~
|
||||
1----0--------0---------------------------------1----------1-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~
|
||||
-----0--------00--------------------------------1----------1-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~
|
||||
-----0--------0----------------1----------------1----------1-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~
|
||||
-----0--------0----------------0----------------1----------1-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~
|
||||
----0---------0----------------------------------------0---0-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~
|
||||
----0---------0-----------------------------------------0--0-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~
|
||||
---------------------------------------1000---0-1--1--------1------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~
|
||||
------------------------------------------------0-----------0------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~
|
||||
-----------------------------------------------------------00------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~
|
||||
--------------------------------------------1----------------0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~
|
||||
-------------------------------------------------1-----------0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~
|
||||
-----------------------------------------------------0-------0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~
|
||||
-----------------------------------------------------------0-0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~
|
||||
------------------------------------------------0-------------1----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~
|
||||
---------------------------------------------1-1--------------0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~
|
||||
---------------------------------------------------------0----0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~
|
||||
------------------------------------------------------------0-0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~
|
||||
------------------------------------------------1--------------0---- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~
|
||||
--------------------------------------------------0------------0---- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~
|
||||
------------------------------------------------------0--------0---- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~
|
||||
---------------------------------------------------------0-----0---- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~
|
||||
-------0-1------------------------------------------------------0--- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~
|
||||
------------------------------------------------0----------------0-- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0
|
||||
-------------------------------------------------1---------------0-- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0
|
||||
-------------------------------------------0----------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~
|
||||
----------------------------------------------1-1-----------1------0 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---------------------------------------0---------1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-------------------------------------0-0---------1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-------------------------------------1--1--------1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-------------------------------------------------0------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-------------------------------------1-----------0------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-------------------------------------0--1--------0------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~0~~~~~~~~~~~~~~~~~~
|
||||
---------------------------------------01--------0------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~
|
||||
----------------------------------------------0--0------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~
|
||||
-----------------------------------------------1-0------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~
|
||||
--------------------------------------------------1----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~
|
||||
------------------------------------------------1-1----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~
|
||||
------------------------------------------------0-0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~
|
||||
----1-00-0-----------------------------------------1---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~
|
||||
------------------------------------------0---10---1---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
1----0--------1---------------------------0---10---1---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~
|
||||
-----0--------10--------------------------0---10---1---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~
|
||||
-----0--------1----------------1----------0---10---1---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~
|
||||
-----0--------1----------------0----------0---10---1---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~
|
||||
----------------------------------------------1----0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~
|
||||
----1-00-0------------------------------------------1--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~
|
||||
-----------------------------------------0----------0--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~
|
||||
---------------------------------------------------00--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~
|
||||
----01-----------------------------------------------0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~
|
||||
----0---------1---------------------------1----------0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~
|
||||
----0-----------------------------------------0------0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~
|
||||
----0---------1--------------------------------1-----0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~
|
||||
----0---------1------------------------------------0-0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~
|
||||
----01------------------------------------------------0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~
|
||||
----0---------1---------------------------1-----------0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~
|
||||
----0-----------------------------------------0-------0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~
|
||||
----0---------1--------------------------------1------0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~
|
||||
----0---------1------------------------------------0--0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~
|
||||
----0--------------------------------------------------0------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~
|
||||
-----------------------------------------------01-------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~
|
||||
-----------------------------------------1----1---------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~
|
||||
----------------------------------------------0---------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~
|
||||
1----0--------0-------------------------------1----------1---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~
|
||||
-----0--------00------------------------------1----------1---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~
|
||||
-----0--------0----------------1--------------1----------1---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~
|
||||
-----0--------0----------------0--------------1----------1---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~
|
||||
----0---------0--------------------------------------0---0---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~
|
||||
----0---------0---------------------------------------0--0---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~
|
||||
-------------------------------------1000---0-1--1--------1--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~
|
||||
----------------------------------------------0-----------0--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~
|
||||
---------------------------------------------------------00--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~
|
||||
------------------------------------------1----------------0-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~
|
||||
-----------------------------------------------1-----------0-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~
|
||||
---------------------------------------------------0-------0-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~
|
||||
---------------------------------------------------------0-0-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~
|
||||
-------------------------------------------1-1--------------0------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~
|
||||
-----------------------------------------------01-----------0------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~
|
||||
--------------------------------------------------------0---0------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~
|
||||
----------------------------------------------------------0-0------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~
|
||||
-----------------------------------------------1-------------0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~
|
||||
------------------------------------------------0------------0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~
|
||||
----------------------------------------------------0--------0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~
|
||||
--------------------------------------------------------0----0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~
|
||||
-------0-1----------------------------------------------------0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~
|
||||
----------------------------------------------0----------------0---- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~
|
||||
-----------------------------------------------1---------------0---- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~
|
||||
----------------------------------------------0-----------------0--- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~
|
||||
-----------------------------------------------1----------------0--- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~
|
||||
----------------------------------------------0------------------0-- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0
|
||||
-----------------------------------------------1-----------------0-- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0
|
||||
-----------------------------------------0------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~
|
||||
--------------------------------------------1-1-----------1--------0 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
.end
|
||||
|
|
|
@ -1,41 +1,41 @@
|
|||
#$ TOOL ispLEVER Classic 1.7.00.05.28.13
|
||||
#$ DATE Thu May 15 23:02:46 2014
|
||||
#$ DATE Fri May 16 17:07:08 2014
|
||||
#$ MODULE BUS68030
|
||||
#$ PINS 59 A_21_ A_20_ SIZE_1_ A_19_ A_18_ A_31_ A_17_ A_16_ IPL_2_ FC_1_
|
||||
AS_030 DS_030 CPU_SPACE BERR BG_030 A_0_ BGACK_000 CLK_030 IPL_1_ CLK_000 IPL_0_
|
||||
CLK_OSZI DSACK_0_ CLK_DIV_OUT FC_0_ AVEC AVEC_EXP VPA RST RW AMIGA_BUS_ENABLE
|
||||
AMIGA_BUS_DATA_DIR AMIGA_BUS_ENABLE_LOW CIIN SIZE_0_ A_30_ A_29_ A_28_ A_27_
|
||||
A_26_ A_25_ A_24_ A_23_ A_22_ IPL_030_2_ DSACK_1_ AS_000 UDS_000 LDS_000 BG_000
|
||||
BGACK_030 IPL_030_1_ IPL_030_0_ CLK_EXP FPU_CS DTACK E VMA RESET
|
||||
#$ PINS 59 A_17_ A_16_ SIZE_1_ A_31_ IPL_2_ FC_1_ AS_030 DS_030 A_0_ nEXP_SPACE
|
||||
BERR BG_030 IPL_1_ IPL_0_ DSACK_0_ BGACK_000 FC_0_ CLK_030 CLK_000 CLK_OSZI
|
||||
CLK_DIV_OUT AVEC AVEC_EXP VPA RST RW AMIGA_BUS_ENABLE AMIGA_BUS_DATA_DIR
|
||||
AMIGA_BUS_ENABLE_LOW CIIN SIZE_0_ A_30_ A_29_ A_28_ A_27_ A_26_ A_25_ A_24_
|
||||
A_23_ A_22_ A_21_ A_20_ A_19_ A_18_ IPL_030_2_ DSACK_1_ AS_000 UDS_000 LDS_000
|
||||
IPL_030_1_ IPL_030_0_ BG_000 BGACK_030 CLK_EXP FPU_CS DTACK E VMA RESET
|
||||
#$ NODES 19 cpu_est_0_ cpu_est_1_ inst_AS_030_000_SYNC inst_DTACK_SYNC
|
||||
inst_VPA_D inst_VPA_SYNC inst_CLK_000_D inst_CLK_000_DD inst_CLK_OUT_PRE
|
||||
inst_VPA_D inst_VPA_SYNC inst_CLK_000_D0 inst_CLK_000_D1 inst_CLK_OUT_PRE
|
||||
cpu_est_2_ CLK_CNT_0_ SM_AMIGA_6_ SM_AMIGA_7_ SM_AMIGA_1_ SM_AMIGA_4_
|
||||
SM_AMIGA_3_ SM_AMIGA_5_ SM_AMIGA_2_ SM_AMIGA_0_
|
||||
.type f
|
||||
.i 68
|
||||
.o 111
|
||||
.ilb SIZE_1_ A_31_ IPL_2_ FC_1_ AS_030 DS_030 CPU_SPACE BG_030 BGACK_000 CLK_030
|
||||
CLK_000 CLK_OSZI VPA RST RW SIZE_0_ A_30_ A_29_ A_28_ A_27_ A_26_ A_25_ A_24_
|
||||
A_23_ A_22_ A_21_ A_20_ A_19_ A_18_ A_17_ A_16_ A_0_ IPL_1_ IPL_0_ FC_0_
|
||||
IPL_030_1_.Q IPL_030_2_.Q BGACK_030.Q FPU_CS.Q E.Q VMA.Q cpu_est_0_.Q
|
||||
cpu_est_1_.Q AS_000.Q inst_AS_030_000_SYNC.Q inst_DTACK_SYNC.Q inst_VPA_D.Q
|
||||
inst_VPA_SYNC.Q inst_CLK_000_D.Q inst_CLK_000_DD.Q inst_CLK_OUT_PRE.Q
|
||||
cpu_est_2_.Q CLK_CNT_0_.Q SM_AMIGA_6_.Q SM_AMIGA_7_.Q UDS_000.Q LDS_000.Q
|
||||
SM_AMIGA_1_.Q DSACK_1_.Q SM_AMIGA_4_.Q SM_AMIGA_3_.Q SM_AMIGA_5_.Q SM_AMIGA_2_.Q
|
||||
SM_AMIGA_0_.Q BG_000.Q IPL_030_0_.Q DSACK_1_.PIN DTACK.PIN
|
||||
.ilb SIZE_1_ A_31_ IPL_2_ FC_1_ AS_030 DS_030 nEXP_SPACE BG_030 BGACK_000
|
||||
CLK_030 CLK_000 CLK_OSZI VPA RST RW SIZE_0_ A_30_ A_29_ A_28_ A_27_ A_26_ A_25_
|
||||
A_24_ A_23_ A_22_ A_21_ A_20_ A_19_ A_18_ A_17_ A_16_ A_0_ IPL_1_ IPL_0_ FC_0_
|
||||
BGACK_030.Q FPU_CS.Q E.Q VMA.Q cpu_est_0_.Q cpu_est_1_.Q AS_000.Q
|
||||
inst_AS_030_000_SYNC.Q inst_DTACK_SYNC.Q inst_VPA_D.Q inst_VPA_SYNC.Q
|
||||
inst_CLK_000_D0.Q inst_CLK_000_D1.Q inst_CLK_OUT_PRE.Q cpu_est_2_.Q CLK_CNT_0_.Q
|
||||
SM_AMIGA_6_.Q SM_AMIGA_7_.Q UDS_000.Q LDS_000.Q DSACK_1_.Q SM_AMIGA_1_.Q
|
||||
SM_AMIGA_4_.Q SM_AMIGA_3_.Q SM_AMIGA_5_.Q SM_AMIGA_2_.Q SM_AMIGA_0_.Q BG_000.Q
|
||||
IPL_030_0_.Q IPL_030_1_.Q IPL_030_2_.Q DSACK_1_.PIN DTACK.PIN
|
||||
.ob BERR BERR.OE DSACK_0_ DSACK_0_.OE CLK_DIV_OUT.D CLK_DIV_OUT.C AVEC AVEC_EXP
|
||||
AVEC_EXP.OE AMIGA_BUS_ENABLE AMIGA_BUS_DATA_DIR AMIGA_BUS_ENABLE_LOW CIIN
|
||||
CIIN.OE IPL_030_2_.D IPL_030_2_.C IPL_030_2_.AP DSACK_1_.D% DSACK_1_.C
|
||||
DSACK_1_.AP DSACK_1_.OE AS_000.D% AS_000.C AS_000.AP AS_000.OE UDS_000.D%
|
||||
UDS_000.C UDS_000.AP UDS_000.OE LDS_000.D% LDS_000.C LDS_000.AP LDS_000.OE
|
||||
BG_000.D% BG_000.C BG_000.AP BGACK_030.D BGACK_030.C BGACK_030.AP IPL_030_1_.D
|
||||
IPL_030_1_.C IPL_030_1_.AP IPL_030_0_.D IPL_030_0_.C IPL_030_0_.AP CLK_EXP.D
|
||||
IPL_030_1_.D IPL_030_1_.C IPL_030_1_.AP IPL_030_0_.D IPL_030_0_.C IPL_030_0_.AP
|
||||
BG_000.D% BG_000.C BG_000.AP BGACK_030.D BGACK_030.C BGACK_030.AP CLK_EXP.D
|
||||
CLK_EXP.C FPU_CS.D% FPU_CS.C FPU_CS.AP DTACK.D% DTACK.C DTACK.AP DTACK.OE E.T
|
||||
E.C VMA.T VMA.C VMA.AP RESET.D RESET.C cpu_est_0_.D cpu_est_0_.C cpu_est_1_.T
|
||||
cpu_est_1_.C inst_AS_030_000_SYNC.D inst_AS_030_000_SYNC.C
|
||||
inst_AS_030_000_SYNC.AP inst_DTACK_SYNC.D% inst_DTACK_SYNC.C inst_DTACK_SYNC.AP
|
||||
inst_VPA_D.D inst_VPA_D.C inst_VPA_SYNC.D% inst_VPA_SYNC.C inst_VPA_SYNC.AP
|
||||
inst_CLK_000_D.D inst_CLK_000_D.C inst_CLK_000_DD.D inst_CLK_000_DD.C
|
||||
inst_CLK_000_D0.D inst_CLK_000_D0.C inst_CLK_000_D1.D inst_CLK_000_D1.C
|
||||
inst_CLK_OUT_PRE.D inst_CLK_OUT_PRE.C cpu_est_2_.D.X1 cpu_est_2_.D.X2
|
||||
cpu_est_2_.C CLK_CNT_0_.D CLK_CNT_0_.C SM_AMIGA_6_.D% SM_AMIGA_6_.C
|
||||
SM_AMIGA_6_.AR SM_AMIGA_7_.D SM_AMIGA_7_.C SM_AMIGA_7_.AP SM_AMIGA_1_.D
|
||||
|
@ -44,107 +44,108 @@
|
|||
SM_AMIGA_5_.AR SM_AMIGA_2_.D SM_AMIGA_2_.C SM_AMIGA_2_.AR SM_AMIGA_0_.D
|
||||
SM_AMIGA_0_.C SM_AMIGA_0_.AR
|
||||
.phase 111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
|
||||
.p 102
|
||||
.p 103
|
||||
-------------------------------------------------------------------- 001000100001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
--------------------------------------0----------------------------- 010000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
------0------------------------------------------------------------- 000100000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
--------------------------------------------------1----------------- 000010000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000
|
||||
------------------------------------0------------------------------- 010000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
------1------------------------------------------------------------- 000100000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
------------------------------------------------1------------------- 000010000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000
|
||||
-----------1-------------------------------------------------------- 000001000000000100100010001000100010010010010010100100010100101010100100101001010100101010010010010010010010010
|
||||
------0------------------------------------------------------------- 000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
--------------0----------------------------------------------------- 000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
-----------------------1111----------------------------------------- 000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
-0--------------0000000--------------------------------------------- 000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
------------------------------------1-----------0------------------- 000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
------------------------------------1------------1------------------ 000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
--1---------------------------------------------10------------------ 000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
--1-------------------------------------------10-------------------- 000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
----------------------------------------------0------------------1-- 000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
-----------------------------------------------1-----------------1-- 000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
-------------0------------------------------------------------------ 000000000000000010010001000100010001001001001000010010000010000000010010000100000000000001001001001001001001001
|
||||
------------------------------------------------0-1------1---------- 000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100
|
||||
----0-----------------------------------------------------0--------- 000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
----0--------------------------------------0------------------------ 000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
--------------------------------------------0---10---1-------------- 000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000100000000
|
||||
-------------------------------------1------------------------------ 000000000000000000000000100010001000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
-----0--------1----------------0------------0---10---1-------------- 000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
----01-------------------------------------------------0------------ 000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
----0---------1-----------------------------1----------0------------ 000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
----0-------------------------------------------0------0------------ 000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
----0---------1----------------------------------1-----0------------ 000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
----0---------1--------------------------------------0-0------------ 000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
-----0--------0----------------0----------------1----------1-------- 000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
----0---------0----------------------------------------0---0-------- 000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
1----0--------1-----------------------------0---10---1-------------- 000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
-----0--------10----------------------------0---10---1-------------- 000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
-----0--------1----------------1------------0---10---1-------------- 000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
----01--------------------------------------------------0----------- 000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
----0---------1-----------------------------1-----------0----------- 000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
----0-------------------------------------------0-------0----------- 000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
----0---------1----------------------------------1------0----------- 000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
----0---------1--------------------------------------0--0----------- 000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
1----0--------0---------------------------------1----------1-------- 000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
-----0--------00--------------------------------1----------1-------- 000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
-----0--------0----------------1----------------1----------1-------- 000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
----0---------0-----------------------------------------0--0-------- 000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
----1-00-0-------------------------------------------1-------------- 000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
----1-00-0--------------------------------------------1------------- 000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
-------0-1------------------------------------------------------0--- 000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
--------1----------------------------1------------------------------ 000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
--------1---------------------------------------10------------------ 000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
-----------------------------------1------------0------------------- 000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000
|
||||
-----------------------------------1-------------1------------------ 000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000
|
||||
--------------------------------1---------------10------------------ 000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000
|
||||
---------------------------------1--------------10------------------ 000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000
|
||||
------------------------------------------------0----------------1-- 000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000
|
||||
-------------------------------------------------1---------------1-- 000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000
|
||||
----0--------------------------------------------------0------------ 000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
-----------------------------------------------01-------1----------- 000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100
|
||||
----0------------------------------------0-------------------------- 000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
------------------------------------------0---10---1---------------- 000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000100000000
|
||||
-----------------------------------1-------------------------------- 000000000000000000000000100010001000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
-----0--------1----------------0----------0---10---1---------------- 000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
----01-----------------------------------------------0-------------- 000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
----0---------1---------------------------1----------0-------------- 000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
----0-----------------------------------------0------0-------------- 000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
----0---------1--------------------------------1-----0-------------- 000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
----0---------1------------------------------------0-0-------------- 000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
-----0--------0----------------0--------------1----------1---------- 000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
----0---------0--------------------------------------0---0---------- 000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
1----0--------1---------------------------0---10---1---------------- 000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
-----0--------10--------------------------0---10---1---------------- 000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
-----0--------1----------------1----------0---10---1---------------- 000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
----01------------------------------------------------0------------- 000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
----0---------1---------------------------1-----------0------------- 000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
----0-----------------------------------------0-------0------------- 000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
----0---------1--------------------------------1------0------------- 000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
----0---------1------------------------------------0--0------------- 000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
1----0--------0-------------------------------1----------1---------- 000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
-----0--------00------------------------------1----------1---------- 000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
-----0--------0----------------1--------------1----------1---------- 000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
----0---------0---------------------------------------0--0---------- 000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
--------------------------------1-------------10-------------------- 000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
----------------------------------------------0-----------------1--- 000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
-----------------------------------------------1----------------1--- 000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
---------------------------------1------------10-------------------- 000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
----------------------------------------------0----------------1---- 000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
-----------------------------------------------1---------------1---- 000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
----1-00-0-----------------------------------------1---------------- 000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000
|
||||
----1-00-0------------------------------------------1--------------- 000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000
|
||||
-------0-1----------------------------------------------------0----- 000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000
|
||||
--------1--------------------------1-------------------------------- 000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000
|
||||
--------1-------------------------------------10-------------------- 000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000
|
||||
---10---11-----------------0010---1--------------------------------- 000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000
|
||||
----0----0----------------------------0----------------------------- 000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000
|
||||
-------------------------------------------0----------------------0- 000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000
|
||||
-------------------------------------0------------------------------ 000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000
|
||||
---------------------------------------1-11-----10-1---------------- 000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000
|
||||
---------------------------------------0-11-----10-0---------------- 000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000
|
||||
---------------------------------------0-00-----10-0---------------- 000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000
|
||||
---------------------------------------00011----1--1---------------- 000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000
|
||||
---------------------------------------0110---0-0--1---------------- 000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000
|
||||
----0----0--------------------------0------------------------------- 000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000
|
||||
-----------------------------------------0------------------------0- 000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000
|
||||
-----------------------------------0-------------------------------- 000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000
|
||||
-------------------------------------1-11-----10-1------------------ 000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000
|
||||
-------------------------------------0-11-----10-0------------------ 000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000
|
||||
-------------------------------------0-00-----10-0------------------ 000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000
|
||||
-------------------------------------00011----1--1------------------ 000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000
|
||||
-------------------------------------0110---0-0--1------------------ 000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000
|
||||
-------------1------------------------------------------------------ 000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000
|
||||
-----------------------------------------1------0------------------- 000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000
|
||||
-----------------------------------------1-------1------------------ 000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000
|
||||
-----------------------------------------0------10------------------ 000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000
|
||||
---------------------------------------1-00-----10------------------ 000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000
|
||||
---------------------------------------0-1------10-1---------------- 000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000
|
||||
---------------------------------------1-11-----10-0---------------- 000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000
|
||||
---------------------------------------0--0-----10-0---------------- 000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000
|
||||
---------------------------------------1------0--------------------- 000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000
|
||||
---------------------------------------1-------1-------------------- 000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000
|
||||
---------------------------------------0------10-------------------- 000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000
|
||||
-------------------------------------1-00-----10-------------------- 000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000
|
||||
-------------------------------------0-1------10-1------------------ 000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000
|
||||
-------------------------------------1-11-----10-0------------------ 000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000
|
||||
-------------------------------------0--0-----10-0------------------ 000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000
|
||||
----1--------------------------------------------------------------- 000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000
|
||||
------1--1---------------------------------------------------------- 000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000
|
||||
------0--1---------------------------------------------------------- 000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000
|
||||
---1----11-----------------0010---1--------------------------------- 000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000
|
||||
---------0----------------------------------1----------------------- 000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000
|
||||
----0----------------------------------------0---------------------- 000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000
|
||||
----------------------------------------------1-1-----------1------0 000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000
|
||||
---------0--------------------------------1------------------------- 000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000
|
||||
----0--------------------------------------0------------------------ 000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000
|
||||
--------------------------------------------1-1-----------1--------0 000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000
|
||||
------------1------------------------------------------------------- 000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000
|
||||
----0------------------------------------------0-------------------- 000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000
|
||||
---------------------------------------1000---0-1--1--------1------- 000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000
|
||||
----0----------------------------------------0---------------------- 000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000
|
||||
-------------------------------------1000---0-1--1--------1--------- 000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000
|
||||
----------1--------------------------------------------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000
|
||||
------------------------------------------------1------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000
|
||||
--------------------------------------------------0-1--------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000
|
||||
--------------------------------------------------1-0--------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000
|
||||
---------------------------------------1-1------10-0---------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000
|
||||
-----------------------------------------00-----10-0---------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000
|
||||
---------------------------------------0-10-----10-1---------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000
|
||||
---------------------------------------------------1---------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000
|
||||
----------------------------------------------------0--------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000
|
||||
--------------------------------------------0---10------------------ 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000
|
||||
------------------------------------------------1----0-------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000
|
||||
-----------------------------------------------------00------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000
|
||||
------------------------------------------------1-----1------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000
|
||||
-------------------------------------------1----1--------------1---- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000
|
||||
------------------------------------------------1--------1---------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000
|
||||
------------------------------------------------1-------------1----- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000
|
||||
--------------------------------------------------0------1----0----- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000
|
||||
------------------------------------------------0----------1-------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000
|
||||
------------------------------------------------0------------1------ 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000
|
||||
------------------------------------------------1----------1-------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000
|
||||
---------------------------------------------1-1------------1------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000
|
||||
------------------------------------------------1-----------1------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000
|
||||
------------------------------------------------1------------1------ 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000
|
||||
---------------------------------------------0--0-----------1------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000
|
||||
-----------------------------------------------00-----------1------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000
|
||||
------------------------------------------------0-------------1----- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000
|
||||
-------------------------------------------0-------------------1---- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100
|
||||
------------------------------------------------0--------------1---- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100
|
||||
----------------------------------------------1--------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000
|
||||
------------------------------------------------0-1----------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000
|
||||
------------------------------------------------1-0----------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000
|
||||
-------------------------------------1-1------10-0------------------ 000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000
|
||||
---------------------------------------00-----10-0------------------ 000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000
|
||||
-------------------------------------0-10-----10-1------------------ 000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000
|
||||
-------------------------------------------------1------------------ 000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000
|
||||
--------------------------------------------------0----------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000
|
||||
------------------------------------------0---10-------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000
|
||||
----------------------------------------------1----0---------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000
|
||||
---------------------------------------------------00--------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000
|
||||
----------------------------------------------1-----1--------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000
|
||||
-----------------------------------------1----1--------------1------ 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000
|
||||
-----------------------------------------------1--------1----------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000
|
||||
------------------------------------------------0-------1----------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000
|
||||
----------------------------------------------1-------------1------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000
|
||||
----------------------------------------------0----------1---------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000
|
||||
----------------------------------------------0------------1-------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000
|
||||
----------------------------------------------1----------1---------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000
|
||||
-------------------------------------------1-1------------1--------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000
|
||||
----------------------------------------------1-----------1--------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000
|
||||
----------------------------------------------1------------1-------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000
|
||||
-------------------------------------------0--0-----------1--------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000
|
||||
---------------------------------------------00-----------1--------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000
|
||||
----------------------------------------------0-------------1------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000
|
||||
-----------------------------------------0-------------------1------ 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100
|
||||
----------------------------------------------0--------------1------ 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100
|
||||
.end
|
||||
|
|
|
@ -1,41 +1,41 @@
|
|||
#$ TOOL ispLEVER Classic 1.7.00.05.28.13
|
||||
#$ DATE Thu May 15 23:02:46 2014
|
||||
#$ DATE Fri May 16 17:07:08 2014
|
||||
#$ MODULE BUS68030
|
||||
#$ PINS 59 A_21_ A_20_ SIZE_1_ A_19_ A_18_ A_31_ A_17_ A_16_ IPL_2_ FC_1_
|
||||
AS_030 DS_030 CPU_SPACE BERR BG_030 A_0_ BGACK_000 CLK_030 IPL_1_ CLK_000 IPL_0_
|
||||
CLK_OSZI DSACK_0_ CLK_DIV_OUT FC_0_ AVEC AVEC_EXP VPA RST RW AMIGA_BUS_ENABLE
|
||||
AMIGA_BUS_DATA_DIR AMIGA_BUS_ENABLE_LOW CIIN SIZE_0_ A_30_ A_29_ A_28_ A_27_
|
||||
A_26_ A_25_ A_24_ A_23_ A_22_ IPL_030_2_ DSACK_1_ AS_000 UDS_000 LDS_000 BG_000
|
||||
BGACK_030 IPL_030_1_ IPL_030_0_ CLK_EXP FPU_CS DTACK E VMA RESET
|
||||
#$ PINS 59 A_17_ A_16_ SIZE_1_ A_31_ IPL_2_ FC_1_ AS_030 DS_030 A_0_ nEXP_SPACE
|
||||
BERR BG_030 IPL_1_ IPL_0_ DSACK_0_ BGACK_000 FC_0_ CLK_030 CLK_000 CLK_OSZI
|
||||
CLK_DIV_OUT AVEC AVEC_EXP VPA RST RW AMIGA_BUS_ENABLE AMIGA_BUS_DATA_DIR
|
||||
AMIGA_BUS_ENABLE_LOW CIIN SIZE_0_ A_30_ A_29_ A_28_ A_27_ A_26_ A_25_ A_24_
|
||||
A_23_ A_22_ A_21_ A_20_ A_19_ A_18_ IPL_030_2_ DSACK_1_ AS_000 UDS_000 LDS_000
|
||||
IPL_030_1_ IPL_030_0_ BG_000 BGACK_030 CLK_EXP FPU_CS DTACK E VMA RESET
|
||||
#$ NODES 19 cpu_est_0_ cpu_est_1_ inst_AS_030_000_SYNC inst_DTACK_SYNC
|
||||
inst_VPA_D inst_VPA_SYNC inst_CLK_000_D inst_CLK_000_DD inst_CLK_OUT_PRE
|
||||
inst_VPA_D inst_VPA_SYNC inst_CLK_000_D0 inst_CLK_000_D1 inst_CLK_OUT_PRE
|
||||
cpu_est_2_ CLK_CNT_0_ SM_AMIGA_6_ SM_AMIGA_7_ SM_AMIGA_1_ SM_AMIGA_4_
|
||||
SM_AMIGA_3_ SM_AMIGA_5_ SM_AMIGA_2_ SM_AMIGA_0_
|
||||
.type f
|
||||
.i 68
|
||||
.o 111
|
||||
.ilb SIZE_1_ A_31_ IPL_2_ FC_1_ AS_030 DS_030 CPU_SPACE BG_030 BGACK_000 CLK_030
|
||||
CLK_000 CLK_OSZI VPA RST RW SIZE_0_ A_30_ A_29_ A_28_ A_27_ A_26_ A_25_ A_24_
|
||||
A_23_ A_22_ A_21_ A_20_ A_19_ A_18_ A_17_ A_16_ A_0_ IPL_1_ IPL_0_ FC_0_
|
||||
IPL_030_1_.Q IPL_030_2_.Q BGACK_030.Q FPU_CS.Q E.Q VMA.Q cpu_est_0_.Q
|
||||
cpu_est_1_.Q AS_000.Q inst_AS_030_000_SYNC.Q inst_DTACK_SYNC.Q inst_VPA_D.Q
|
||||
inst_VPA_SYNC.Q inst_CLK_000_D.Q inst_CLK_000_DD.Q inst_CLK_OUT_PRE.Q
|
||||
cpu_est_2_.Q CLK_CNT_0_.Q SM_AMIGA_6_.Q SM_AMIGA_7_.Q UDS_000.Q LDS_000.Q
|
||||
SM_AMIGA_1_.Q DSACK_1_.Q SM_AMIGA_4_.Q SM_AMIGA_3_.Q SM_AMIGA_5_.Q SM_AMIGA_2_.Q
|
||||
SM_AMIGA_0_.Q BG_000.Q IPL_030_0_.Q DSACK_1_.PIN DTACK.PIN
|
||||
.ilb SIZE_1_ A_31_ IPL_2_ FC_1_ AS_030 DS_030 nEXP_SPACE BG_030 BGACK_000
|
||||
CLK_030 CLK_000 CLK_OSZI VPA RST RW SIZE_0_ A_30_ A_29_ A_28_ A_27_ A_26_ A_25_
|
||||
A_24_ A_23_ A_22_ A_21_ A_20_ A_19_ A_18_ A_17_ A_16_ A_0_ IPL_1_ IPL_0_ FC_0_
|
||||
BGACK_030.Q FPU_CS.Q E.Q VMA.Q cpu_est_0_.Q cpu_est_1_.Q AS_000.Q
|
||||
inst_AS_030_000_SYNC.Q inst_DTACK_SYNC.Q inst_VPA_D.Q inst_VPA_SYNC.Q
|
||||
inst_CLK_000_D0.Q inst_CLK_000_D1.Q inst_CLK_OUT_PRE.Q cpu_est_2_.Q CLK_CNT_0_.Q
|
||||
SM_AMIGA_6_.Q SM_AMIGA_7_.Q UDS_000.Q LDS_000.Q DSACK_1_.Q SM_AMIGA_1_.Q
|
||||
SM_AMIGA_4_.Q SM_AMIGA_3_.Q SM_AMIGA_5_.Q SM_AMIGA_2_.Q SM_AMIGA_0_.Q BG_000.Q
|
||||
IPL_030_0_.Q IPL_030_1_.Q IPL_030_2_.Q DSACK_1_.PIN DTACK.PIN
|
||||
.ob BERR BERR.OE DSACK_0_ DSACK_0_.OE CLK_DIV_OUT.D CLK_DIV_OUT.C AVEC AVEC_EXP
|
||||
AVEC_EXP.OE AMIGA_BUS_ENABLE AMIGA_BUS_DATA_DIR AMIGA_BUS_ENABLE_LOW CIIN
|
||||
CIIN.OE IPL_030_2_.D IPL_030_2_.C IPL_030_2_.AP DSACK_1_.D- DSACK_1_.C
|
||||
DSACK_1_.AP DSACK_1_.OE AS_000.D- AS_000.C AS_000.AP AS_000.OE UDS_000.D-
|
||||
UDS_000.C UDS_000.AP UDS_000.OE LDS_000.D- LDS_000.C LDS_000.AP LDS_000.OE
|
||||
BG_000.D- BG_000.C BG_000.AP BGACK_030.D BGACK_030.C BGACK_030.AP IPL_030_1_.D
|
||||
IPL_030_1_.C IPL_030_1_.AP IPL_030_0_.D IPL_030_0_.C IPL_030_0_.AP CLK_EXP.D
|
||||
IPL_030_1_.D IPL_030_1_.C IPL_030_1_.AP IPL_030_0_.D IPL_030_0_.C IPL_030_0_.AP
|
||||
BG_000.D- BG_000.C BG_000.AP BGACK_030.D BGACK_030.C BGACK_030.AP CLK_EXP.D
|
||||
CLK_EXP.C FPU_CS.D- FPU_CS.C FPU_CS.AP DTACK.D- DTACK.C DTACK.AP DTACK.OE E.T
|
||||
E.C VMA.T VMA.C VMA.AP RESET.D RESET.C cpu_est_0_.D cpu_est_0_.C cpu_est_1_.T
|
||||
cpu_est_1_.C inst_AS_030_000_SYNC.D inst_AS_030_000_SYNC.C
|
||||
inst_AS_030_000_SYNC.AP inst_DTACK_SYNC.D- inst_DTACK_SYNC.C inst_DTACK_SYNC.AP
|
||||
inst_VPA_D.D inst_VPA_D.C inst_VPA_SYNC.D- inst_VPA_SYNC.C inst_VPA_SYNC.AP
|
||||
inst_CLK_000_D.D inst_CLK_000_D.C inst_CLK_000_DD.D inst_CLK_000_DD.C
|
||||
inst_CLK_000_D0.D inst_CLK_000_D0.C inst_CLK_000_D1.D inst_CLK_000_D1.C
|
||||
inst_CLK_OUT_PRE.D inst_CLK_OUT_PRE.C cpu_est_2_.D.X1 cpu_est_2_.D.X2
|
||||
cpu_est_2_.C CLK_CNT_0_.D CLK_CNT_0_.C SM_AMIGA_6_.D- SM_AMIGA_6_.C
|
||||
SM_AMIGA_6_.AR SM_AMIGA_7_.D SM_AMIGA_7_.C SM_AMIGA_7_.AP SM_AMIGA_1_.D
|
||||
|
@ -44,107 +44,108 @@
|
|||
SM_AMIGA_5_.AR SM_AMIGA_2_.D SM_AMIGA_2_.C SM_AMIGA_2_.AR SM_AMIGA_0_.D
|
||||
SM_AMIGA_0_.C SM_AMIGA_0_.AR
|
||||
.phase 111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
|
||||
.p 102
|
||||
.p 103
|
||||
-------------------------------------------------------------------- 001000100001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
--------------------------------------0----------------------------- 010000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
------0------------------------------------------------------------- 000100000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
--------------------------------------------------1----------------- 000010000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000
|
||||
------------------------------------0------------------------------- 010000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
------1------------------------------------------------------------- 000100000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
------------------------------------------------1------------------- 000010000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000
|
||||
-----------1-------------------------------------------------------- 000001000000000100100010001000100010010010010010100100010100101010100100101001010100101010010010010010010010010
|
||||
------0------------------------------------------------------------- 000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
--------------0----------------------------------------------------- 000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
-----------------------1111----------------------------------------- 000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
-0--------------0000000--------------------------------------------- 000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
------------------------------------1-----------0------------------- 000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
------------------------------------1------------1------------------ 000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
--1---------------------------------------------10------------------ 000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
--1-------------------------------------------10-------------------- 000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
----------------------------------------------0------------------1-- 000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
-----------------------------------------------1-----------------1-- 000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
-------------0------------------------------------------------------ 000000000000000010010001000100010001001001001000010010000010000000010010000100000000000001001001001001001001001
|
||||
------------------------------------------------0-1------1---------- 000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100
|
||||
----0-----------------------------------------------------0--------- 000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
----0--------------------------------------0------------------------ 000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
--------------------------------------------0---10---1-------------- 000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000100000000
|
||||
-------------------------------------1------------------------------ 000000000000000000000000100010001000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
-----0--------1----------------0------------0---10---1-------------- 000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
----01-------------------------------------------------0------------ 000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
----0---------1-----------------------------1----------0------------ 000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
----0-------------------------------------------0------0------------ 000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
----0---------1----------------------------------1-----0------------ 000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
----0---------1--------------------------------------0-0------------ 000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
-----0--------0----------------0----------------1----------1-------- 000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
----0---------0----------------------------------------0---0-------- 000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
1----0--------1-----------------------------0---10---1-------------- 000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
-----0--------10----------------------------0---10---1-------------- 000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
-----0--------1----------------1------------0---10---1-------------- 000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
----01--------------------------------------------------0----------- 000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
----0---------1-----------------------------1-----------0----------- 000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
----0-------------------------------------------0-------0----------- 000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
----0---------1----------------------------------1------0----------- 000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
----0---------1--------------------------------------0--0----------- 000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
1----0--------0---------------------------------1----------1-------- 000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
-----0--------00--------------------------------1----------1-------- 000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
-----0--------0----------------1----------------1----------1-------- 000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
----0---------0-----------------------------------------0--0-------- 000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
----1-00-0-------------------------------------------1-------------- 000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
----1-00-0--------------------------------------------1------------- 000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
-------0-1------------------------------------------------------0--- 000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
--------1----------------------------1------------------------------ 000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
--------1---------------------------------------10------------------ 000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
-----------------------------------1------------0------------------- 000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000
|
||||
-----------------------------------1-------------1------------------ 000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000
|
||||
--------------------------------1---------------10------------------ 000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000
|
||||
---------------------------------1--------------10------------------ 000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000
|
||||
------------------------------------------------0----------------1-- 000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000
|
||||
-------------------------------------------------1---------------1-- 000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000
|
||||
----0--------------------------------------------------0------------ 000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
-----------------------------------------------01-------1----------- 000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100
|
||||
----0------------------------------------0-------------------------- 000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
------------------------------------------0---10---1---------------- 000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000100000000
|
||||
-----------------------------------1-------------------------------- 000000000000000000000000100010001000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
-----0--------1----------------0----------0---10---1---------------- 000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
----01-----------------------------------------------0-------------- 000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
----0---------1---------------------------1----------0-------------- 000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
----0-----------------------------------------0------0-------------- 000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
----0---------1--------------------------------1-----0-------------- 000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
----0---------1------------------------------------0-0-------------- 000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
-----0--------0----------------0--------------1----------1---------- 000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
----0---------0--------------------------------------0---0---------- 000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
1----0--------1---------------------------0---10---1---------------- 000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
-----0--------10--------------------------0---10---1---------------- 000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
-----0--------1----------------1----------0---10---1---------------- 000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
----01------------------------------------------------0------------- 000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
----0---------1---------------------------1-----------0------------- 000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
----0-----------------------------------------0-------0------------- 000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
----0---------1--------------------------------1------0------------- 000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
----0---------1------------------------------------0--0------------- 000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
1----0--------0-------------------------------1----------1---------- 000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
-----0--------00------------------------------1----------1---------- 000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
-----0--------0----------------1--------------1----------1---------- 000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
----0---------0---------------------------------------0--0---------- 000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
--------------------------------1-------------10-------------------- 000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
----------------------------------------------0-----------------1--- 000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
-----------------------------------------------1----------------1--- 000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
---------------------------------1------------10-------------------- 000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
----------------------------------------------0----------------1---- 000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
-----------------------------------------------1---------------1---- 000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
----1-00-0-----------------------------------------1---------------- 000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000
|
||||
----1-00-0------------------------------------------1--------------- 000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000
|
||||
-------0-1----------------------------------------------------0----- 000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000
|
||||
--------1--------------------------1-------------------------------- 000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000
|
||||
--------1-------------------------------------10-------------------- 000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000
|
||||
---10---11-----------------0010---1--------------------------------- 000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000
|
||||
----0----0----------------------------0----------------------------- 000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000
|
||||
-------------------------------------------0----------------------0- 000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000
|
||||
-------------------------------------0------------------------------ 000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000
|
||||
---------------------------------------1-11-----10-1---------------- 000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000
|
||||
---------------------------------------0-11-----10-0---------------- 000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000
|
||||
---------------------------------------0-00-----10-0---------------- 000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000
|
||||
---------------------------------------00011----1--1---------------- 000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000
|
||||
---------------------------------------0110---0-0--1---------------- 000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000
|
||||
----0----0--------------------------0------------------------------- 000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000
|
||||
-----------------------------------------0------------------------0- 000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000
|
||||
-----------------------------------0-------------------------------- 000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000
|
||||
-------------------------------------1-11-----10-1------------------ 000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000
|
||||
-------------------------------------0-11-----10-0------------------ 000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000
|
||||
-------------------------------------0-00-----10-0------------------ 000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000
|
||||
-------------------------------------00011----1--1------------------ 000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000
|
||||
-------------------------------------0110---0-0--1------------------ 000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000
|
||||
-------------1------------------------------------------------------ 000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000
|
||||
-----------------------------------------1------0------------------- 000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000
|
||||
-----------------------------------------1-------1------------------ 000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000
|
||||
-----------------------------------------0------10------------------ 000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000
|
||||
---------------------------------------1-00-----10------------------ 000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000
|
||||
---------------------------------------0-1------10-1---------------- 000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000
|
||||
---------------------------------------1-11-----10-0---------------- 000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000
|
||||
---------------------------------------0--0-----10-0---------------- 000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000
|
||||
---------------------------------------1------0--------------------- 000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000
|
||||
---------------------------------------1-------1-------------------- 000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000
|
||||
---------------------------------------0------10-------------------- 000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000
|
||||
-------------------------------------1-00-----10-------------------- 000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000
|
||||
-------------------------------------0-1------10-1------------------ 000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000
|
||||
-------------------------------------1-11-----10-0------------------ 000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000
|
||||
-------------------------------------0--0-----10-0------------------ 000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000
|
||||
----1--------------------------------------------------------------- 000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000
|
||||
------1--1---------------------------------------------------------- 000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000
|
||||
------0--1---------------------------------------------------------- 000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000
|
||||
---1----11-----------------0010---1--------------------------------- 000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000
|
||||
---------0----------------------------------1----------------------- 000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000
|
||||
----0----------------------------------------0---------------------- 000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000
|
||||
----------------------------------------------1-1-----------1------0 000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000
|
||||
---------0--------------------------------1------------------------- 000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000
|
||||
----0--------------------------------------0------------------------ 000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000
|
||||
--------------------------------------------1-1-----------1--------0 000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000
|
||||
------------1------------------------------------------------------- 000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000
|
||||
----0------------------------------------------0-------------------- 000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000
|
||||
---------------------------------------1000---0-1--1--------1------- 000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000
|
||||
----0----------------------------------------0---------------------- 000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000
|
||||
-------------------------------------1000---0-1--1--------1--------- 000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000
|
||||
----------1--------------------------------------------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000
|
||||
------------------------------------------------1------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000
|
||||
--------------------------------------------------0-1--------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000
|
||||
--------------------------------------------------1-0--------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000
|
||||
---------------------------------------1-1------10-0---------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000
|
||||
-----------------------------------------00-----10-0---------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000
|
||||
---------------------------------------0-10-----10-1---------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000
|
||||
---------------------------------------------------1---------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000
|
||||
----------------------------------------------------0--------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000
|
||||
--------------------------------------------0---10------------------ 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000
|
||||
------------------------------------------------1----0-------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000
|
||||
-----------------------------------------------------00------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000
|
||||
------------------------------------------------1-----1------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000
|
||||
-------------------------------------------1----1--------------1---- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000
|
||||
------------------------------------------------1--------1---------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000
|
||||
------------------------------------------------1-------------1----- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000
|
||||
--------------------------------------------------0------1----0----- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000
|
||||
------------------------------------------------0----------1-------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000
|
||||
------------------------------------------------0------------1------ 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000
|
||||
------------------------------------------------1----------1-------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000
|
||||
---------------------------------------------1-1------------1------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000
|
||||
------------------------------------------------1-----------1------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000
|
||||
------------------------------------------------1------------1------ 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000
|
||||
---------------------------------------------0--0-----------1------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000
|
||||
-----------------------------------------------00-----------1------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000
|
||||
------------------------------------------------0-------------1----- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000
|
||||
-------------------------------------------0-------------------1---- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100
|
||||
------------------------------------------------0--------------1---- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100
|
||||
----------------------------------------------1--------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000
|
||||
------------------------------------------------0-1----------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000
|
||||
------------------------------------------------1-0----------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000
|
||||
-------------------------------------1-1------10-0------------------ 000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000
|
||||
---------------------------------------00-----10-0------------------ 000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000
|
||||
-------------------------------------0-10-----10-1------------------ 000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000
|
||||
-------------------------------------------------1------------------ 000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000
|
||||
--------------------------------------------------0----------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000
|
||||
------------------------------------------0---10-------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000
|
||||
----------------------------------------------1----0---------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000
|
||||
---------------------------------------------------00--------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000
|
||||
----------------------------------------------1-----1--------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000
|
||||
-----------------------------------------1----1--------------1------ 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000
|
||||
-----------------------------------------------1--------1----------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000
|
||||
------------------------------------------------0-------1----------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000
|
||||
----------------------------------------------1-------------1------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000
|
||||
----------------------------------------------0----------1---------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000
|
||||
----------------------------------------------0------------1-------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000
|
||||
----------------------------------------------1----------1---------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000
|
||||
-------------------------------------------1-1------------1--------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000
|
||||
----------------------------------------------1-----------1--------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000
|
||||
----------------------------------------------1------------1-------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000
|
||||
-------------------------------------------0--0-----------1--------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000
|
||||
---------------------------------------------00-----------1--------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000
|
||||
----------------------------------------------0-------------1------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000
|
||||
-----------------------------------------0-------------------1------ 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100
|
||||
----------------------------------------------0--------------1------ 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100
|
||||
.end
|
||||
|
|
|
@ -17,8 +17,8 @@ Parent = m4a5.lci;
|
|||
SDS_file = m4a5.sds;
|
||||
Design = 68030_tk.tt4;
|
||||
Rev = 0.01;
|
||||
DATE = 5/15/14;
|
||||
TIME = 23:02:49;
|
||||
DATE = 5/16/14;
|
||||
TIME = 17:07:12;
|
||||
Type = TT2;
|
||||
Pre_Fit_Time = 1;
|
||||
Source_Format = Pure_VHDL;
|
||||
|
@ -155,14 +155,15 @@ AVEC_EXP = OUTPUT,22,2,-;
|
|||
AMIGA_BUS_ENABLE_LOW = OUTPUT,20,2,-;
|
||||
CLK_EXP = OUTPUT,10,1,-;
|
||||
RESET = OUTPUT,3,1,-;
|
||||
inst_CLK_000_DD = NODE,*,3,-;
|
||||
inst_CLK_000_D = NODE,*,7,-;
|
||||
inst_AS_030_000_SYNC = NODE,*,5,-;
|
||||
inst_CLK_000_D0 = NODE,*,6,-;
|
||||
inst_CLK_000_D1 = NODE,*,3,-;
|
||||
inst_AS_030_000_SYNC = NODE,*,7,-;
|
||||
RN_FPU_CS = NODE,-1,7,-;
|
||||
SM_AMIGA_4_ = NODE,*,5,-;
|
||||
inst_CLK_OUT_PRE = NODE,*,7,-;
|
||||
cpu_est_1_ = NODE,*,3,-;
|
||||
RN_E = NODE,-1,6,-;
|
||||
SM_AMIGA_2_ = NODE,*,6,-;
|
||||
SM_AMIGA_1_ = NODE,*,6,-;
|
||||
SM_AMIGA_6_ = NODE,*,3,-;
|
||||
cpu_est_2_ = NODE,*,3,-;
|
||||
cpu_est_0_ = NODE,*,3,-;
|
||||
|
@ -170,18 +171,17 @@ RN_VMA = NODE,-1,3,-;
|
|||
RN_BGACK_030 = NODE,-1,7,-;
|
||||
RN_AS_000 = NODE,-1,3,-;
|
||||
SM_AMIGA_5_ = NODE,*,0,-;
|
||||
SM_AMIGA_4_ = NODE,*,6,-;
|
||||
SM_AMIGA_7_ = NODE,*,7,-;
|
||||
inst_VPA_D = NODE,*,6,-;
|
||||
inst_VPA_D = NODE,*,7,-;
|
||||
RN_LDS_000 = NODE,-1,3,-;
|
||||
RN_UDS_000 = NODE,-1,3,-;
|
||||
RN_BG_000 = NODE,-1,3,-;
|
||||
RN_IPL_030_0_ = NODE,-1,1,-;
|
||||
RN_IPL_030_1_ = NODE,-1,1,-;
|
||||
RN_BG_000 = NODE,-1,3,-;
|
||||
RN_IPL_030_2_ = NODE,-1,1,-;
|
||||
SM_AMIGA_0_ = NODE,*,7,-;
|
||||
SM_AMIGA_2_ = NODE,*,6,-;
|
||||
SM_AMIGA_3_ = NODE,*,6,-;
|
||||
SM_AMIGA_1_ = NODE,*,7,-;
|
||||
RN_DSACK_1_ = NODE,-1,7,-;
|
||||
inst_VPA_SYNC = NODE,*,6,-;
|
||||
inst_DTACK_SYNC = NODE,*,6,-;
|
||||
|
|
|
@ -17,8 +17,8 @@ Parent = m4a5.lci;
|
|||
SDS_file = m4a5.sds;
|
||||
Design = 68030_tk.tt4;
|
||||
Rev = 0.01;
|
||||
DATE = 5/15/14;
|
||||
TIME = 23:02:50;
|
||||
DATE = 5/16/14;
|
||||
TIME = 17:07:12;
|
||||
Type = TT2;
|
||||
Pre_Fit_Time = 1;
|
||||
Source_Format = Pure_VHDL;
|
||||
|
@ -131,31 +131,27 @@ Usercode_Format = Hex;
|
|||
[LOCATION ASSIGNMENT]
|
||||
|
||||
Layer = OFF;
|
||||
A_21_ = INPUT,94, A,-;
|
||||
A_20_ = INPUT,93, A,-;
|
||||
SIZE_1_ = INPUT,79, H,-;
|
||||
A_19_ = INPUT,97, A,-;
|
||||
A_18_ = INPUT,95, A,-;
|
||||
A_31_ = INPUT,4, B,-;
|
||||
A_17_ = INPUT,59, F,-;
|
||||
A_16_ = INPUT,96, A,-;
|
||||
SIZE_1_ = INPUT,79, H,-;
|
||||
A_31_ = INPUT,4, B,-;
|
||||
IPL_2_ = INPUT,68, G,-;
|
||||
FC_1_ = INPUT,58, F,-;
|
||||
AS_030 = INPUT,82, H,-;
|
||||
DS_030 = INPUT,98, A,-;
|
||||
CPU_SPACE = INPUT,14,-,-;
|
||||
A_0_ = INPUT,69, G,-;
|
||||
nEXP_SPACE = INPUT,14,-,-;
|
||||
BERR = OUTPUT,41, E,-;
|
||||
BG_030 = INPUT,21, C,-;
|
||||
A_0_ = INPUT,69, G,-;
|
||||
BGACK_000 = INPUT,28, D,-;
|
||||
CLK_030 = INPUT,64,-,-;
|
||||
IPL_1_ = INPUT,56, F,-;
|
||||
CLK_000 = INPUT,11,-,-;
|
||||
IPL_0_ = INPUT,67, G,-;
|
||||
CLK_OSZI = INPUT,61,-,-;
|
||||
DSACK_0_ = OUTPUT,80, H,-;
|
||||
CLK_DIV_OUT = OUTPUT,65, G,-;
|
||||
BGACK_000 = INPUT,28, D,-;
|
||||
FC_0_ = INPUT,57, F,-;
|
||||
CLK_030 = INPUT,64,-,-;
|
||||
CLK_000 = INPUT,11,-,-;
|
||||
CLK_OSZI = INPUT,61,-,-;
|
||||
CLK_DIV_OUT = OUTPUT,65, G,-;
|
||||
AVEC = OUTPUT,92, A,-;
|
||||
AVEC_EXP = OUTPUT,22, C,-;
|
||||
VPA = INPUT,36,-,-;
|
||||
|
@ -175,15 +171,19 @@ A_25_ = INPUT,18, C,-;
|
|||
A_24_ = INPUT,19, C,-;
|
||||
A_23_ = INPUT,84, H,-;
|
||||
A_22_ = INPUT,85, H,-;
|
||||
A_21_ = INPUT,94, A,-;
|
||||
A_20_ = INPUT,93, A,-;
|
||||
A_19_ = INPUT,97, A,-;
|
||||
A_18_ = INPUT,95, A,-;
|
||||
IPL_030_2_ = OUTPUT,9, B,-;
|
||||
DSACK_1_ = BIDIR,81, H,-;
|
||||
AS_000 = OUTPUT,33, D,-;
|
||||
UDS_000 = OUTPUT,32, D,-;
|
||||
LDS_000 = OUTPUT,31, D,-;
|
||||
BG_000 = OUTPUT,29, D,-;
|
||||
BGACK_030 = OUTPUT,83, H,-;
|
||||
IPL_030_1_ = OUTPUT,7, B,-;
|
||||
IPL_030_0_ = OUTPUT,8, B,-;
|
||||
BG_000 = OUTPUT,29, D,-;
|
||||
BGACK_030 = OUTPUT,83, H,-;
|
||||
CLK_EXP = OUTPUT,10, B,-;
|
||||
FPU_CS = OUTPUT,78, H,-;
|
||||
DTACK = BIDIR,30, D,-;
|
||||
|
@ -192,20 +192,20 @@ VMA = OUTPUT,35, D,-;
|
|||
RESET = OUTPUT,3, B,-;
|
||||
cpu_est_0_ = NODE,14, D,-;
|
||||
cpu_est_1_ = NODE,2, D,-;
|
||||
inst_AS_030_000_SYNC = NODE,0, F,-;
|
||||
inst_AS_030_000_SYNC = NODE,1, H,-;
|
||||
inst_DTACK_SYNC = NODE,13, G,-;
|
||||
inst_VPA_D = NODE,1, G,-;
|
||||
inst_VPA_D = NODE,13, H,-;
|
||||
inst_VPA_SYNC = NODE,9, G,-;
|
||||
inst_CLK_000_D = NODE,1, H,-;
|
||||
inst_CLK_000_DD = NODE,13, D,-;
|
||||
inst_CLK_000_D0 = NODE,8, G,-;
|
||||
inst_CLK_000_D1 = NODE,13, D,-;
|
||||
inst_CLK_OUT_PRE = NODE,5, H,-;
|
||||
cpu_est_2_ = NODE,10, D,-;
|
||||
CLK_CNT_0_ = NODE,6, H,-;
|
||||
SM_AMIGA_6_ = NODE,6, D,-;
|
||||
SM_AMIGA_7_ = NODE,9, H,-;
|
||||
SM_AMIGA_1_ = NODE,2, H,-;
|
||||
SM_AMIGA_4_ = NODE,12, G,-;
|
||||
SM_AMIGA_1_ = NODE,12, G,-;
|
||||
SM_AMIGA_4_ = NODE,0, F,-;
|
||||
SM_AMIGA_3_ = NODE,5, G,-;
|
||||
SM_AMIGA_5_ = NODE,0, A,-;
|
||||
SM_AMIGA_2_ = NODE,8, G,-;
|
||||
SM_AMIGA_0_ = NODE,13, H,-;
|
||||
SM_AMIGA_2_ = NODE,1, G,-;
|
||||
SM_AMIGA_0_ = NODE,2, H,-;
|
||||
|
|
|
@ -2,7 +2,7 @@ Signal Name Cross Reference File
|
|||
|
||||
ispLEVER Classic 1.7.00.05.28.13
|
||||
|
||||
Design '68030_tk' created Thu May 15 23:02:46 2014
|
||||
Design '68030_tk' created Fri May 16 17:07:08 2014
|
||||
|
||||
|
||||
LEGEND: '>' Functional Block Port Separator
|
||||
|
|
1458
Logic/BUS68030.bl0
1458
Logic/BUS68030.bl0
File diff suppressed because it is too large
Load Diff
1297
Logic/BUS68030.bl1
1297
Logic/BUS68030.bl1
File diff suppressed because it is too large
Load Diff
2005
Logic/BUS68030.edi
2005
Logic/BUS68030.edi
File diff suppressed because it is too large
Load Diff
|
@ -37,7 +37,7 @@ A[3] b
|
|||
A[2] b
|
||||
A[1] b
|
||||
A[0] b
|
||||
CPU_SPACE i
|
||||
nEXP_SPACE i
|
||||
BERR b
|
||||
BG_030 i
|
||||
BG_000 o
|
||||
|
|
|
@ -1,6 +1,6 @@
|
|||
#-- Lattice Semiconductor Corporation Ltd.
|
||||
#-- Synplify OEM project file c:/users/matze/documents/github/68030tk/logic\BUS68030.prj
|
||||
#-- Written on Thu May 15 23:02:39 2014
|
||||
#-- Written on Fri May 16 17:07:02 2014
|
||||
|
||||
|
||||
#device options
|
||||
|
|
2852
Logic/BUS68030.srm
2852
Logic/BUS68030.srm
File diff suppressed because it is too large
Load Diff
|
@ -6,7 +6,7 @@
|
|||
#Implementation: logic
|
||||
|
||||
$ Start of Compile
|
||||
#Thu May 15 23:02:39 2014
|
||||
#Fri May 16 17:07:02 2014
|
||||
|
||||
Synopsys VHDL Compiler, version comp201209rcp1, Build 283R, built Mar 19 2013
|
||||
@N|Running in 64-bit mode
|
||||
|
@ -18,16 +18,19 @@ File C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd changed -
|
|||
VHDL syntax check successful!
|
||||
File C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd changed - recompiling
|
||||
@N: CD630 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":6:7:6:14|Synthesizing work.bus68030.behavioral
|
||||
@W: CD638 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":106:7:106:15|Signal clk_030_d is undriven
|
||||
@W: CD638 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":105:7:105:15|Signal clk_030_d is undriven
|
||||
Post processing for work.bus68030.behavioral
|
||||
@W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":176:2:176:3|Pruning register CLK_REF(1 downto 0)
|
||||
@W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":108:32:108:34|Pruning register cpu_est_d(3 downto 0)
|
||||
@W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":108:32:108:34|Pruning register CLK_000_CNT(3 downto 0)
|
||||
@W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":111:32:111:34|Pruning register cpu_est_d(3 downto 0)
|
||||
@W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":110:32:110:34|Pruning register CLK_000_D5
|
||||
@W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":109:32:109:34|Pruning register CLK_000_D4
|
||||
@W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":108:32:108:34|Pruning register CLK_000_D3
|
||||
@W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":107:32:107:34|Pruning register CLK_000_D2
|
||||
@W: CL190 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":102:52:102:55|Optimizing register bit DSACK_INT(0) to a constant 1
|
||||
@W: CL260 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":102:52:102:55|Pruning register bit 0 of DSACK_INT(1 downto 0)
|
||||
@W: CL189 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":117:2:117:3|Register bit CLK_CNT(1) is always 0, optimizing ...
|
||||
@W: CL260 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":117:2:117:3|Pruning register bit 1 of CLK_CNT(1 downto 0)
|
||||
@N: CL201 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":108:32:108:34|Trying to extract state machine for register cpu_est
|
||||
@W: CL189 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":120:2:120:3|Register bit CLK_CNT(1) is always 0, optimizing ...
|
||||
@W: CL260 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":120:2:120:3|Pruning register bit 1 of CLK_CNT(1 downto 0)
|
||||
@N: CL201 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":111:32:111:34|Trying to extract state machine for register cpu_est
|
||||
@N: CL201 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":176:2:176:3|Trying to extract state machine for register SM_AMIGA
|
||||
Extracted state machine for register SM_AMIGA
|
||||
State machine has 8 reachable states with original encodings of:
|
||||
|
@ -42,7 +45,7 @@ State machine has 8 reachable states with original encodings of:
|
|||
@W: CL249 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":176:2:176:3|Initial value is not supported on state machine SM_AMIGA
|
||||
@END
|
||||
Process took 0h:00m:01s realtime, 0h:00m:01s cputime
|
||||
# Thu May 15 23:02:39 2014
|
||||
# Fri May 16 17:07:02 2014
|
||||
|
||||
###########################################################]
|
||||
Map & Optimize Report
|
||||
|
@ -73,8 +76,8 @@ IBUF 35 uses
|
|||
BUFTH 7 uses
|
||||
OBUF 15 uses
|
||||
BI_DIR 2 uses
|
||||
AND2 147 uses
|
||||
INV 119 uses
|
||||
AND2 146 uses
|
||||
INV 116 uses
|
||||
OR2 17 uses
|
||||
XOR2 2 uses
|
||||
|
||||
|
@ -86,6 +89,6 @@ Mapper successful!
|
|||
At Mapper Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 31MB peak: 95MB)
|
||||
|
||||
Process took 0h:00m:01s realtime, 0h:00m:01s cputime
|
||||
# Thu May 15 23:02:41 2014
|
||||
# Fri May 16 17:07:04 2014
|
||||
|
||||
###########################################################]
|
||||
|
|
Binary file not shown.
|
@ -18,9 +18,9 @@
|
|||
<BScanLen>1</BScanLen>
|
||||
<BScanVal>0</BScanVal>
|
||||
</Bypass>
|
||||
<File>C:\Users\Matze\Amiga\Hardwarehacks\68030-TK\Logic\68030_tk.jed</File>
|
||||
<FileTime>04/26/14 13:40:41</FileTime>
|
||||
<JedecChecksum>0x04D9</JedecChecksum>
|
||||
<File>C:\Users\Matze\Documents\GitHub\68030tk\Logic\68030_tk.jed</File>
|
||||
<FileTime>05/16/14 11:08:27</FileTime>
|
||||
<JedecChecksum>0xB88F</JedecChecksum>
|
||||
<Operation>Erase,Program,Verify</Operation>
|
||||
<Option>
|
||||
<SVFVendor>JTAG STANDARD</SVFVendor>
|
||||
|
|
|
@ -55,7 +55,7 @@ Section Member Rename Array-Notation Array Number
|
|||
Port FC_0_ FC[0] 4 1
|
||||
End
|
||||
Section Cross Reference File
|
||||
Design 'BUS68030' created Thu May 15 23:02:46 2014
|
||||
Design 'BUS68030' created Fri May 16 17:07:09 2014
|
||||
Type New Name Original Name
|
||||
// ----------------------------------------------------------------------
|
||||
Inst i_z2E2E AS_000
|
||||
|
@ -65,420 +65,414 @@ Design 'BUS68030' created Thu May 15 23:02:46 2014
|
|||
Inst i_z3P3P DTACK
|
||||
Inst i_z3R3R AVEC_EXP
|
||||
Inst i_z4747 CIIN
|
||||
Inst AS_000_INT_0_r AS_000_INT_0.r
|
||||
Inst AS_000_INT_0_m AS_000_INT_0.m
|
||||
Inst AS_000_INT_0_n AS_000_INT_0.n
|
||||
Inst AS_000_INT_0_p AS_000_INT_0.p
|
||||
Inst state_machine_un13_clk_000_d_1 state_machine.un13_clk_000_d_1
|
||||
Inst SM_AMIGA_ns_i_o2_6_ SM_AMIGA_ns_i_o2[6]
|
||||
Inst SM_AMIGA_ns_i_o2_0_ SM_AMIGA_ns_i_o2[0]
|
||||
Inst SM_AMIGA_ns_7_ SM_AMIGA_ns[7]
|
||||
Inst SM_AMIGA_ns_i_6_ SM_AMIGA_ns_i[6]
|
||||
Inst SM_AMIGA_ns_5_ SM_AMIGA_ns[5]
|
||||
Inst SM_AMIGA_ns_2_ SM_AMIGA_ns[2]
|
||||
Inst SM_AMIGA_ns_i_0_ SM_AMIGA_ns_i[0]
|
||||
Inst state_machine_un13_clk_000_d_1_i state_machine.un13_clk_000_d_1_i
|
||||
Inst SM_AMIGA_ns_a2_7_ SM_AMIGA_ns_a2[7]
|
||||
Inst SM_AMIGA_i_2_ SM_AMIGA_i[2]
|
||||
Inst SM_AMIGA_i_1_ SM_AMIGA_i[1]
|
||||
Inst SM_AMIGA_ns_i_a2_0_6_ SM_AMIGA_ns_i_a2_0[6]
|
||||
Inst SM_AMIGA_ns_i_a2_6_ SM_AMIGA_ns_i_a2[6]
|
||||
Inst clk_un4_clk_000_d1_0_a2 clk.un4_clk_000_d1_0_a2
|
||||
Inst SM_AMIGA_ns_a2_0_6_ SM_AMIGA_ns_a2_0[6]
|
||||
Inst SM_AMIGA_ns_a2_6_ SM_AMIGA_ns_a2[6]
|
||||
Inst SM_AMIGA_ns_a2_5_ SM_AMIGA_ns_a2[5]
|
||||
Inst SM_AMIGA_i_3_ SM_AMIGA_i[3]
|
||||
Inst SM_AMIGA_i_4_ SM_AMIGA_i[4]
|
||||
Inst SM_AMIGA_ns_i_a2_0_4_ SM_AMIGA_ns_i_a2_0[4]
|
||||
Inst SM_AMIGA_ns_i_a2_4_ SM_AMIGA_ns_i_a2[4]
|
||||
Inst SM_AMIGA_ns_a2_2_ SM_AMIGA_ns_a2[2]
|
||||
Inst state_machine_un13_clk_000_d0_1 state_machine.un13_clk_000_d0_1
|
||||
Inst clk_cpu_est_11_0_a4_0_3_ clk.cpu_est_11_0_a4_0[3]
|
||||
Inst clk_cpu_est_11_0_a4_3_ clk.cpu_est_11_0_a4[3]
|
||||
Inst clk_cpu_est_11_0_a4_1_1_ clk.cpu_est_11_0_a4_1[1]
|
||||
Inst cpu_est_i_3_ cpu_est_i[3]
|
||||
Inst cpu_est_i_2_ cpu_est_i[2]
|
||||
Inst clk_cpu_est_11_0_a4_0_1_ clk.cpu_est_11_0_a4_0[1]
|
||||
Inst cpu_est_i_0_ cpu_est_i[0]
|
||||
Inst clk_cpu_est_11_0_a4_1_ clk.cpu_est_11_0_a4[1]
|
||||
Inst SM_AMIGA_ns_i_o2_4_ SM_AMIGA_ns_i_o2[4]
|
||||
Inst state_machine_un8_clk_000_d_1_i state_machine.un8_clk_000_d_1_i
|
||||
Inst SM_AMIGA_ns_o2_6_ SM_AMIGA_ns_o2[6]
|
||||
Inst SM_AMIGA_ns_6_ SM_AMIGA_ns[6]
|
||||
Inst SM_AMIGA_ns_5_ SM_AMIGA_ns[5]
|
||||
Inst SM_AMIGA_ns_i_4_ SM_AMIGA_ns_i[4]
|
||||
Inst SM_AMIGA_ns_7_ SM_AMIGA_ns[7]
|
||||
Inst SM_AMIGA_ns_i_o2_0_ SM_AMIGA_ns_i_o2[0]
|
||||
Inst clk_cpu_est_11_0_a4_2_1_ clk.cpu_est_11_0_a4_2[1]
|
||||
Inst clk_cpu_est_11_i_2_ clk.cpu_est_11_i[2]
|
||||
Inst clk_cpu_est_11_0_o4_1_ clk.cpu_est_11_0_o4[1]
|
||||
Inst clk_cpu_est_11_0_o4_3_ clk.cpu_est_11_0_o4[3]
|
||||
Inst state_machine_un13_clk_000_d0_2_i state_machine.un13_clk_000_d0_2_i
|
||||
Inst SM_AMIGA_3_ SM_AMIGA[3]
|
||||
Inst clk_cpu_est_11_0_a4_1_3_ clk.cpu_est_11_0_a4_1[3]
|
||||
Inst SM_AMIGA_2_ SM_AMIGA[2]
|
||||
Inst state_machine_un13_clk_000_d0_2 state_machine.un13_clk_000_d0_2
|
||||
Inst SM_AMIGA_1_ SM_AMIGA[1]
|
||||
Inst cpu_est_i_1_ cpu_est_i[1]
|
||||
Inst SM_AMIGA_0_ SM_AMIGA[0]
|
||||
Inst IPL_030DFFSH_0_ IPL_030DFFSH[0]
|
||||
Inst IPL_030DFFSH_1_ IPL_030DFFSH[1]
|
||||
Inst IPL_030DFFSH_2_ IPL_030DFFSH[2]
|
||||
Inst cpu_est_0_0_ cpu_est_0[0]
|
||||
Inst SM_AMIGA_7_ SM_AMIGA[7]
|
||||
Inst SM_AMIGA_6_ SM_AMIGA[6]
|
||||
Inst A_i_16_ A_i[16]
|
||||
Inst SM_AMIGA_5_ SM_AMIGA[5]
|
||||
Inst BG_000_0_r BG_000_0.r
|
||||
Inst SM_AMIGA_4_ SM_AMIGA[4]
|
||||
Inst BG_000_0_m BG_000_0.m
|
||||
Inst DSACK_INT_1_ DSACK_INT[1]
|
||||
Inst BG_000_0_n BG_000_0.n
|
||||
Inst BG_000_0_p BG_000_0.p
|
||||
Inst AS_030_000_SYNC_0_r AS_030_000_SYNC_0.r
|
||||
Inst AS_030_000_SYNC_0_m AS_030_000_SYNC_0.m
|
||||
Inst cpu_est_0_ cpu_est[0]
|
||||
Inst AS_030_000_SYNC_0_n AS_030_000_SYNC_0.n
|
||||
Inst cpu_est_1_ cpu_est[1]
|
||||
Inst AS_030_000_SYNC_0_p AS_030_000_SYNC_0.p
|
||||
Inst cpu_est_2_ cpu_est[2]
|
||||
Inst FPU_CS_INT_0_r FPU_CS_INT_0.r
|
||||
Inst cpu_est_3_ cpu_est[3]
|
||||
Inst FPU_CS_INT_0_m FPU_CS_INT_0.m
|
||||
Inst FPU_CS_INT_0_n FPU_CS_INT_0.n
|
||||
Inst FPU_CS_INT_0_p FPU_CS_INT_0.p
|
||||
Inst DTACK_SYNC_0_r DTACK_SYNC_0.r
|
||||
Inst DTACK_SYNC_0_m DTACK_SYNC_0.m
|
||||
Inst DTACK_SYNC_0_n DTACK_SYNC_0.n
|
||||
Inst DTACK_SYNC_0_p DTACK_SYNC_0.p
|
||||
Inst VMA_INT_0_r VMA_INT_0.r
|
||||
Inst CLK_CNT_0_ CLK_CNT[0]
|
||||
Inst VMA_INT_0_m VMA_INT_0.m
|
||||
Inst VMA_INT_0_n VMA_INT_0.n
|
||||
Inst VMA_INT_0_p VMA_INT_0.p
|
||||
Inst state_machine_un15_clk_000_d state_machine.un15_clk_000_d
|
||||
Inst state_machine_un8_clk_000_d_1 state_machine.un8_clk_000_d_1
|
||||
Inst cpu_est_i_3_ cpu_est_i[3]
|
||||
Inst cpu_est_i_0_ cpu_est_i[0]
|
||||
Inst cpu_est_i_1_ cpu_est_i[1]
|
||||
Inst UDS_000_INT_0_r UDS_000_INT_0.r
|
||||
Inst UDS_000_INT_0_m UDS_000_INT_0.m
|
||||
Inst UDS_000_INT_0_n UDS_000_INT_0.n
|
||||
Inst UDS_000_INT_0_p UDS_000_INT_0.p
|
||||
Inst state_machine_un60_clk_000_d state_machine.un60_clk_000_d
|
||||
Inst DTACK_SYNC_0_r DTACK_SYNC_0.r
|
||||
Inst SM_AMIGA_3_ SM_AMIGA[3]
|
||||
Inst DTACK_SYNC_0_m DTACK_SYNC_0.m
|
||||
Inst SM_AMIGA_2_ SM_AMIGA[2]
|
||||
Inst DTACK_SYNC_0_n DTACK_SYNC_0.n
|
||||
Inst SM_AMIGA_1_ SM_AMIGA[1]
|
||||
Inst DTACK_SYNC_0_p DTACK_SYNC_0.p
|
||||
Inst SM_AMIGA_0_ SM_AMIGA[0]
|
||||
Inst VPA_SYNC_0_r VPA_SYNC_0.r
|
||||
Inst IPL_030DFFSH_0_ IPL_030DFFSH[0]
|
||||
Inst VPA_SYNC_0_m VPA_SYNC_0.m
|
||||
Inst IPL_030DFFSH_1_ IPL_030DFFSH[1]
|
||||
Inst VPA_SYNC_0_n VPA_SYNC_0.n
|
||||
Inst IPL_030DFFSH_2_ IPL_030DFFSH[2]
|
||||
Inst VPA_SYNC_0_p VPA_SYNC_0.p
|
||||
Inst SM_AMIGA_7_ SM_AMIGA[7]
|
||||
Inst SM_AMIGA_6_ SM_AMIGA[6]
|
||||
Inst SM_AMIGA_5_ SM_AMIGA[5]
|
||||
Inst SM_AMIGA_4_ SM_AMIGA[4]
|
||||
Inst DSACK_INT_1_ DSACK_INT[1]
|
||||
Inst SM_AMIGA_i_5_ SM_AMIGA_i[5]
|
||||
Inst SM_AMIGA_ns_i_a2_3_ SM_AMIGA_ns_i_a2[3]
|
||||
Inst SM_AMIGA_ns_i_a2_4_ SM_AMIGA_ns_i_a2[4]
|
||||
Inst SM_AMIGA_i_4_ SM_AMIGA_i[4]
|
||||
Inst cpu_est_0_ cpu_est[0]
|
||||
Inst SM_AMIGA_ns_i_a2_0_4_ SM_AMIGA_ns_i_a2_0[4]
|
||||
Inst cpu_est_1_ cpu_est[1]
|
||||
Inst SM_AMIGA_ns_i_3_ SM_AMIGA_ns_i[3]
|
||||
Inst cpu_est_2_ cpu_est[2]
|
||||
Inst SM_AMIGA_ns_i_4_ SM_AMIGA_ns_i[4]
|
||||
Inst cpu_est_3_ cpu_est[3]
|
||||
Inst state_machine_LDS_000_INT_8 state_machine.LDS_000_INT_8
|
||||
Inst state_machine_UDS_000_INT_8 state_machine.UDS_000_INT_8
|
||||
Inst state_machine_un42_clk_030_i state_machine.un42_clk_030_i
|
||||
Inst state_machine_un17_clk_030 state_machine.un17_clk_030
|
||||
Inst CLK_CNT_0_ CLK_CNT[0]
|
||||
Inst DSACK_INT_0_1__r DSACK_INT_0_1_.r
|
||||
Inst DSACK_INT_0_1__m DSACK_INT_0_1_.m
|
||||
Inst DSACK_INT_0_1__n DSACK_INT_0_1_.n
|
||||
Inst DSACK_INT_0_1__p DSACK_INT_0_1_.p
|
||||
Inst AS_030_000_SYNC_0_r AS_030_000_SYNC_0.r
|
||||
Inst AS_030_000_SYNC_0_m AS_030_000_SYNC_0.m
|
||||
Inst AS_030_000_SYNC_0_n AS_030_000_SYNC_0.n
|
||||
Inst AS_030_000_SYNC_0_p AS_030_000_SYNC_0.p
|
||||
Inst FPU_CS_INT_0_r FPU_CS_INT_0.r
|
||||
Inst FPU_CS_INT_0_m FPU_CS_INT_0.m
|
||||
Inst SIZE_0_ SIZE[0]
|
||||
Inst FPU_CS_INT_0_n FPU_CS_INT_0.n
|
||||
Inst SIZE_1_ SIZE[1]
|
||||
Inst FPU_CS_INT_0_p FPU_CS_INT_0.p
|
||||
Inst A_0_ A[0]
|
||||
Inst LDS_000_INT_0_r LDS_000_INT_0.r
|
||||
Inst A_16_ A[16]
|
||||
Inst LDS_000_INT_0_m LDS_000_INT_0.m
|
||||
Inst A_17_ A[17]
|
||||
Inst LDS_000_INT_0_n LDS_000_INT_0.n
|
||||
Inst A_18_ A[18]
|
||||
Inst LDS_000_INT_0_p LDS_000_INT_0.p
|
||||
Inst A_19_ A[19]
|
||||
Inst state_machine_un13_clk_000_d_2 state_machine.un13_clk_000_d_2
|
||||
Inst A_20_ A[20]
|
||||
Inst clk_cpu_est_11_0_a4_1_3_ clk.cpu_est_11_0_a4_1[3]
|
||||
Inst A_21_ A[21]
|
||||
Inst state_machine_un13_clk_000_d_2_i state_machine.un13_clk_000_d_2_i
|
||||
Inst A_22_ A[22]
|
||||
Inst clk_cpu_est_11_0_o4_3_ clk.cpu_est_11_0_o4[3]
|
||||
Inst A_23_ A[23]
|
||||
Inst clk_cpu_est_11_0_o4_1_ clk.cpu_est_11_0_o4[1]
|
||||
Inst A_24_ A[24]
|
||||
Inst clk_cpu_est_11_i_2_ clk.cpu_est_11_i[2]
|
||||
Inst A_25_ A[25]
|
||||
Inst clk_cpu_est_11_0_a4_0_3_ clk.cpu_est_11_0_a4_0[3]
|
||||
Inst A_26_ A[26]
|
||||
Inst clk_cpu_est_11_0_a4_3_ clk.cpu_est_11_0_a4[3]
|
||||
Inst A_27_ A[27]
|
||||
Inst clk_cpu_est_11_0_a4_2_1_ clk.cpu_est_11_0_a4_2[1]
|
||||
Inst A_28_ A[28]
|
||||
Inst cpu_est_i_2_ cpu_est_i[2]
|
||||
Inst A_29_ A[29]
|
||||
Inst clk_cpu_est_11_0_a4_0_1_ clk.cpu_est_11_0_a4_0[1]
|
||||
Inst A_30_ A[30]
|
||||
Inst cpu_est_0_3__r cpu_est_0_3_.r
|
||||
Inst A_31_ A[31]
|
||||
Inst cpu_est_0_3__m cpu_est_0_3_.m
|
||||
Inst cpu_est_0_3__n cpu_est_0_3_.n
|
||||
Inst cpu_est_0_3__p cpu_est_0_3_.p
|
||||
Inst cpu_est_0_2__r cpu_est_0_2_.r
|
||||
Inst cpu_est_0_2__m cpu_est_0_2_.m
|
||||
Inst cpu_est_0_2__n cpu_est_0_2_.n
|
||||
Inst cpu_est_0_2__p cpu_est_0_2_.p
|
||||
Inst state_machine_un1_clk_030 state_machine.un1_clk_030
|
||||
Inst state_machine_un6_bgack_000 state_machine.un6_bgack_000
|
||||
Inst state_machine_un5_clk_030_i_a2 state_machine.un5_clk_030_i_a2
|
||||
Inst IPL_030_0_ IPL_030[0]
|
||||
Inst SM_AMIGA_i_6_ SM_AMIGA_i[6]
|
||||
Inst IPL_030_1_ IPL_030[1]
|
||||
Inst IPL_030_0_0__r IPL_030_0_0_.r
|
||||
Inst IPL_030_0_0__m IPL_030_0_0_.m
|
||||
Inst IPL_030_0_0__n IPL_030_0_0_.n
|
||||
Inst IPL_030_0_0__p IPL_030_0_0_.p
|
||||
Inst SIZE_0_ SIZE[0]
|
||||
Inst IPL_030_0_1__r IPL_030_0_1_.r
|
||||
Inst SIZE_1_ SIZE[1]
|
||||
Inst IPL_030_0_1__m IPL_030_0_1_.m
|
||||
Inst A_0_ A[0]
|
||||
Inst IPL_030_0_1__n IPL_030_0_1_.n
|
||||
Inst A_16_ A[16]
|
||||
Inst IPL_030_0_1__p IPL_030_0_1_.p
|
||||
Inst A_17_ A[17]
|
||||
Inst IPL_030_0_2__r IPL_030_0_2_.r
|
||||
Inst A_18_ A[18]
|
||||
Inst IPL_030_0_2__m IPL_030_0_2_.m
|
||||
Inst A_19_ A[19]
|
||||
Inst IPL_030_0_2__n IPL_030_0_2_.n
|
||||
Inst A_20_ A[20]
|
||||
Inst IPL_030_0_2__p IPL_030_0_2_.p
|
||||
Inst A_21_ A[21]
|
||||
Inst state_machine_un15_clk_000_d0 state_machine.un15_clk_000_d0
|
||||
Inst A_22_ A[22]
|
||||
Inst state_machine_un13_clk_000_d0_1_i state_machine.un13_clk_000_d0_1_i
|
||||
Inst A_23_ A[23]
|
||||
Inst SM_AMIGA_ns_a2_7_ SM_AMIGA_ns_a2[7]
|
||||
Inst A_24_ A[24]
|
||||
Inst SM_AMIGA_ns_i_a2_1_ SM_AMIGA_ns_i_a2[1]
|
||||
Inst A_25_ A[25]
|
||||
Inst SM_AMIGA_ns_i_a2_0_ SM_AMIGA_ns_i_a2[0]
|
||||
Inst A_26_ A[26]
|
||||
Inst SM_AMIGA_i_6_ SM_AMIGA_i[6]
|
||||
Inst A_27_ A[27]
|
||||
Inst SM_AMIGA_i_7_ SM_AMIGA_i[7]
|
||||
Inst A_28_ A[28]
|
||||
Inst state_machine_un5_clk_030_i_a2 state_machine.un5_clk_030_i_a2
|
||||
Inst A_29_ A[29]
|
||||
Inst state_machine_un6_bgack_000 state_machine.un6_bgack_000
|
||||
Inst A_30_ A[30]
|
||||
Inst BGACK_030_INT_0_r BGACK_030_INT_0.r
|
||||
Inst A_31_ A[31]
|
||||
Inst BGACK_030_INT_0_m BGACK_030_INT_0.m
|
||||
Inst BGACK_030_INT_0_n BGACK_030_INT_0.n
|
||||
Inst BGACK_030_INT_0_p BGACK_030_INT_0.p
|
||||
Inst state_machine_un42_clk_030_i state_machine.un42_clk_030_i
|
||||
Inst state_machine_un17_clk_030 state_machine.un17_clk_030
|
||||
Inst state_machine_un1_clk_030 state_machine.un1_clk_030
|
||||
Inst A_i_19_ A_i[19]
|
||||
Inst A_i_18_ A_i[18]
|
||||
Inst state_machine_UDS_000_INT_8 state_machine.UDS_000_INT_8
|
||||
Inst state_machine_LDS_000_INT_8 state_machine.LDS_000_INT_8
|
||||
Inst IPL_030_0_ IPL_030[0]
|
||||
Inst SM_AMIGA_i_5_ SM_AMIGA_i[5]
|
||||
Inst IPL_030_1_ IPL_030[1]
|
||||
Inst SM_AMIGA_ns_i_a2_3_ SM_AMIGA_ns_i_a2[3]
|
||||
Inst IPL_030_2_ IPL_030[2]
|
||||
Inst SM_AMIGA_ns_a2_0_2_ SM_AMIGA_ns_a2_0[2]
|
||||
Inst SM_AMIGA_ns_i_3_ SM_AMIGA_ns_i[3]
|
||||
Inst IPL_0_ IPL[0]
|
||||
Inst IPL_1_ IPL[1]
|
||||
Inst clk_un4_clk_000_dd_0_a2 clk.un4_clk_000_dd_0_a2
|
||||
Inst IPL_2_ IPL[2]
|
||||
Inst SM_AMIGA_ns_i_a2_0_1_ SM_AMIGA_ns_i_a2_0[1]
|
||||
Inst DSACK_0_ DSACK[0]
|
||||
Inst SM_AMIGA_ns_i_1_ SM_AMIGA_ns_i[1]
|
||||
Inst DSACK_1_ DSACK[1]
|
||||
Inst SM_AMIGA_ns_i_o2_1_ SM_AMIGA_ns_i_o2[1]
|
||||
Inst clk_cpu_est_11_0_a4_1_ clk.cpu_est_11_0_a4[1]
|
||||
Inst clk_cpu_est_11_0_a4_1_1_ clk.cpu_est_11_0_a4_1[1]
|
||||
Inst cpu_est_0_0_ cpu_est_0[0]
|
||||
Inst A_i_16_ A_i[16]
|
||||
Inst A_i_18_ A_i[18]
|
||||
Inst A_i_19_ A_i[19]
|
||||
Inst SM_AMIGA_ns_i_1_ SM_AMIGA_ns_i[1]
|
||||
Inst SM_AMIGA_ns_i_0_ SM_AMIGA_ns_i[0]
|
||||
Inst SM_AMIGA_ns_i_a2_0_1_ SM_AMIGA_ns_i_a2_0[1]
|
||||
Inst SM_AMIGA_ns_a2_0_2_ SM_AMIGA_ns_a2_0[2]
|
||||
Inst A_i_24_ A_i[24]
|
||||
Inst A_i_25_ A_i[25]
|
||||
Inst A_i_26_ A_i[26]
|
||||
Inst FC_0_ FC[0]
|
||||
Inst A_i_27_ A_i[27]
|
||||
Inst FC_1_ FC[1]
|
||||
Inst FC_0_ FC[0]
|
||||
Inst A_i_28_ A_i[28]
|
||||
Inst FC_1_ FC[1]
|
||||
Inst A_i_29_ A_i[29]
|
||||
Inst A_i_30_ A_i[30]
|
||||
Inst A_i_31_ A_i[31]
|
||||
Inst state_machine_un8_clk_000_d0 state_machine.un8_clk_000_d0
|
||||
Inst state_machine_un13_clk_000_d0_1_0 state_machine.un13_clk_000_d0_1_0
|
||||
Inst state_machine_un13_clk_000_d0_2_0 state_machine.un13_clk_000_d0_2_0
|
||||
Inst state_machine_un13_clk_000_d0 state_machine.un13_clk_000_d0
|
||||
Inst state_machine_un13_as_000_int_i state_machine.un13_as_000_int_i
|
||||
Inst state_machine_un8_clk_000_d_2 state_machine.un8_clk_000_d_2
|
||||
Inst state_machine_un8_clk_000_d_3 state_machine.un8_clk_000_d_3
|
||||
Inst CLK_CNT_i_0_ CLK_CNT_i[0]
|
||||
Inst state_machine_un8_clk_000_d state_machine.un8_clk_000_d
|
||||
Inst state_machine_un13_clk_000_d_1_0 state_machine.un13_clk_000_d_1_0
|
||||
Inst state_machine_un13_clk_000_d_2_0 state_machine.un13_clk_000_d_2_0
|
||||
Inst state_machine_un13_clk_000_d state_machine.un13_clk_000_d
|
||||
Inst BG_000_0_r BG_000_0.r
|
||||
Inst BG_000_0_m BG_000_0.m
|
||||
Inst BG_000_0_n BG_000_0.n
|
||||
Inst BG_000_0_p BG_000_0.p
|
||||
Inst BGACK_030_INT_0_r BGACK_030_INT_0.r
|
||||
Inst BGACK_030_INT_0_m BGACK_030_INT_0.m
|
||||
Inst state_machine_un60_clk_000_d0 state_machine.un60_clk_000_d0
|
||||
Inst SM_AMIGA_ns_a2_0_1_5_ SM_AMIGA_ns_a2_0_1[5]
|
||||
Inst BGACK_030_INT_0_n BGACK_030_INT_0.n
|
||||
Inst UDS_000_INT_0_r UDS_000_INT_0.r
|
||||
Inst SM_AMIGA_ns_a2_0_5_ SM_AMIGA_ns_a2_0[5]
|
||||
Inst BGACK_030_INT_0_p BGACK_030_INT_0.p
|
||||
Inst cpu_est_0_1__r cpu_est_0_1_.r
|
||||
Inst cpu_est_0_1__m cpu_est_0_1_.m
|
||||
Inst UDS_000_INT_0_m UDS_000_INT_0.m
|
||||
Inst state_machine_un42_clk_030_2 state_machine.un42_clk_030_2
|
||||
Inst UDS_000_INT_0_n UDS_000_INT_0.n
|
||||
Inst state_machine_un42_clk_030_3 state_machine.un42_clk_030_3
|
||||
Inst UDS_000_INT_0_p UDS_000_INT_0.p
|
||||
Inst state_machine_un42_clk_030_4 state_machine.un42_clk_030_4
|
||||
Inst LDS_000_INT_0_r LDS_000_INT_0.r
|
||||
Inst state_machine_un42_clk_030_5 state_machine.un42_clk_030_5
|
||||
Inst LDS_000_INT_0_m LDS_000_INT_0.m
|
||||
Inst state_machine_un42_clk_030 state_machine.un42_clk_030
|
||||
Inst cpu_est_0_1__n cpu_est_0_1_.n
|
||||
Inst clk_cpu_est_11_i_a4_0_1_2_ clk.cpu_est_11_i_a4_0_1[2]
|
||||
Inst cpu_est_0_1__p cpu_est_0_1_.p
|
||||
Inst clk_cpu_est_11_i_a4_0_2_ clk.cpu_est_11_i_a4_0[2]
|
||||
Inst IPL_030_0_0__r IPL_030_0_0_.r
|
||||
Inst LDS_000_INT_0_n LDS_000_INT_0.n
|
||||
Inst LDS_000_INT_0_p LDS_000_INT_0.p
|
||||
Inst VPA_SYNC_0_r VPA_SYNC_0.r
|
||||
Inst clk_cpu_est_11_i_a4_1_2_ clk.cpu_est_11_i_a4_1[2]
|
||||
Inst IPL_030_0_0__m IPL_030_0_0_.m
|
||||
Inst VPA_SYNC_0_m VPA_SYNC_0.m
|
||||
Inst clk_cpu_est_11_i_a4_2_ clk.cpu_est_11_i_a4[2]
|
||||
Inst IPL_030_0_0__n IPL_030_0_0_.n
|
||||
Inst IPL_030_0_0__p IPL_030_0_0_.p
|
||||
Inst IPL_030_0_1__r IPL_030_0_1_.r
|
||||
Inst IPL_030_0_1__m IPL_030_0_1_.m
|
||||
Inst IPL_030_0_1__n IPL_030_0_1_.n
|
||||
Inst IPL_030_0_1__p IPL_030_0_1_.p
|
||||
Inst IPL_030_0_2__r IPL_030_0_2_.r
|
||||
Inst IPL_030_0_2__m IPL_030_0_2_.m
|
||||
Inst IPL_030_0_2__n IPL_030_0_2_.n
|
||||
Inst IPL_030_0_2__p IPL_030_0_2_.p
|
||||
Inst state_machine_un8_clk_000_d_1_0 state_machine.un8_clk_000_d_1_0
|
||||
Inst VPA_SYNC_0_n VPA_SYNC_0.n
|
||||
Inst clk_cpu_est_11_i_a4_0_1_2_ clk.cpu_est_11_i_a4_0_1[2]
|
||||
Inst VPA_SYNC_0_p VPA_SYNC_0.p
|
||||
Inst clk_cpu_est_11_i_a4_0_2_ clk.cpu_est_11_i_a4_0[2]
|
||||
Inst DSACK_INT_0_1__r DSACK_INT_0_1_.r
|
||||
Inst state_machine_un8_clk_000_d0_1 state_machine.un8_clk_000_d0_1
|
||||
Inst DSACK_INT_0_1__m DSACK_INT_0_1_.m
|
||||
Inst state_machine_un8_clk_000_d0_2 state_machine.un8_clk_000_d0_2
|
||||
Inst DSACK_INT_0_1__n DSACK_INT_0_1_.n
|
||||
Inst state_machine_un8_clk_000_d0_3 state_machine.un8_clk_000_d0_3
|
||||
Inst DSACK_INT_0_1__p DSACK_INT_0_1_.p
|
||||
Inst state_machine_un8_clk_000_d0_4 state_machine.un8_clk_000_d0_4
|
||||
Inst state_machine_un34_clk_000_d0_1 state_machine.un34_clk_000_d0_1
|
||||
Inst state_machine_un34_clk_000_d0 state_machine.un34_clk_000_d0
|
||||
Inst state_machine_un42_clk_030_1 state_machine.un42_clk_030_1
|
||||
Inst state_machine_AS_030_000_SYNC_3_1 state_machine.AS_030_000_SYNC_3_1
|
||||
Inst state_machine_AS_030_000_SYNC_3 state_machine.AS_030_000_SYNC_3
|
||||
Inst clk_cpu_est_11_0_1_3_ clk.cpu_est_11_0_1[3]
|
||||
Inst clk_cpu_est_11_0_3_ clk.cpu_est_11_0[3]
|
||||
Inst clk_cpu_est_11_0_1_1_ clk.cpu_est_11_0_1[1]
|
||||
Inst clk_cpu_est_11_0_2_1_ clk.cpu_est_11_0_2[1]
|
||||
Inst clk_cpu_est_11_0_1_ clk.cpu_est_11_0[1]
|
||||
Inst state_machine_un42_clk_030_1 state_machine.un42_clk_030_1
|
||||
Inst state_machine_un42_clk_030_2 state_machine.un42_clk_030_2
|
||||
Inst state_machine_un42_clk_030_3 state_machine.un42_clk_030_3
|
||||
Inst state_machine_un42_clk_030_4 state_machine.un42_clk_030_4
|
||||
Inst state_machine_un42_clk_030_5 state_machine.un42_clk_030_5
|
||||
Inst clk_un4_clk_000_dd_i clk.un4_clk_000_dd_i
|
||||
Inst clk_un4_clk_000_d1_i clk.un4_clk_000_d1_i
|
||||
Inst state_machine_un6_bgack_000_i state_machine.un6_bgack_000_i
|
||||
Inst state_machine_un1_clk_030_i state_machine.un1_clk_030_i
|
||||
Inst clk_cpu_est_11_0_1_3_ clk.cpu_est_11_0_1[3]
|
||||
Inst clk_cpu_est_11_0_3_ clk.cpu_est_11_0[3]
|
||||
Inst state_machine_un34_clk_000_d_1 state_machine.un34_clk_000_d_1
|
||||
Inst state_machine_un34_clk_000_d state_machine.un34_clk_000_d
|
||||
Inst state_machine_AS_030_000_SYNC_3_1 state_machine.AS_030_000_SYNC_3_1
|
||||
Inst state_machine_AS_030_000_SYNC_3 state_machine.AS_030_000_SYNC_3
|
||||
Inst clk_cpu_est_11_0_i_3_ clk.cpu_est_11_0_i[3]
|
||||
Inst clk_cpu_est_11_0_i_1_ clk.cpu_est_11_0_i[1]
|
||||
Inst SM_AMIGA_ns_i_o2_i_1_ SM_AMIGA_ns_i_o2_i[1]
|
||||
Inst state_machine_un60_clk_000_d_i_0 state_machine.un60_clk_000_d_i_0
|
||||
Inst state_machine_un17_clk_030_i state_machine.un17_clk_030_i
|
||||
Inst state_machine_un34_clk_000_d0_i_0 state_machine.un34_clk_000_d0_i_0
|
||||
Inst A_c_i_0_ A_c_i[0]
|
||||
Inst state_machine_UDS_000_INT_8_i state_machine.UDS_000_INT_8_i
|
||||
Inst state_machine_LDS_000_INT_8_i state_machine.LDS_000_INT_8_i
|
||||
Inst state_machine_AS_030_000_SYNC_3_i state_machine.AS_030_000_SYNC_3_i
|
||||
Inst SIZE_c_i_1_ SIZE_c_i[1]
|
||||
Inst state_machine_un34_clk_000_d_i_0 state_machine.un34_clk_000_d_i_0
|
||||
Inst state_machine_LDS_000_INT_8_i state_machine.LDS_000_INT_8_i
|
||||
Inst state_machine_UDS_000_INT_8_i state_machine.UDS_000_INT_8_i
|
||||
Inst state_machine_un60_clk_000_d0_i_0 state_machine.un60_clk_000_d0_i_0
|
||||
Inst clk_cpu_est_11_0_o4_i_1_ clk.cpu_est_11_0_o4_i[1]
|
||||
Inst clk_cpu_est_11_0_o4_i_3_ clk.cpu_est_11_0_o4_i[3]
|
||||
Inst SM_AMIGA_ns_i_5_ SM_AMIGA_ns_i[5]
|
||||
Inst SM_AMIGA_ns_i_7_ SM_AMIGA_ns_i[7]
|
||||
Inst SM_AMIGA_ns_i_o2_i_0_ SM_AMIGA_ns_i_o2_i[0]
|
||||
Inst SM_AMIGA_ns_i_o2_i_6_ SM_AMIGA_ns_i_o2_i[6]
|
||||
Inst state_machine_un8_clk_000_d_i state_machine.un8_clk_000_d_i
|
||||
Inst state_machine_un13_clk_000_d_i state_machine.un13_clk_000_d_i
|
||||
Inst state_machine_un15_clk_000_d_i state_machine.un15_clk_000_d_i
|
||||
Inst SM_AMIGA_ns_i_7_ SM_AMIGA_ns_i[7]
|
||||
Inst state_machine_un8_clk_000_d0_i state_machine.un8_clk_000_d0_i
|
||||
Inst state_machine_un13_clk_000_d0_i state_machine.un13_clk_000_d0_i
|
||||
Inst state_machine_un15_clk_000_d0_i state_machine.un15_clk_000_d0_i
|
||||
Inst state_machine_un1_clk_030_i state_machine.un1_clk_030_i
|
||||
Inst state_machine_un17_clk_030_i state_machine.un17_clk_030_i
|
||||
Inst state_machine_AS_030_000_SYNC_3_i state_machine.AS_030_000_SYNC_3_i
|
||||
Inst SM_AMIGA_ns_i_6_ SM_AMIGA_ns_i[6]
|
||||
Inst SM_AMIGA_ns_o2_i_6_ SM_AMIGA_ns_o2_i[6]
|
||||
Inst SM_AMIGA_ns_i_o2_i_4_ SM_AMIGA_ns_i_o2_i[4]
|
||||
Inst clk_cpu_est_11_0_i_1_ clk.cpu_est_11_0_i[1]
|
||||
Inst clk_cpu_est_11_0_i_3_ clk.cpu_est_11_0_i[3]
|
||||
Inst clk_cpu_est_11_0_o4_i_3_ clk.cpu_est_11_0_o4_i[3]
|
||||
Inst SM_AMIGA_ns_i_2_ SM_AMIGA_ns_i[2]
|
||||
Inst SM_AMIGA_ns_a2_5_ SM_AMIGA_ns_a2[5]
|
||||
Inst SM_AMIGA_ns_a2_2_ SM_AMIGA_ns_a2[2]
|
||||
Inst SM_AMIGA_i_7_ SM_AMIGA_i[7]
|
||||
Inst SM_AMIGA_ns_i_a2_0_ SM_AMIGA_ns_i_a2[0]
|
||||
Inst SM_AMIGA_ns_i_5_ SM_AMIGA_ns_i[5]
|
||||
Inst state_machine_un13_as_000_int state_machine.un13_as_000_int
|
||||
Inst AS_000_INT_0_r AS_000_INT_0.r
|
||||
Net ipl_030_c_1__n IPL_030_c[1]
|
||||
Net ipl_030_1__n IPL_030[1]
|
||||
Net ipl_030_c_2__n IPL_030_c[2]
|
||||
Inst cpu_est_0_3__r cpu_est_0_3_.r
|
||||
Inst cpu_est_0_3__m cpu_est_0_3_.m
|
||||
Inst cpu_est_0_3__n cpu_est_0_3_.n
|
||||
Inst cpu_est_0_3__p cpu_est_0_3_.p
|
||||
Inst cpu_est_0_1__r cpu_est_0_1_.r
|
||||
Inst cpu_est_0_1__m cpu_est_0_1_.m
|
||||
Inst cpu_est_0_1__n cpu_est_0_1_.n
|
||||
Inst cpu_est_0_1__p cpu_est_0_1_.p
|
||||
Net ipl_c_0__n IPL_c[0]
|
||||
Net ipl_0__n IPL[0]
|
||||
Net ipl_c_1__n IPL_c[1]
|
||||
Net ipl_1__n IPL[1]
|
||||
Net ipl_c_2__n IPL_c[2]
|
||||
Net cpu_est_3__n cpu_est[3]
|
||||
Net dsack_0__n DSACK[0]
|
||||
Net gnd_n_n GND
|
||||
Net dsack_c_1__n DSACK_c[1]
|
||||
Net cpu_est_3__n cpu_est[3]
|
||||
Net cpu_est_0__n cpu_est[0]
|
||||
Net cpu_est_1__n cpu_est[1]
|
||||
Net vcc_n_n VCC
|
||||
Net gnd_n_n GND
|
||||
Net cpu_est_2__n cpu_est[2]
|
||||
Net clk_cnt_0__n CLK_CNT[0]
|
||||
Net sm_amiga_6__n SM_AMIGA[6]
|
||||
Net sm_amiga_7__n SM_AMIGA[7]
|
||||
Net fc_c_0__n FC_c[0]
|
||||
Net sm_amiga_6__n SM_AMIGA[6]
|
||||
Net fc_0__n FC[0]
|
||||
Net state_machine_un1_clk_030_n state_machine.un1_clk_030
|
||||
Net sm_amiga_7__n SM_AMIGA[7]
|
||||
Net fc_c_1__n FC_c[1]
|
||||
Net sm_amiga_1__n SM_AMIGA[1]
|
||||
Net dsack_int_1__n DSACK_INT[1]
|
||||
Net state_machine_un60_clk_000_d0_n state_machine.un60_clk_000_d0
|
||||
Net sm_amiga_1__n SM_AMIGA[1]
|
||||
Net sm_amiga_4__n SM_AMIGA[4]
|
||||
Net state_machine_un6_bgack_000_n state_machine.un6_bgack_000
|
||||
Net sm_amiga_ns_0_2__n SM_AMIGA_ns_0[2]
|
||||
Net sm_amiga_3__n SM_AMIGA[3]
|
||||
Net state_machine_un13_as_000_int_n state_machine.un13_as_000_int
|
||||
Net sm_amiga_5__n SM_AMIGA[5]
|
||||
Net sm_amiga_ns_0_2__n SM_AMIGA_ns_0[2]
|
||||
Net sm_amiga_ns_0_5__n SM_AMIGA_ns_0[5]
|
||||
Net sm_amiga_2__n SM_AMIGA[2]
|
||||
Net sm_amiga_0__n SM_AMIGA[0]
|
||||
Net sm_amiga_ns_0_5__n SM_AMIGA_ns_0[5]
|
||||
Net state_machine_lds_000_int_8_n state_machine.LDS_000_INT_8
|
||||
Net sm_amiga_ns_0_6__n SM_AMIGA_ns_0[6]
|
||||
Net state_machine_uds_000_int_8_n state_machine.UDS_000_INT_8
|
||||
Net clk_cpu_est_11_0_1__n clk.cpu_est_11_0[1]
|
||||
Net clk_cpu_est_11_0_3__n clk.cpu_est_11_0[3]
|
||||
Net sm_amiga_ns_0_7__n SM_AMIGA_ns_0[7]
|
||||
Net state_machine_un8_clk_000_d_i_n state_machine.un8_clk_000_d_i
|
||||
Net state_machine_un13_clk_000_d_i_n state_machine.un13_clk_000_d_i
|
||||
Net state_machine_un15_clk_000_d_0_n state_machine.un15_clk_000_d_0
|
||||
Net state_machine_un60_clk_000_d_i_n state_machine.un60_clk_000_d_i
|
||||
Net state_machine_un8_clk_000_d0_i_n state_machine.un8_clk_000_d0_i
|
||||
Net sm_amiga_ns_2__n SM_AMIGA_ns[2]
|
||||
Net state_machine_un17_clk_030_0_n state_machine.un17_clk_030_0
|
||||
Net state_machine_un13_clk_000_d0_i_n state_machine.un13_clk_000_d0_i
|
||||
Net sm_amiga_ns_5__n SM_AMIGA_ns[5]
|
||||
Net state_machine_un15_clk_000_d0_0_n state_machine.un15_clk_000_d0_0
|
||||
Net sm_amiga_ns_6__n SM_AMIGA_ns[6]
|
||||
Net sm_amiga_ns_7__n SM_AMIGA_ns[7]
|
||||
Net clk_un4_clk_000_dd_n clk.un4_clk_000_dd
|
||||
Net state_machine_un1_clk_030_0_n state_machine.un1_clk_030_0
|
||||
Net clk_un4_clk_000_d1_n clk.un4_clk_000_d1
|
||||
Net state_machine_un17_clk_030_0_n state_machine.un17_clk_030_0
|
||||
Net state_machine_as_030_000_sync_3_2_n state_machine.AS_030_000_SYNC_3_2
|
||||
Net state_machine_un34_clk_000_d0_n state_machine.un34_clk_000_d0
|
||||
Net clk_un4_clk_000_d1_i_n clk.un4_clk_000_d1_i
|
||||
Net state_machine_un6_bgack_000_0_n state_machine.un6_bgack_000_0
|
||||
Net state_machine_un34_clk_000_d0_i_n state_machine.un34_clk_000_d0_i
|
||||
Net a_c_i_0__n A_c_i[0]
|
||||
Net clk_cpu_est_11_1__n clk.cpu_est_11[1]
|
||||
Net state_machine_uds_000_int_8_0_n state_machine.UDS_000_INT_8_0
|
||||
Net size_c_i_1__n SIZE_c_i[1]
|
||||
Net state_machine_un6_bgack_000_n state_machine.un6_bgack_000
|
||||
Net state_machine_un42_clk_030_n state_machine.un42_clk_030
|
||||
Net state_machine_lds_000_int_8_0_n state_machine.LDS_000_INT_8_0
|
||||
Net state_machine_as_030_000_sync_3_2_n state_machine.AS_030_000_SYNC_3_2
|
||||
Net size_c_i_1__n SIZE_c_i[1]
|
||||
Net state_machine_un34_clk_000_d_i_n state_machine.un34_clk_000_d_i
|
||||
Net clk_cpu_est_11_0_3__n clk.cpu_est_11_0[3]
|
||||
Net clk_cpu_est_11_0_1__n clk.cpu_est_11_0[1]
|
||||
Net state_machine_un13_clk_000_d_2_n state_machine.un13_clk_000_d_2
|
||||
Net clk_cpu_est_11_3__n clk.cpu_est_11[3]
|
||||
Net state_machine_un34_clk_000_d_n state_machine.un34_clk_000_d
|
||||
Net clk_un4_clk_000_dd_i_n clk.un4_clk_000_dd_i
|
||||
Net state_machine_uds_000_int_8_0_n state_machine.UDS_000_INT_8_0
|
||||
Net state_machine_as_030_000_sync_3_n state_machine.AS_030_000_SYNC_3
|
||||
Net state_machine_un6_bgack_000_0_n state_machine.un6_bgack_000_0
|
||||
Net state_machine_un1_clk_030_0_n state_machine.un1_clk_030_0
|
||||
Net state_machine_lds_000_int_8_n state_machine.LDS_000_INT_8
|
||||
Net clk_cpu_est_11_0_1_3__n clk.cpu_est_11_0_1[3]
|
||||
Net state_machine_uds_000_int_8_n state_machine.UDS_000_INT_8
|
||||
Net state_machine_un34_clk_000_d_i_1_n state_machine.un34_clk_000_d_i_1
|
||||
Net state_machine_as_030_000_sync_3_2_1_n state_machine.AS_030_000_SYNC_3_2_1
|
||||
Net state_machine_un60_clk_000_d0_i_n state_machine.un60_clk_000_d0_i
|
||||
Net state_machine_un17_clk_030_n state_machine.un17_clk_030
|
||||
Net state_machine_un60_clk_000_d_n state_machine.un60_clk_000_d
|
||||
Net state_machine_as_030_000_sync_3_2_1_n state_machine.AS_030_000_SYNC_3_2_1
|
||||
Net state_machine_un1_clk_030_n state_machine.un1_clk_030
|
||||
Net clk_cpu_est_11_0_1_3__n clk.cpu_est_11_0_1[3]
|
||||
Net clk_cpu_est_11_0_1_1__n clk.cpu_est_11_0_1[1]
|
||||
Net state_machine_un15_clk_000_d0_n state_machine.un15_clk_000_d0
|
||||
Net clk_cpu_est_11_0_2_1__n clk.cpu_est_11_0_2[1]
|
||||
Net state_machine_un13_clk_000_d0_n state_machine.un13_clk_000_d0
|
||||
Net state_machine_un8_clk_000_d0_n state_machine.un8_clk_000_d0
|
||||
Net state_machine_un13_clk_000_d0_1_n state_machine.un13_clk_000_d0_1
|
||||
Net state_machine_un13_clk_000_d0_2_n state_machine.un13_clk_000_d0_2
|
||||
Net clk_cpu_est_11_3__n clk.cpu_est_11[3]
|
||||
Net state_machine_un34_clk_000_d0_i_1_n state_machine.un34_clk_000_d0_i_1
|
||||
Net state_machine_un42_clk_030_1_n state_machine.un42_clk_030_1
|
||||
Net state_machine_un15_clk_000_d_n state_machine.un15_clk_000_d
|
||||
Net state_machine_un42_clk_030_2_n state_machine.un42_clk_030_2
|
||||
Net state_machine_un13_clk_000_d_n state_machine.un13_clk_000_d
|
||||
Net clk_cpu_est_11_1__n clk.cpu_est_11[1]
|
||||
Net state_machine_un42_clk_030_3_n state_machine.un42_clk_030_3
|
||||
Net state_machine_un8_clk_000_d_n state_machine.un8_clk_000_d
|
||||
Net state_machine_un42_clk_030_4_n state_machine.un42_clk_030_4
|
||||
Net state_machine_un8_clk_000_d_1_n state_machine.un8_clk_000_d_1
|
||||
Net state_machine_un42_clk_030_5_n state_machine.un42_clk_030_5
|
||||
Net state_machine_un13_clk_000_d_1_n state_machine.un13_clk_000_d_1
|
||||
Net state_machine_un8_clk_000_d_1_0_n state_machine.un8_clk_000_d_1_0
|
||||
Net state_machine_un8_clk_000_d_2_n state_machine.un8_clk_000_d_2
|
||||
Net state_machine_un8_clk_000_d_3_n state_machine.un8_clk_000_d_3
|
||||
Net state_machine_un13_clk_000_d_1_0_n state_machine.un13_clk_000_d_1_0
|
||||
Net state_machine_un13_clk_000_d_2_0_n state_machine.un13_clk_000_d_2_0
|
||||
Net state_machine_un8_clk_000_d0_1_n state_machine.un8_clk_000_d0_1
|
||||
Net state_machine_un8_clk_000_d0_2_n state_machine.un8_clk_000_d0_2
|
||||
Net state_machine_un8_clk_000_d0_3_n state_machine.un8_clk_000_d0_3
|
||||
Net state_machine_un8_clk_000_d0_4_n state_machine.un8_clk_000_d0_4
|
||||
Net state_machine_un13_clk_000_d0_1_0_n state_machine.un13_clk_000_d0_1_0
|
||||
Net state_machine_un13_clk_000_d0_2_0_n state_machine.un13_clk_000_d0_2_0
|
||||
Net dsack_i_1__n DSACK_i[1]
|
||||
Net sm_amiga_i_7__n SM_AMIGA_i[7]
|
||||
Net sm_amiga_i_2__n SM_AMIGA_i[2]
|
||||
Net sm_amiga_i_1__n SM_AMIGA_i[1]
|
||||
Net as_000_int_0_un3_n AS_000_INT_0.un3
|
||||
Net state_machine_un13_clk_000_d_1_i_n state_machine.un13_clk_000_d_1_i
|
||||
Net as_000_int_0_un1_n AS_000_INT_0.un1
|
||||
Net as_000_int_0_un0_n AS_000_INT_0.un0
|
||||
Net vma_int_0_un3_n VMA_INT_0.un3
|
||||
Net cpu_est_i_0__n cpu_est_i[0]
|
||||
Net vma_int_0_un1_n VMA_INT_0.un1
|
||||
Net cpu_est_i_1__n cpu_est_i[1]
|
||||
Net vma_int_0_un0_n VMA_INT_0.un0
|
||||
Net cpu_est_i_3__n cpu_est_i[3]
|
||||
Net uds_000_int_0_un3_n UDS_000_INT_0.un3
|
||||
Net state_machine_un8_clk_000_d_1_i_0_n state_machine.un8_clk_000_d_1_i_0
|
||||
Net uds_000_int_0_un1_n UDS_000_INT_0.un1
|
||||
Net uds_000_int_0_un0_n UDS_000_INT_0.un0
|
||||
Net sm_amiga_i_3__n SM_AMIGA_i[3]
|
||||
Net dtack_sync_0_un3_n DTACK_SYNC_0.un3
|
||||
Net sm_amiga_i_4__n SM_AMIGA_i[4]
|
||||
Net dtack_sync_0_un1_n DTACK_SYNC_0.un1
|
||||
Net sm_amiga_i_5__n SM_AMIGA_i[5]
|
||||
Net dtack_sync_0_un0_n DTACK_SYNC_0.un0
|
||||
Net vpa_sync_0_un3_n VPA_SYNC_0.un3
|
||||
Net vpa_sync_0_un1_n VPA_SYNC_0.un1
|
||||
Net vpa_sync_0_un0_n VPA_SYNC_0.un0
|
||||
Net state_machine_un42_clk_030_i_n state_machine.un42_clk_030_i
|
||||
Net dsack_int_0_1__un3_n DSACK_INT_0_1_.un3
|
||||
Net dsack_int_0_1__un1_n DSACK_INT_0_1_.un1
|
||||
Net dsack_int_0_1__un0_n DSACK_INT_0_1_.un0
|
||||
Net as_030_000_sync_0_un3_n AS_030_000_SYNC_0.un3
|
||||
Net as_030_000_sync_0_un1_n AS_030_000_SYNC_0.un1
|
||||
Net cpu_est_i_2__n cpu_est_i[2]
|
||||
Net as_030_000_sync_0_un0_n AS_030_000_SYNC_0.un0
|
||||
Net state_machine_un13_clk_000_d_2_i_n state_machine.un13_clk_000_d_2_i
|
||||
Net fpu_cs_int_0_un3_n FPU_CS_INT_0.un3
|
||||
Net fpu_cs_int_0_un1_n FPU_CS_INT_0.un1
|
||||
Net sm_amiga_i_6__n SM_AMIGA_i[6]
|
||||
Net fpu_cs_int_0_un0_n FPU_CS_INT_0.un0
|
||||
Net lds_000_int_0_un3_n LDS_000_INT_0.un3
|
||||
Net a_i_30__n A_i[30]
|
||||
Net lds_000_int_0_un1_n LDS_000_INT_0.un1
|
||||
Net a_i_31__n A_i[31]
|
||||
Net lds_000_int_0_un0_n LDS_000_INT_0.un0
|
||||
Net a_i_28__n A_i[28]
|
||||
Net cpu_est_0_3__un3_n cpu_est_0_3_.un3
|
||||
Net a_i_29__n A_i[29]
|
||||
Net cpu_est_0_3__un1_n cpu_est_0_3_.un1
|
||||
Net a_i_26__n A_i[26]
|
||||
Net cpu_est_0_3__un0_n cpu_est_0_3_.un0
|
||||
Net a_i_27__n A_i[27]
|
||||
Net cpu_est_0_2__un3_n cpu_est_0_2_.un3
|
||||
Net a_i_24__n A_i[24]
|
||||
Net cpu_est_0_2__un1_n cpu_est_0_2_.un1
|
||||
Net a_i_25__n A_i[25]
|
||||
Net cpu_est_0_2__un0_n cpu_est_0_2_.un0
|
||||
Net a_i_19__n A_i[19]
|
||||
Net bg_000_0_un3_n BG_000_0.un3
|
||||
Net a_i_16__n A_i[16]
|
||||
Net bg_000_0_un1_n BG_000_0.un1
|
||||
Net a_i_18__n A_i[18]
|
||||
Net bg_000_0_un0_n BG_000_0.un0
|
||||
Net clk_cnt_i_0__n CLK_CNT_i[0]
|
||||
Net bgack_030_int_0_un3_n BGACK_030_INT_0.un3
|
||||
Net bgack_030_int_0_un1_n BGACK_030_INT_0.un1
|
||||
Net state_machine_un13_as_000_int_i_n state_machine.un13_as_000_int_i
|
||||
Net bgack_030_int_0_un0_n BGACK_030_INT_0.un0
|
||||
Net sm_amiga_i_3__n SM_AMIGA_i[3]
|
||||
Net cpu_est_0_1__un3_n cpu_est_0_1_.un3
|
||||
Net sm_amiga_i_4__n SM_AMIGA_i[4]
|
||||
Net cpu_est_0_1__un1_n cpu_est_0_1_.un1
|
||||
Net cpu_est_0_1__un0_n cpu_est_0_1_.un0
|
||||
Net cpu_est_i_0__n cpu_est_i[0]
|
||||
Net as_000_int_0_un3_n AS_000_INT_0.un3
|
||||
Net cpu_est_i_3__n cpu_est_i[3]
|
||||
Net as_000_int_0_un1_n AS_000_INT_0.un1
|
||||
Net cpu_est_i_2__n cpu_est_i[2]
|
||||
Net as_000_int_0_un0_n AS_000_INT_0.un0
|
||||
Net bg_000_0_un3_n BG_000_0.un3
|
||||
Net bg_000_0_un1_n BG_000_0.un1
|
||||
Net cpu_est_i_1__n cpu_est_i[1]
|
||||
Net bg_000_0_un0_n BG_000_0.un0
|
||||
Net state_machine_un13_clk_000_d0_2_i_n state_machine.un13_clk_000_d0_2_i
|
||||
Net as_030_000_sync_0_un3_n AS_030_000_SYNC_0.un3
|
||||
Net state_machine_un13_clk_000_d0_1_i_n state_machine.un13_clk_000_d0_1_i
|
||||
Net as_030_000_sync_0_un1_n AS_030_000_SYNC_0.un1
|
||||
Net as_030_000_sync_0_un0_n AS_030_000_SYNC_0.un0
|
||||
Net fpu_cs_int_0_un3_n FPU_CS_INT_0.un3
|
||||
Net a_i_18__n A_i[18]
|
||||
Net fpu_cs_int_0_un1_n FPU_CS_INT_0.un1
|
||||
Net a_i_16__n A_i[16]
|
||||
Net fpu_cs_int_0_un0_n FPU_CS_INT_0.un0
|
||||
Net a_i_19__n A_i[19]
|
||||
Net dtack_sync_0_un3_n DTACK_SYNC_0.un3
|
||||
Net dtack_sync_0_un1_n DTACK_SYNC_0.un1
|
||||
Net state_machine_un42_clk_030_i_n state_machine.un42_clk_030_i
|
||||
Net dtack_sync_0_un0_n DTACK_SYNC_0.un0
|
||||
Net sm_amiga_i_6__n SM_AMIGA_i[6]
|
||||
Net vma_int_0_un3_n VMA_INT_0.un3
|
||||
Net sm_amiga_i_7__n SM_AMIGA_i[7]
|
||||
Net vma_int_0_un1_n VMA_INT_0.un1
|
||||
Net vma_int_0_un0_n VMA_INT_0.un0
|
||||
Net cpu_est_0_2__un3_n cpu_est_0_2_.un3
|
||||
Net cpu_est_0_2__un1_n cpu_est_0_2_.un1
|
||||
Net cpu_est_0_2__un0_n cpu_est_0_2_.un0
|
||||
Net sm_amiga_i_5__n SM_AMIGA_i[5]
|
||||
Net ipl_030_0_0__un3_n IPL_030_0_0_.un3
|
||||
Net ipl_030_0_0__un1_n IPL_030_0_0_.un1
|
||||
Net ipl_030_0_0__un0_n IPL_030_0_0_.un0
|
||||
Net a_i_30__n A_i[30]
|
||||
Net ipl_030_0_1__un3_n IPL_030_0_1_.un3
|
||||
Net a_i_31__n A_i[31]
|
||||
Net ipl_030_0_1__un1_n IPL_030_0_1_.un1
|
||||
Net a_i_28__n A_i[28]
|
||||
Net ipl_030_0_1__un0_n IPL_030_0_1_.un0
|
||||
Net a_i_29__n A_i[29]
|
||||
Net ipl_030_0_2__un3_n IPL_030_0_2_.un3
|
||||
Net size_c_0__n SIZE_c[0]
|
||||
Net a_i_26__n A_i[26]
|
||||
Net ipl_030_0_2__un1_n IPL_030_0_2_.un1
|
||||
Net size_0__n SIZE[0]
|
||||
Net a_i_27__n A_i[27]
|
||||
Net ipl_030_0_2__un0_n IPL_030_0_2_.un0
|
||||
Net size_c_1__n SIZE_c[1]
|
||||
Net a_i_24__n A_i[24]
|
||||
Net bgack_030_int_0_un3_n BGACK_030_INT_0.un3
|
||||
Net a_i_25__n A_i[25]
|
||||
Net bgack_030_int_0_un1_n BGACK_030_INT_0.un1
|
||||
Net clk_cnt_i_0__n CLK_CNT_i[0]
|
||||
Net bgack_030_int_0_un0_n BGACK_030_INT_0.un0
|
||||
Net uds_000_int_0_un3_n UDS_000_INT_0.un3
|
||||
Net state_machine_un13_as_000_int_i_n state_machine.un13_as_000_int_i
|
||||
Net uds_000_int_0_un1_n UDS_000_INT_0.un1
|
||||
Net uds_000_int_0_un0_n UDS_000_INT_0.un0
|
||||
Net lds_000_int_0_un3_n LDS_000_INT_0.un3
|
||||
Net lds_000_int_0_un1_n LDS_000_INT_0.un1
|
||||
Net lds_000_int_0_un0_n LDS_000_INT_0.un0
|
||||
Net vpa_sync_0_un3_n VPA_SYNC_0.un3
|
||||
Net vpa_sync_0_un1_n VPA_SYNC_0.un1
|
||||
Net vpa_sync_0_un0_n VPA_SYNC_0.un0
|
||||
Net dsack_int_0_1__un3_n DSACK_INT_0_1_.un3
|
||||
Net dsack_int_0_1__un1_n DSACK_INT_0_1_.un1
|
||||
Net size_c_0__n SIZE_c[0]
|
||||
Net dsack_int_0_1__un0_n DSACK_INT_0_1_.un0
|
||||
Net size_0__n SIZE[0]
|
||||
Net a_15__n A[15]
|
||||
Net a_c_0__n A_c[0]
|
||||
Net size_c_1__n SIZE_c[1]
|
||||
Net a_14__n A[14]
|
||||
Net a_c_0__n A_c[0]
|
||||
Net a_0__n A[0]
|
||||
Net a_13__n A[13]
|
||||
Net a_12__n A[12]
|
||||
|
@ -489,20 +483,20 @@ Design 'BUS68030' created Thu May 15 23:02:46 2014
|
|||
Net a_7__n A[7]
|
||||
Net a_6__n A[6]
|
||||
Net a_c_16__n A_c[16]
|
||||
Net a_16__n A[16]
|
||||
Net a_5__n A[5]
|
||||
Net a_16__n A[16]
|
||||
Net a_c_17__n A_c[17]
|
||||
Net a_17__n A[17]
|
||||
Net a_4__n A[4]
|
||||
Net a_17__n A[17]
|
||||
Net a_c_18__n A_c[18]
|
||||
Net a_18__n A[18]
|
||||
Net a_3__n A[3]
|
||||
Net a_18__n A[18]
|
||||
Net a_c_19__n A_c[19]
|
||||
Net a_19__n A[19]
|
||||
Net a_2__n A[2]
|
||||
Net a_19__n A[19]
|
||||
Net a_c_20__n A_c[20]
|
||||
Net a_20__n A[20]
|
||||
Net a_1__n A[1]
|
||||
Net a_20__n A[20]
|
||||
Net a_c_21__n A_c[21]
|
||||
Net a_21__n A[21]
|
||||
Net a_c_22__n A_c[22]
|
||||
|
@ -526,6 +520,9 @@ Design 'BUS68030' created Thu May 15 23:02:46 2014
|
|||
Net a_c_31__n A_c[31]
|
||||
Net ipl_030_c_0__n IPL_030_c[0]
|
||||
Net ipl_030_0__n IPL_030[0]
|
||||
Net ipl_030_c_1__n IPL_030_c[1]
|
||||
Net ipl_030_1__n IPL_030[1]
|
||||
Net ipl_030_c_2__n IPL_030_c[2]
|
||||
End
|
||||
Section Type Name
|
||||
// ----------------------------------------------------------------------
|
||||
|
@ -535,7 +532,7 @@ Section Type Name
|
|||
Input FC_1_
|
||||
Input AS_030
|
||||
Input DS_030
|
||||
Input CPU_SPACE
|
||||
Input nEXP_SPACE
|
||||
Input BG_030
|
||||
Input BGACK_000
|
||||
Input CLK_030
|
||||
|
|
|
@ -6,7 +6,7 @@
|
|||
#Implementation: logic
|
||||
|
||||
$ Start of Compile
|
||||
#Thu May 15 23:02:39 2014
|
||||
#Fri May 16 17:07:02 2014
|
||||
|
||||
Synopsys VHDL Compiler, version comp201209rcp1, Build 283R, built Mar 19 2013
|
||||
@N|Running in 64-bit mode
|
||||
|
@ -18,16 +18,19 @@ File C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd changed -
|
|||
VHDL syntax check successful!
|
||||
File C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd changed - recompiling
|
||||
@N: CD630 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":6:7:6:14|Synthesizing work.bus68030.behavioral
|
||||
@W: CD638 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":106:7:106:15|Signal clk_030_d is undriven
|
||||
@W: CD638 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":105:7:105:15|Signal clk_030_d is undriven
|
||||
Post processing for work.bus68030.behavioral
|
||||
@W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":176:2:176:3|Pruning register CLK_REF(1 downto 0)
|
||||
@W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":108:32:108:34|Pruning register cpu_est_d(3 downto 0)
|
||||
@W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":108:32:108:34|Pruning register CLK_000_CNT(3 downto 0)
|
||||
@W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":111:32:111:34|Pruning register cpu_est_d(3 downto 0)
|
||||
@W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":110:32:110:34|Pruning register CLK_000_D5
|
||||
@W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":109:32:109:34|Pruning register CLK_000_D4
|
||||
@W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":108:32:108:34|Pruning register CLK_000_D3
|
||||
@W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":107:32:107:34|Pruning register CLK_000_D2
|
||||
@W: CL190 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":102:52:102:55|Optimizing register bit DSACK_INT(0) to a constant 1
|
||||
@W: CL260 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":102:52:102:55|Pruning register bit 0 of DSACK_INT(1 downto 0)
|
||||
@W: CL189 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":117:2:117:3|Register bit CLK_CNT(1) is always 0, optimizing ...
|
||||
@W: CL260 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":117:2:117:3|Pruning register bit 1 of CLK_CNT(1 downto 0)
|
||||
@N: CL201 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":108:32:108:34|Trying to extract state machine for register cpu_est
|
||||
@W: CL189 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":120:2:120:3|Register bit CLK_CNT(1) is always 0, optimizing ...
|
||||
@W: CL260 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":120:2:120:3|Pruning register bit 1 of CLK_CNT(1 downto 0)
|
||||
@N: CL201 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":111:32:111:34|Trying to extract state machine for register cpu_est
|
||||
@N: CL201 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":176:2:176:3|Trying to extract state machine for register SM_AMIGA
|
||||
Extracted state machine for register SM_AMIGA
|
||||
State machine has 8 reachable states with original encodings of:
|
||||
|
@ -42,7 +45,7 @@ State machine has 8 reachable states with original encodings of:
|
|||
@W: CL249 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":176:2:176:3|Initial value is not supported on state machine SM_AMIGA
|
||||
@END
|
||||
Process took 0h:00m:01s realtime, 0h:00m:01s cputime
|
||||
# Thu May 15 23:02:39 2014
|
||||
# Fri May 16 17:07:02 2014
|
||||
|
||||
###########################################################]
|
||||
Map & Optimize Report
|
||||
|
@ -73,8 +76,8 @@ IBUF 35 uses
|
|||
BUFTH 7 uses
|
||||
OBUF 15 uses
|
||||
BI_DIR 2 uses
|
||||
AND2 147 uses
|
||||
INV 119 uses
|
||||
AND2 146 uses
|
||||
INV 116 uses
|
||||
OR2 17 uses
|
||||
XOR2 2 uses
|
||||
|
||||
|
@ -86,6 +89,6 @@ Mapper successful!
|
|||
At Mapper Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 31MB peak: 95MB)
|
||||
|
||||
Process took 0h:00m:01s realtime, 0h:00m:01s cputime
|
||||
# Thu May 15 23:02:41 2014
|
||||
# Fri May 16 17:07:04 2014
|
||||
|
||||
###########################################################]
|
||||
|
|
|
@ -1,7 +1,7 @@
|
|||
#-- Synopsys, Inc.
|
||||
#-- Version G-2012.09LC-SP1
|
||||
#-- Project file C:\users\matze\documents\github\68030tk\logic\run_options.txt
|
||||
#-- Written on Thu May 15 23:02:39 2014
|
||||
#-- Written on Fri May 16 17:07:02 2014
|
||||
|
||||
|
||||
#project files
|
||||
|
|
|
@ -24,8 +24,8 @@ IBUF 35 uses
|
|||
BUFTH 7 uses
|
||||
OBUF 15 uses
|
||||
BI_DIR 2 uses
|
||||
AND2 147 uses
|
||||
INV 119 uses
|
||||
AND2 146 uses
|
||||
INV 116 uses
|
||||
OR2 17 uses
|
||||
XOR2 2 uses
|
||||
|
||||
|
@ -37,6 +37,6 @@ Mapper successful!
|
|||
At Mapper Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 31MB peak: 95MB)
|
||||
|
||||
Process took 0h:00m:01s realtime, 0h:00m:01s cputime
|
||||
# Thu May 15 23:02:41 2014
|
||||
# Fri May 16 17:07:04 2014
|
||||
|
||||
###########################################################]
|
||||
|
|
|
@ -1,3 +1,3 @@
|
|||
@E: CD200 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":184:3:184:11|Misspelled variable, signal or procedure name?
|
||||
@E: CD204 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":319:50:319:50|Expecting sequential statement
|
||||
@E|Parse errors encountered - exiting
|
||||
|
||||
|
|
|
@ -2,6 +2,6 @@
|
|||
@N: CD720 :"C:\Program Files (x86)\ispLever\synpbase\lib\vhd\std.vhd":123:18:123:21|Setting time resolution to ns
|
||||
@N:"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":6:7:6:14|Top entity is set to BUS68030.
|
||||
@N: CD630 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":6:7:6:14|Synthesizing work.bus68030.behavioral
|
||||
@N: CL201 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":108:32:108:34|Trying to extract state machine for register cpu_est
|
||||
@N: CL201 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":111:32:111:34|Trying to extract state machine for register cpu_est
|
||||
@N: CL201 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":176:2:176:3|Trying to extract state machine for register SM_AMIGA
|
||||
|
||||
|
|
|
@ -18,7 +18,7 @@ The file contains the job information from compiler to be displayed as part of t
|
|||
<report_link name="more"><data>C:\users\matze\documents\github\68030tk\logic\synlog\report\BUS68030_compiler_notes.txt</data></report_link>
|
||||
</info>
|
||||
<info name="Warnings">
|
||||
<data>9</data>
|
||||
<data>12</data>
|
||||
<report_link name="more"><data>C:\users\matze\documents\github\68030tk\logic\synlog\report\BUS68030_compiler_warnings.txt</data></report_link>
|
||||
</info>
|
||||
<info name="Errors">
|
||||
|
@ -35,7 +35,7 @@ The file contains the job information from compiler to be displayed as part of t
|
|||
<data>-</data>
|
||||
</info>
|
||||
<info name="Date &Time">
|
||||
<data type="timestamp">1400187759</data>
|
||||
<data type="timestamp">1400252822</data>
|
||||
</info>
|
||||
</job_info>
|
||||
</job_run_status>
|
|
@ -1,10 +1,13 @@
|
|||
@W: CD638 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":106:7:106:15|Signal clk_030_d is undriven
|
||||
@W: CD638 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":105:7:105:15|Signal clk_030_d is undriven
|
||||
@W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":176:2:176:3|Pruning register CLK_REF(1 downto 0)
|
||||
@W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":108:32:108:34|Pruning register cpu_est_d(3 downto 0)
|
||||
@W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":108:32:108:34|Pruning register CLK_000_CNT(3 downto 0)
|
||||
@W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":111:32:111:34|Pruning register cpu_est_d(3 downto 0)
|
||||
@W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":110:32:110:34|Pruning register CLK_000_D5
|
||||
@W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":109:32:109:34|Pruning register CLK_000_D4
|
||||
@W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":108:32:108:34|Pruning register CLK_000_D3
|
||||
@W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":107:32:107:34|Pruning register CLK_000_D2
|
||||
@W: CL190 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":102:52:102:55|Optimizing register bit DSACK_INT(0) to a constant 1
|
||||
@W: CL260 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":102:52:102:55|Pruning register bit 0 of DSACK_INT(1 downto 0)
|
||||
@W: CL189 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":117:2:117:3|Register bit CLK_CNT(1) is always 0, optimizing ...
|
||||
@W: CL260 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":117:2:117:3|Pruning register bit 1 of CLK_CNT(1 downto 0)
|
||||
@W: CL189 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":120:2:120:3|Register bit CLK_CNT(1) is always 0, optimizing ...
|
||||
@W: CL260 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":120:2:120:3|Pruning register bit 1 of CLK_CNT(1 downto 0)
|
||||
@W: CL249 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":176:2:176:3|Initial value is not supported on state machine SM_AMIGA
|
||||
|
||||
|
|
|
@ -39,7 +39,7 @@ The file contains the job information from mapper to be displayed as part of the
|
|||
<data>95MB</data>
|
||||
</info>
|
||||
<info name="Date & Time">
|
||||
<data type="timestamp">1400187761</data>
|
||||
<data type="timestamp">1400252824</data>
|
||||
</info>
|
||||
</job_info>
|
||||
</job_run_status>
|
||||
|
|
|
@ -3,7 +3,7 @@
|
|||
Synopsys, Inc.
|
||||
Version G-2012.09LC-SP1
|
||||
Project file C:\users\matze\documents\github\68030tk\logic\syntmp\run_option.xml
|
||||
Written on Thu May 15 23:02:39 2014
|
||||
Written on Fri May 16 17:07:02 2014
|
||||
|
||||
|
||||
-->
|
||||
|
|
|
@ -10,7 +10,7 @@
|
|||
#CUR:"C:\\Program Files (x86)\\ispLever\\synpbase\\lib\\vhd\\umr_capim.vhd":1363694328
|
||||
#CUR:"C:\\Program Files (x86)\\ispLever\\synpbase\\lib\\vhd\\arith.vhd":1363694328
|
||||
#CUR:"C:\\Program Files (x86)\\ispLever\\synpbase\\lib\\vhd\\unsigned.vhd":1363694328
|
||||
#CUR:"C:\\users\\matze\\documents\\github\\68030tk\\logic\\68030-68000-bus.vhd":1400187757
|
||||
#CUR:"C:\\users\\matze\\documents\\github\\68030tk\\logic\\68030-68000-bus.vhd":1400252819
|
||||
0 "C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd" vhdl
|
||||
|
||||
# Dependency Lists (Uses list)
|
||||
|
|
|
@ -10,7 +10,7 @@
|
|||
#CUR:"C:\\Program Files (x86)\\ispLever\\synpbase\\lib\\vhd\\umr_capim.vhd":1363694328
|
||||
#CUR:"C:\\Program Files (x86)\\ispLever\\synpbase\\lib\\vhd\\arith.vhd":1363694328
|
||||
#CUR:"C:\\Program Files (x86)\\ispLever\\synpbase\\lib\\vhd\\unsigned.vhd":1363694328
|
||||
#CUR:"C:\\users\\matze\\documents\\github\\68030tk\\logic\\68030-68000-bus.vhd":1400187757
|
||||
#CUR:"C:\\users\\matze\\documents\\github\\68030tk\\logic\\68030-68000-bus.vhd":1400252819
|
||||
0 "C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd" vhdl
|
||||
|
||||
# Dependency Lists (Uses list)
|
||||
|
|
Binary file not shown.
|
@ -1,14 +1,17 @@
|
|||
@N: CD630 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":6:7:6:14|Synthesizing work.bus68030.behavioral
|
||||
@W: CD638 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":106:7:106:15|Signal clk_030_d is undriven
|
||||
@W: CD638 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":105:7:105:15|Signal clk_030_d is undriven
|
||||
Post processing for work.bus68030.behavioral
|
||||
@W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":176:2:176:3|Pruning register CLK_REF(1 downto 0)
|
||||
@W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":108:32:108:34|Pruning register cpu_est_d(3 downto 0)
|
||||
@W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":108:32:108:34|Pruning register CLK_000_CNT(3 downto 0)
|
||||
@W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":111:32:111:34|Pruning register cpu_est_d(3 downto 0)
|
||||
@W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":110:32:110:34|Pruning register CLK_000_D5
|
||||
@W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":109:32:109:34|Pruning register CLK_000_D4
|
||||
@W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":108:32:108:34|Pruning register CLK_000_D3
|
||||
@W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":107:32:107:34|Pruning register CLK_000_D2
|
||||
@W: CL190 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":102:52:102:55|Optimizing register bit DSACK_INT(0) to a constant 1
|
||||
@W: CL260 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":102:52:102:55|Pruning register bit 0 of DSACK_INT(1 downto 0)
|
||||
@W: CL189 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":117:2:117:3|Register bit CLK_CNT(1) is always 0, optimizing ...
|
||||
@W: CL260 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":117:2:117:3|Pruning register bit 1 of CLK_CNT(1 downto 0)
|
||||
@N: CL201 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":108:32:108:34|Trying to extract state machine for register cpu_est
|
||||
@W: CL189 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":120:2:120:3|Register bit CLK_CNT(1) is always 0, optimizing ...
|
||||
@W: CL260 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":120:2:120:3|Pruning register bit 1 of CLK_CNT(1 downto 0)
|
||||
@N: CL201 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":111:32:111:34|Trying to extract state machine for register cpu_est
|
||||
@N: CL201 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":176:2:176:3|Trying to extract state machine for register SM_AMIGA
|
||||
Extracted state machine for register SM_AMIGA
|
||||
State machine has 8 reachable states with original encodings of:
|
||||
|
|
Loading…
Reference in New Issue