another update after discussion on tinkerdifferent

This commit is contained in:
Romain Dolbeau 2022-01-09 17:57:34 +01:00
parent b664739ba2
commit 6e18af0035
30 changed files with 44807 additions and 31582 deletions

View File

@ -1,42 +1,49 @@
NET "fpga_to_cpld_clk" LOC = "S:PIN1";
NET "tmoen" LOC = "S:PIN23";
NET "fpga_to_cpld_signal" LOC = "S:PIN39";
NET "rqst_o" LOC = "S:PIN43";
NET "rqst_n_5v" LOC = "S:PIN40";
NET "rqst_n_3v3" LOC = "S:PIN36";
#PINLOCK_BEGIN
#Fri Dec 17 09:49:34 2021
#Sun Jan 9 15:29:44 2022
NET "arb" LOC = "S:PIN28";
NET "clk_n_5v" LOC = "S:PIN44";
NET "id_n_5v<0>" LOC = "S:PIN5";
NET "id_n_5v<1>" LOC = "S:PIN6";
NET "id_n_5v<2>" LOC = "S:PIN7";
NET "arb" LOC = "S:PIN56";
NET "arb_n_5v<0>" LOC = "S:PIN33";
NET "arb_n_5v<1>" LOC = "S:PIN35";
NET "arb_n_5v<2>" LOC = "S:PIN27";
NET "arb_n_5v<3>" LOC = "S:PIN24";
NET "clk_n_5v" LOC = "S:PIN16";
NET "clk2x_n_5v" LOC = "S:PIN17";
NET "fpga_to_cpld_clk" LOC = "S:PIN15";
NET "fpga_to_cpld_signal" LOC = "S:PIN6";
NET "id_n_5v<0>" LOC = "S:PIN20";
NET "id_n_5v<1>" LOC = "S:PIN19";
NET "id_n_5v<2>" LOC = "S:PIN18";
NET "id_n_5v<3>" LOC = "S:PIN8";
NET "nubus_master_dir" LOC = "S:PIN22";
NET "nubus_oe" LOC = "S:PIN19";
NET "reset_n_5v" LOC = "S:PIN18";
NET "arb_n_5v<3>" LOC = "S:PIN16";
NET "arb_n_5v<2>" LOC = "S:PIN14";
NET "arb_n_5v<1>" LOC = "S:PIN13";
NET "arb_n_5v<0>" LOC = "S:PIN12";
NET "ack_n_3v3" LOC = "S:PIN42";
NET "ack_n_5v" LOC = "S:PIN3";
NET "clk_n_3v3" LOC = "S:PIN20";
NET "grant" LOC = "S:PIN27";
NET "id_n_3v3<0>" LOC = "S:PIN29";
NET "id_n_3v3<1>" LOC = "S:PIN30";
NET "id_n_3v3<2>" LOC = "S:PIN31";
NET "id_n_3v3<3>" LOC = "S:PIN32";
NET "reset_n_3v3" LOC = "S:PIN21";
NET "start_n_3v3" LOC = "S:PIN41";
NET "start_n_5v" LOC = "S:PIN2";
NET "tm0_n_3v3" LOC = "S:PIN33";
NET "tm0_n_5v" LOC = "S:PIN37";
NET "tm1_n_3v3" LOC = "S:PIN34";
NET "tm1_n_5v" LOC = "S:PIN38";
NET "nubus_master_dir" LOC = "S:PIN49";
NET "nubus_oe" LOC = "S:PIN46";
NET "reset_n_5v" LOC = "S:PIN45";
NET "rqst_n_5v" LOC = "S:PIN10";
NET "tmoen" LOC = "S:PIN51";
NET "ack_n_3v3" LOC = "S:PIN5";
NET "ack_n_5v" LOC = "S:PIN11";
NET "arb_o<0>" LOC = "S:PIN34";
NET "arb_o<1>" LOC = "S:PIN36";
NET "arb_o<2>" LOC = "S:PIN32";
NET "arb_o<3>" LOC = "S:PIN31";
NET "clk_n_3v3" LOC = "S:PIN47";
NET "clk2x_n_3v3" LOC = "S:PIN60";
NET "fpga_to_cpld_signal_2" LOC = "S:PIN50";
NET "grant" LOC = "S:PIN57";
NET "id_n_3v3<0>" LOC = "S:PIN61";
NET "id_n_3v3<1>" LOC = "S:PIN62";
NET "id_n_3v3<2>" LOC = "S:PIN63";
NET "id_n_3v3<3>" LOC = "S:PIN64";
NET "reset_n_3v3" LOC = "S:PIN48";
NET "rqst_n_3v3" LOC = "S:PIN4";
NET "rqst_o" LOC = "S:PIN9";
NET "start_n_3v3" LOC = "S:PIN7";
NET "start_n_5v" LOC = "S:PIN12";
NET "tm0_n_3v3" LOC = "S:PIN2";
NET "tm0_n_5v" LOC = "S:PIN44";
NET "tm1_n_3v3" LOC = "S:PIN1";
NET "tm1_n_5v" LOC = "S:PIN43";
NET "tm2_n_3v3" LOC = "S:PIN59";
NET "tm2_n_5v" LOC = "S:PIN22";
#PINLOCK_END

View File

@ -1,10 +1,12 @@
module nubus_cpld
(
input fpga_to_cpld_clk, // unused (extra line from FPGA to CPLD, pin is a clk input)
input fpga_to_cpld_signal, // unused (extra line from FPGA to CPLD)
inout fpga_to_cpld_signal, // unused (extra line from FPGA to CPLD)
inout fpga_to_cpld_signal_2, // unused (extra line from FPGA to CPLD)
input tmoen,
input [3:0] id_n_5v, // ID of this card
inout [3:0] arb_n_5v, // NuBus arbiter's lines
input [3:0] arb_n_5v, // NuBus arbiter's lines
output [3:0] arb_o_n, // NuBus arbiter's control lines
input arb, // enable arbitter
output grant, // Grant access
input reset_n_5v, // reset from NuBus, forwarded
@ -12,20 +14,30 @@ module nubus_cpld
output reset_n_3v3, // nubus reset to FPGA
input nubus_master_dir, // direction of signals, i.e. are we in master mode
output clk_n_3v3, // nubus clk to FPGA
output clk2x_n_3v3, // nubus90 clk to FPGA
output [3:0] id_n_3v3, // nubus ID of this card to FPGA
inout tm0_n_3v3, // nubus tm0 to/from FPGA
inout tm1_n_3v3, // nubus tm1 to/from FPGA
inout tm2_n_3v3, // nubus tm2 to/from FPGA
inout tm0_n_5v, // tm0 from/to NuBus
inout tm1_n_5v, // tm1 from/to NuBus
inout tm2_n_5v, // tm2 from/to NuBus
input clk_n_5v, // clk from NuBus
input clk2x_n_5v, // clk from NuBus90
inout start_n_3v3, // start to/from FPGA
inout ack_n_3v3, // ack from/to FPGA
inout start_n_5v, // start from/to NuBus
inout ack_n_5v, // ack to/from NuBus
input rqst_n_5v,
inout rqst_n_3v3,
output rqst_o
output rqst_o_n
);
// placeholder to make pretend we use the signals
assign fpga_to_cpld_signal_2 = fpga_to_cpld_signal ^ fpga_to_cpld_clk;
// placeholders
assign clk2x_n_3v3 = clk2x_n_5v;
assign tm2_n_3v3 = tm2_n_5v;
// clock and pure in -> out pass_through are always on
assign clk_n_3v3 = clk_n_5v;
@ -37,7 +49,7 @@ module nubus_cpld
assign start_n_3v3 = nubus_oe ? 'bZ : (~nubus_master_dir ? start_n_5v : 'bZ); // master in
// rqst_o is always driven and is active high
assign rqst_o = nubus_oe ? 'b0 : ( nubus_master_dir ? ~rqst_n_3v3 : 'b0); // master out
assign rqst_o_n = nubus_oe ? 'b1 : ( nubus_master_dir ? rqst_n_3v3 : 'b1); // master out
assign rqst_n_3v3 = nubus_oe ? 'bZ : (~nubus_master_dir ? rqst_n_5v : 'bZ); // master in
assign ack_n_5v = nubus_oe ? 'bZ : ((nubus_master_dir ^ ~tmoen) ? ack_n_3v3 : 'bZ); // slave out/in
@ -51,6 +63,7 @@ module nubus_cpld
(
.idn(id_n_5v),
.arbn(arb_n_5v),
.arbon(arb_o_n),
.arbcyn(arb),
.grant(grant)
);

View File

@ -600,118 +600,10 @@ F 3 "" H 700 6600 50 0001 C CNN
$EndComp
Text GLabel 1850 6400 2 60 Input ~ 12
LED1
Wire Wire Line
850 6900 700 6900
Wire Wire Line
700 6900 700 7100
Wire Wire Line
1150 6900 1550 6900
$Comp
L Device:R R?
U 1 1 61B60A5F
P 1700 6900
AR Path="/5F6B165A/61B60A5F" Ref="R?" Part="1"
AR Path="/5F67E4B9/61B60A5F" Ref="R?" Part="1"
AR Path="/618E8C75/61B60A5F" Ref="R9" Part="1"
F 0 "R9" V 1780 6900 50 0000 C CNN
F 1 "549" V 1700 6900 50 0000 C CNN
F 2 "Resistor_SMD:R_0603_1608Metric" V 1630 6900 50 0001 C CNN
F 3 "" H 1700 6900 50 0000 C CNN
F 4 "0603WAF5490T5E" V 1700 6900 50 0001 C CNN "MPN"
F 5 "https://lcsc.com/product-detail/Chip-Resistor-Surface-Mount_UNI-ROYAL-Uniroyal-Elec-0603WAF5490T5E_C23079.html" V 1700 6900 50 0001 C CNN "URL"
1 1700 6900
0 1 1 0
$EndComp
$Comp
L Device:LED_ALT D?
U 1 1 61B60A6F
P 1000 6900
AR Path="/5F6B165A/61B60A6F" Ref="D?" Part="1"
AR Path="/5F67E4B9/61B60A6F" Ref="D?" Part="1"
AR Path="/618E8C75/61B60A6F" Ref="D4" Part="1"
F 0 "D4" H 1000 7000 50 0000 C CNN
F 1 "RED" H 1050 6750 50 0000 R CNN
F 2 "LED_SMD:LED_0805_2012Metric" H 1000 6900 50 0001 C CNN
F 3 "https://optoelectronics.liteon.com/upload/download/DS-22-99-0150/LTST-C170KRKT.pdf" H 1000 6900 50 0001 C CNN
F 4 "www.liteon.com" H 1000 6900 60 0001 C CNN "MNF1_URL"
F 5 "LTST-C170KRKT" H 1000 6900 60 0001 C CNN "MPN"
F 6 "https://www.lcsc.com/product-detail/Light-Emitting-Diodes-LED_Lite-On-LTST-C170KRKT_C94868.html" H 1000 6900 50 0001 C CNN "URL"
1 1000 6900
1 0 0 -1
$EndComp
$Comp
L power:GND #PWR0177
U 1 1 61B60A76
P 700 7100
F 0 "#PWR0177" H 700 6850 50 0001 C CNN
F 1 "GND" H 705 6927 50 0000 C CNN
F 2 "" H 700 7100 50 0001 C CNN
F 3 "" H 700 7100 50 0001 C CNN
1 700 7100
1 0 0 -1
$EndComp
Text GLabel 1850 6900 2 60 Input ~ 12
LED2
Wire Wire Line
850 7400 700 7400
Wire Wire Line
700 7400 700 7600
Wire Wire Line
1150 7400 1550 7400
$Comp
L Device:R R?
U 1 1 61B62352
P 1700 7400
AR Path="/5F6B165A/61B62352" Ref="R?" Part="1"
AR Path="/5F67E4B9/61B62352" Ref="R?" Part="1"
AR Path="/618E8C75/61B62352" Ref="R10" Part="1"
F 0 "R10" V 1780 7400 50 0000 C CNN
F 1 "549" V 1700 7400 50 0000 C CNN
F 2 "Resistor_SMD:R_0603_1608Metric" V 1630 7400 50 0001 C CNN
F 3 "" H 1700 7400 50 0000 C CNN
F 4 "0603WAF5490T5E" V 1700 7400 50 0001 C CNN "MPN"
F 5 "https://lcsc.com/product-detail/Chip-Resistor-Surface-Mount_UNI-ROYAL-Uniroyal-Elec-0603WAF5490T5E_C23079.html" V 1700 7400 50 0001 C CNN "URL"
1 1700 7400
0 1 1 0
$EndComp
$Comp
L Device:LED_ALT D?
U 1 1 61B62362
P 1000 7400
AR Path="/5F6B165A/61B62362" Ref="D?" Part="1"
AR Path="/5F67E4B9/61B62362" Ref="D?" Part="1"
AR Path="/618E8C75/61B62362" Ref="D5" Part="1"
F 0 "D5" H 1000 7500 50 0000 C CNN
F 1 "RED" H 1050 7250 50 0000 R CNN
F 2 "LED_SMD:LED_0805_2012Metric" H 1000 7400 50 0001 C CNN
F 3 "https://optoelectronics.liteon.com/upload/download/DS-22-99-0150/LTST-C170KRKT.pdf" H 1000 7400 50 0001 C CNN
F 4 "www.liteon.com" H 1000 7400 60 0001 C CNN "MNF1_URL"
F 5 "LTST-C170KRKT" H 1000 7400 60 0001 C CNN "MPN"
F 6 "https://www.lcsc.com/product-detail/Light-Emitting-Diodes-LED_Lite-On-LTST-C170KRKT_C94868.html" H 1000 7400 50 0001 C CNN "URL"
1 1000 7400
1 0 0 -1
$EndComp
$Comp
L power:GND #PWR0178
U 1 1 61B62369
P 700 7600
F 0 "#PWR0178" H 700 7350 50 0001 C CNN
F 1 "GND" H 705 7427 50 0000 C CNN
F 2 "" H 700 7600 50 0001 C CNN
F 3 "" H 700 7600 50 0001 C CNN
1 700 7600
1 0 0 -1
$EndComp
Text GLabel 1850 7400 2 60 Input ~ 12
LED3
Text GLabel 9900 1650 2 60 Input ~ 12
LED0
Text GLabel 9900 1750 2 60 Input ~ 12
LED1
Text GLabel 9900 1850 2 60 Input ~ 12
LED2
Text GLabel 9900 1950 2 60 Input ~ 12
LED3
Text GLabel 1600 4050 0 50 Input ~ 0
USBH0_D+
Text GLabel 4100 4050 2 50 Input ~ 0
@ -913,8 +805,18 @@ $EndComp
Text Notes 1200 5650 0 50 ~ 0
User LEDS
Text GLabel 4100 1350 2 50 Input ~ 0
NMRQ
~NMRQ_3V3
Text Notes 5700 550 2 50 ~ 0
design use NMRQ (active high) instead
NoConn ~ 4750 650
Text GLabel 4100 1850 2 39 Input ~ 8
fpga_to_cpld_signal_2
Text GLabel 12450 1800 2 60 Input ~ 12
LED3
Text GLabel 12450 1700 2 60 Input ~ 12
LED2
Text GLabel 9900 1950 2 50 Input ~ 0
~TM2_3V3
Text GLabel 9900 1850 2 50 Input ~ 0
~CLK2X_3V3
$EndSCHEMATC

View File

@ -1,12 +1,12 @@
G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,5.0.2+dfsg1-1~bpo9+1*
G04 #@! TF.CreationDate,2022-01-09T11:10:32+01:00*
G04 #@! TF.CreationDate,2022-01-09T17:54:42+01:00*
G04 #@! TF.ProjectId,nubus-to-ztex,6e756275-732d-4746-9f2d-7a7465782e6b,rev?*
G04 #@! TF.SameCoordinates,Original*
G04 #@! TF.FileFunction,Copper,L4,Bot*
G04 #@! TF.FilePolarity,Positive*
%FSLAX46Y46*%
G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)*
G04 Created by KiCad (PCBNEW 5.0.2+dfsg1-1~bpo9+1) date Sun Jan 9 11:10:32 2022*
G04 Created by KiCad (PCBNEW 5.0.2+dfsg1-1~bpo9+1) date Sun Jan 9 17:54:42 2022*
%MOMM*%
%LPD*%
G01*
@ -261,13 +261,13 @@ G04 #@! TO.P,JCD1,15*
G04 #@! TO.N,HDMI_SDA_A*
X147780000Y-30000000D03*
G04 #@! TO.P,JCD1,16*
G04 #@! TO.N,LED2*
G04 #@! TO.N,~CLK2X_3V3*
X147780000Y-27460000D03*
G04 #@! TO.P,JCD1,17*
G04 #@! TO.N,HDMI_SCL_A*
X150320000Y-30000000D03*
G04 #@! TO.P,JCD1,18*
G04 #@! TO.N,LED3*
G04 #@! TO.N,~TM2_3V3*
X150320000Y-27460000D03*
G04 #@! TO.P,JCD1,19*
G04 #@! TO.N,HDMI_CEC_A*
@ -1025,7 +1025,7 @@ G04 #@! TO.P,JAB1,17*
G04 #@! TO.N,~RESET_3V3*
X150320000Y-61750000D03*
G04 #@! TO.P,JAB1,16*
G04 #@! TO.N,Net-(JAB1-Pad16)*
G04 #@! TO.N,fpga_to_cpld_signal_2*
X147780000Y-59210000D03*
G04 #@! TO.P,JAB1,15*
G04 #@! TO.N,NUBUS_MASTER_DIR*
@ -1055,7 +1055,7 @@ G04 #@! TO.P,JAB1,7*
G04 #@! TO.N,~TM0_3V3*
X137620000Y-61750000D03*
G04 #@! TO.P,JAB1,6*
G04 #@! TO.N,NMRQ*
G04 #@! TO.N,~NMRQ_3V3*
X135080000Y-59210000D03*
G04 #@! TO.P,JAB1,5*
G04 #@! TO.N,~RQST_3V3*
@ -1074,6 +1074,7 @@ X130000000Y-61750000D03*
G04 #@! TD*
D25*
G04 #@! TO.N,GND*
X137200000Y-72100000D03*
X255250000Y-27250000D03*
X256220000Y-61875000D03*
X255500000Y-58625000D03*
@ -1087,11 +1088,7 @@ X141650000Y-14352500D03*
X255040000Y-53812500D03*
X246510000Y-58625000D03*
X242870000Y-30170000D03*
X141900000Y-67700000D03*
X224140000Y-96000000D03*
X143700000Y-76600000D03*
X144900000Y-80500000D03*
X138600000Y-71900000D03*
X168820000Y-55760000D03*
X168357500Y-79750000D03*
X155357500Y-79750000D03*
@ -1101,9 +1098,7 @@ X163000000Y-71000000D03*
X188000000Y-71000000D03*
X176500000Y-71000000D03*
X149900000Y-71000000D03*
X134300000Y-83680000D03*
X127100000Y-70212500D03*
X128400000Y-77100000D03*
X256000000Y-33000000D03*
X256000000Y-31250000D03*
X248670000Y-34670001D03*
@ -1116,21 +1111,29 @@ X251500000Y-23100000D03*
X248840000Y-40287500D03*
X237972500Y-37170000D03*
X252380000Y-61875000D03*
X112250000Y-92712500D03*
X112250000Y-97950000D03*
X117250000Y-92000000D03*
X117250000Y-84250000D03*
X140550000Y-75950000D03*
X125750000Y-73500000D03*
X129000000Y-78950000D03*
X146500000Y-80800000D03*
X135152523Y-83900000D03*
X141830000Y-66660000D03*
X137500000Y-89312500D03*
X145300000Y-90050000D03*
X148200000Y-86800000D03*
X139300000Y-87450000D03*
X145400000Y-88100000D03*
X117500000Y-90800000D03*
X117500000Y-88850000D03*
X116500000Y-85500000D03*
X114500000Y-88200000D03*
G04 #@! TO.N,+3V3*
X246800000Y-26300000D03*
X186750000Y-73687500D03*
X175300000Y-73700000D03*
X148750000Y-73687500D03*
X161750000Y-73687500D03*
X129050000Y-73000000D03*
X257550000Y-55387500D03*
X139500000Y-66400000D03*
X245550000Y-57975000D03*
X147000000Y-77812500D03*
X248080000Y-63750000D03*
X252000000Y-31250000D03*
X252000000Y-33000000D03*
@ -1139,44 +1142,58 @@ X256000000Y-22250000D03*
X241210000Y-35980000D03*
X118665134Y-45500000D03*
X118665134Y-39500000D03*
X117503601Y-58400000D03*
X200600000Y-82787500D03*
X222537500Y-59000000D03*
X160287500Y-20250000D03*
X126300000Y-74112500D03*
X117503601Y-52370000D03*
X117503601Y-57330000D03*
X117503601Y-60080000D03*
X127250000Y-71787500D03*
X120925000Y-77250000D03*
X136950000Y-80500000D03*
X143500000Y-77950000D03*
X136727523Y-83900000D03*
X141100000Y-65220000D03*
X137500000Y-90887500D03*
X113050000Y-86000000D03*
X120500000Y-85500000D03*
G04 #@! TO.N,+5V*
X253850000Y-87660000D03*
X246230000Y-61872500D03*
X137447842Y-88000000D03*
X135647842Y-88000000D03*
X133847842Y-88000000D03*
X139171568Y-88014972D03*
X131697842Y-88000000D03*
X129897842Y-88000000D03*
X128097842Y-88000000D03*
X133421568Y-88014972D03*
X220840000Y-97970000D03*
G04 #@! TO.N,~RESET_5V*
X143600000Y-75500000D03*
X145750000Y-74250000D03*
G04 #@! TO.N,~TM1_5V*
X132000000Y-75400000D03*
X143750000Y-75330000D03*
G04 #@! TO.N,~TM2_5V*
X134960000Y-80370000D03*
G04 #@! TO.N,~TM0_5V*
X133100000Y-74600000D03*
X144750000Y-74780000D03*
G04 #@! TO.N,~ID3_3V3*
X125500000Y-38077042D03*
X125600000Y-38077042D03*
G04 #@! TO.N,~ID2_3V3*
X125500000Y-41043708D03*
X125600000Y-41043708D03*
G04 #@! TO.N,~ID1_3V3*
X125500000Y-44010374D03*
X125600000Y-44010374D03*
G04 #@! TO.N,~ID0_3V3*
X125500000Y-46977042D03*
X125600000Y-46977042D03*
G04 #@! TO.N,~CLK2X_5V*
X132920000Y-80370000D03*
G04 #@! TO.N,~START_3V3*
X131900000Y-77800000D03*
X132600000Y-75600000D03*
G04 #@! TO.N,~ACK_3V3*
X128900000Y-78600000D03*
X124800000Y-60144020D03*
X134000000Y-73600000D03*
X125750000Y-52344020D03*
G04 #@! TO.N,~TM0_3V3*
X132100000Y-67700000D03*
X123800000Y-53930000D03*
X126000000Y-57330000D03*
G04 #@! TO.N,~TM1_3V3*
X130100000Y-69100000D03*
X123800000Y-56680000D03*
X126000000Y-60080000D03*
G04 #@! TO.N,FPGA_VGA_HS*
X251850000Y-34730000D03*
G04 #@! TO.N,FPGA_VGA_VS*
@ -1185,22 +1202,23 @@ G04 #@! TO.N,HDMI_5V*
X255920000Y-63320000D03*
X260760000Y-65782500D03*
G04 #@! TO.N,ARB*
X153250000Y-18750000D03*
X153300000Y-18750000D03*
G04 #@! TO.N,NUBUS_AD_DIR*
X148500000Y-78500000D03*
X146750000Y-74750000D03*
G04 #@! TO.N,CPLD_JTAG_TDI*
X134900000Y-78100000D03*
X137700000Y-77400000D03*
G04 #@! TO.N,CPLD_JTAG_TDO*
X136200000Y-77933333D03*
X139400000Y-73150000D03*
X138450000Y-78400000D03*
G04 #@! TO.N,CPLD_JTAG_TMS*
X137900000Y-80200000D03*
X138450000Y-80400000D03*
G04 #@! TO.N,CPLD_JTAG_TCK*
X137300000Y-79066666D03*
X139200000Y-79390000D03*
G04 #@! TO.N,fpga_to_cpld_clk*
X127000000Y-80900000D03*
G04 #@! TO.N,GRANT*
X153250000Y-22000000D03*
X153300000Y-22000000D03*
G04 #@! TO.N,fpga_to_cpld_signal*
X136900000Y-72800000D03*
X136000000Y-73250000D03*
G04 #@! TD*
D26*
G04 #@! TO.N,/B2B/JTAG_VIO*
@ -1213,108 +1231,132 @@ X209589999Y-60900001D01*
X228230000Y-29500000D02*
X222921399Y-34808601D01*
G04 #@! TO.N,~RESET_5V*
X190843601Y-94438271D02*
X177655330Y-81250000D01*
X200000000Y-94920000D02*
X198463601Y-96456399D01*
X190843601Y-95401729D02*
X190843601Y-94438271D01*
X198463601Y-96456399D02*
X191898271Y-96456399D01*
X191898271Y-96456399D02*
X190843601Y-95401729D01*
X149350000Y-81250000D02*
X143600000Y-75500000D01*
X177655330Y-81250000D02*
X149350000Y-81250000D01*
X199225001Y-94145001D02*
X200000000Y-94920000D01*
X181229999Y-76149999D02*
X199225001Y-94145001D01*
X145750000Y-74815685D02*
X147084314Y-76149999D01*
X147084314Y-76149999D02*
X181229999Y-76149999D01*
X145750000Y-74250000D02*
X145750000Y-74815685D01*
G04 #@! TO.N,~TM1_5V*
X189840000Y-100000000D02*
X189065001Y-99225001D02*
X189840000Y-100000000D01*
X188836399Y-94971069D02*
X188836399Y-98996399D01*
X188836399Y-98996399D02*
X188836399Y-94536399D01*
X188836399Y-94536399D02*
X176404810Y-82104810D01*
X176404810Y-82104810D02*
X143400000Y-82104810D01*
X136695190Y-75400000D02*
X132000000Y-75400000D01*
X143400000Y-82104810D02*
X136695190Y-75400000D01*
X189065001Y-99225001D01*
X177115330Y-83250000D02*
X188836399Y-94971069D01*
X143750000Y-75895685D02*
X151104315Y-83250000D01*
X143750000Y-75330000D02*
X143750000Y-75895685D01*
X152250000Y-83250000D02*
X177115330Y-83250000D01*
X151104315Y-83250000D02*
X152250000Y-83250000D01*
G04 #@! TO.N,~TM2_5V*
X134960000Y-80935685D02*
X138924315Y-84900000D01*
X134960000Y-80370000D02*
X134960000Y-80935685D01*
X181445001Y-96685001D02*
X182220000Y-97460000D01*
X181216399Y-96456399D02*
X181445001Y-96685001D01*
X181216399Y-95016399D02*
X181216399Y-96456399D01*
X171100000Y-84900000D02*
X181216399Y-95016399D01*
X138924315Y-84900000D02*
X171100000Y-84900000D01*
G04 #@! TO.N,~TM0_5V*
X189840000Y-94920000D02*
X176720000Y-81800000D01*
X176720000Y-81800000D02*
X143700000Y-81800000D01*
X136500000Y-74600000D02*
X133100000Y-74600000D01*
X143700000Y-81800000D02*
X136500000Y-74600000D01*
X172243899Y-77323899D02*
X189065001Y-94145001D01*
X189065001Y-94145001D02*
X189840000Y-94920000D01*
X147293899Y-77323899D02*
X148000000Y-77323899D01*
X144750000Y-74780000D02*
X147293899Y-77323899D01*
X148000000Y-77323899D02*
X172243899Y-77323899D01*
G04 #@! TO.N,~ID3_3V3*
X129542958Y-38077042D02*
X125500000Y-38077042D01*
X137620000Y-30000000D02*
X137620000Y-30000000D01*
X125600000Y-38077042D02*
X129542958Y-38077042D01*
G04 #@! TO.N,~ID2_3V3*
X138469999Y-28309999D02*
X137620000Y-27460000D01*
X138698601Y-28538601D02*
X138469999Y-28309999D01*
X138698601Y-30517729D02*
X138698601Y-28538601D01*
X125600000Y-41043708D02*
X128172622Y-41043708D01*
X128172622Y-41043708D02*
X138698601Y-30517729D01*
X125500000Y-41043708D02*
X128172622Y-41043708D01*
X138698601Y-30517729D02*
X138698601Y-28538601D01*
X138698601Y-28538601D02*
X138469999Y-28309999D01*
G04 #@! TO.N,~ID1_3V3*
X139081399Y-28538601D02*
X139310001Y-28309999D01*
X139081399Y-32674931D02*
X139081399Y-30565997D02*
X139081399Y-28538601D01*
X126037021Y-43610375D02*
X139081399Y-30565997D01*
X125999999Y-43610375D02*
X126037021Y-43610375D01*
X139310001Y-28309999D02*
X140160000Y-27460000D01*
X127745956Y-44010374D02*
X139081399Y-32674931D01*
X125500000Y-44010374D02*
X127745956Y-44010374D01*
X125600000Y-44010374D02*
X125999999Y-43610375D01*
G04 #@! TO.N,~ID0_3V3*
X125899999Y-46577043D02*
X125500000Y-46977042D01*
X139386209Y-33090833D02*
X125899999Y-46577043D01*
X139386209Y-31975872D02*
X139386209Y-33090833D01*
X140160000Y-31202081D02*
X139386209Y-31975872D01*
X140160000Y-30000000D02*
X140160000Y-31202081D01*
X140160000Y-32417042D02*
X140160000Y-30000000D01*
X125600000Y-46977042D02*
X140160000Y-32417042D01*
G04 #@! TO.N,~CLK2X_5V*
X132920000Y-80370000D02*
X132920000Y-83920000D01*
X132920000Y-83920000D02*
X135300000Y-86300000D01*
X140805001Y-96685001D02*
X141580000Y-97460000D01*
X140576399Y-96456399D02*
X140805001Y-96685001D01*
X139056399Y-96456399D02*
X140576399Y-96456399D01*
X138036399Y-95436399D02*
X139056399Y-96456399D01*
X138036399Y-94971069D02*
X138036399Y-95436399D01*
X135300000Y-92234670D02*
X138036399Y-94971069D01*
X135300000Y-86300000D02*
X135300000Y-92234670D01*
G04 #@! TO.N,~START_3V3*
X140160000Y-61750000D02*
X131171399Y-70738601D01*
X131171399Y-70738601D02*
X131171399Y-77071399D01*
X131171399Y-77071399D02*
X131900000Y-77800000D01*
X132600000Y-69310000D02*
X140160000Y-61750000D01*
X132600000Y-75600000D02*
X132600000Y-69310000D01*
G04 #@! TO.N,~ACK_3V3*
X140160000Y-59210000D02*
X139081399Y-60288601D01*
X139081399Y-60288601D02*
X139081399Y-61884931D01*
X139081399Y-61884931D02*
X130866589Y-70099741D01*
X130866589Y-76633411D02*
X130866589Y-70099741D01*
X128900000Y-78600000D02*
X130866589Y-76633411D01*
X124800000Y-60144020D02*
X124800000Y-63769670D01*
X124800000Y-63769670D02*
X128400000Y-67369670D01*
X129099741Y-70099741D02*
X130866589Y-70099741D01*
X128400000Y-67369670D02*
X128400000Y-69400000D01*
X128400000Y-69400000D02*
X129099741Y-70099741D01*
X141009999Y-60059999D02*
X140160000Y-59210000D01*
X141238601Y-63731069D02*
X141238601Y-60288601D01*
X141238601Y-60288601D02*
X141009999Y-60059999D01*
X134000000Y-70969670D02*
X141238601Y-63731069D01*
X134000000Y-73600000D02*
X134000000Y-70969670D01*
X133294020Y-52344020D02*
X140160000Y-59210000D01*
X125750000Y-52344020D02*
X133294020Y-52344020D01*
G04 #@! TO.N,~TM0_3V3*
X137620000Y-62180000D02*
X137620000Y-61750000D01*
@ -1322,14 +1364,14 @@ X132100000Y-67700000D02*
X137620000Y-62180000D01*
X138469999Y-60900001D02*
X137620000Y-61750000D01*
X138698601Y-58692271D02*
X138698601Y-60671399D01*
X133936330Y-53930000D02*
X138698601Y-58692271D01*
X123800000Y-53930000D02*
X133936330Y-53930000D01*
X138698601Y-60671399D02*
X138469999Y-60900001D01*
X138698601Y-58692271D02*
X138698601Y-60671399D01*
X137336330Y-57330000D02*
X138698601Y-58692271D01*
X126000000Y-57330000D02*
X137336330Y-57330000D01*
G04 #@! TO.N,~TM1_3V3*
X136541399Y-61898271D02*
X130100000Y-68339670D01*
@ -1339,12 +1381,14 @@ X137620000Y-59210000D02*
X136541399Y-60288601D01*
X130100000Y-68339670D02*
X130100000Y-69100000D01*
X135090000Y-56680000D02*
X136770001Y-58360001D01*
X136770001Y-58360001D02*
X137620000Y-59210000D01*
X123800000Y-56680000D02*
X135090000Y-56680000D01*
X136541399Y-58131399D02*
X136770001Y-58360001D01*
X127948601Y-58131399D02*
X136541399Y-58131399D01*
X126000000Y-60080000D02*
X127948601Y-58131399D01*
G04 #@! TO.N,FPGA_VGA_HS*
X154273700Y-26046300D02*
X152860000Y-27460000D01*
@ -1372,79 +1416,79 @@ X156249999Y-26610001D01*
X156508600Y-26351400D02*
X156430000Y-26430000D01*
G04 #@! TO.N,ARB*
X152850001Y-19149999D02*
X153250000Y-18750000D01*
X151476589Y-20523411D02*
X152850001Y-19149999D01*
X151476589Y-30439741D02*
X151476589Y-20523411D01*
X142700000Y-39216330D02*
X151476589Y-30439741D01*
X142700000Y-59210000D02*
X142700000Y-39216330D01*
X143549999Y-58360001D02*
X142700000Y-59210000D01*
X149241399Y-52668601D02*
X143549999Y-58360001D01*
X149241399Y-22808601D02*
X149241399Y-52668601D01*
X153300000Y-18750000D02*
X149241399Y-22808601D01*
G04 #@! TO.N,NUBUS_AD_DIR*
X147500000Y-74750000D02*
X148500000Y-75750000D01*
X148500000Y-75750000D02*
X148500000Y-78500000D01*
X147500000Y-72500000D02*
X147500000Y-74750000D01*
X149470001Y-60059999D02*
X150320000Y-59210000D01*
X149241399Y-60288601D02*
X149470001Y-60059999D01*
X149241399Y-70758601D02*
X149241399Y-60288601D01*
X147500000Y-72500000D02*
X149241399Y-70758601D01*
X149241399Y-70758601D02*
X149241399Y-72258601D01*
X149241399Y-72258601D02*
X146750000Y-74750000D01*
G04 #@! TO.N,CPLD_JTAG_TDI*
X133771399Y-79228601D02*
X134900000Y-78100000D01*
X115696399Y-68896399D02*
X117596399Y-68896399D01*
X114600000Y-67800000D02*
X115696399Y-68896399D01*
X127928601Y-79228601D02*
X133771399Y-79228601D01*
X117596399Y-68896399D02*
X127928601Y-79228601D01*
X126428601Y-77728601D02*
X117596399Y-68896399D01*
X129030330Y-77400000D02*
X128701729Y-77728601D01*
X128701729Y-77728601D02*
X126428601Y-77728601D01*
X137700000Y-77400000D02*
X129030330Y-77400000D01*
G04 #@! TO.N,CPLD_JTAG_TDO*
X134599923Y-79533410D02*
X136200000Y-77933333D01*
X134599923Y-79533410D02*
X127133410Y-79533410D01*
X115274999Y-70474999D02*
X114600000Y-69800000D01*
X115503601Y-70703601D02*
X115274999Y-70474999D01*
X118303601Y-70703601D02*
X114600000Y-69800000D01*
X118453601Y-70703601D02*
X115503601Y-70703601D01*
X127133410Y-79533410D02*
X118303601Y-70703601D01*
X137884315Y-78400000D02*
X137805714Y-78321399D01*
X137805714Y-78321399D02*
X126071399Y-78321399D01*
X138450000Y-78400000D02*
X137884315Y-78400000D01*
X126071399Y-78321399D02*
X118453601Y-70703601D01*
G04 #@! TO.N,CPLD_JTAG_TMS*
X115274999Y-74474999D02*
X114600000Y-73800000D01*
X137900000Y-80200000D02*
X125800000Y-80200000D01*
X125800000Y-80200000D02*
X120303601Y-74703601D01*
X115503601Y-74703601D02*
X115274999Y-74474999D01*
X120303601Y-74703601D02*
X114600000Y-73800000D02*
X115503601Y-74703601D01*
X115503601Y-74703601D02*
X120872535Y-74703601D01*
X120872535Y-74703601D02*
X126168934Y-80000000D01*
X129616586Y-79694810D02*
X129311396Y-80000000D01*
X129311396Y-80000000D02*
X126168934Y-80000000D01*
X137744810Y-79694810D02*
X129616586Y-79694810D01*
X138450000Y-80400000D02*
X137744810Y-79694810D01*
G04 #@! TO.N,CPLD_JTAG_TCK*
X115696399Y-72896399D02*
X114600000Y-71800000D01*
X119496399Y-72896399D02*
X114600000Y-71800000D02*
X115696399Y-72896399D01*
X137300000Y-79066666D02*
X135497733Y-79066666D01*
X135497733Y-79066666D02*
X134726179Y-79838220D01*
X134726179Y-79838220D02*
X126438220Y-79838220D01*
X126438220Y-79838220D02*
X115696399Y-72896399D02*
X119496399Y-72896399D01*
X126178601Y-79578601D02*
X119496399Y-72896399D01*
X129301729Y-79578601D02*
X126178601Y-79578601D01*
X129490330Y-79390000D02*
X129301729Y-79578601D01*
X139200000Y-79390000D02*
X129490330Y-79390000D01*
G04 #@! TO.N,FPGA_JTAG_TCK*
X206200000Y-47530000D02*
X224230000Y-29500000D01*
@ -1471,22 +1515,26 @@ X225555001Y-28825001D01*
X207340000Y-28600000D02*
X207343601Y-28596399D01*
G04 #@! TO.N,GRANT*
X152850001Y-22399999D02*
X153250000Y-22000000D01*
X151781399Y-23468601D02*
X152850001Y-22399999D01*
X151781399Y-30565997D02*
X151781399Y-23468601D01*
X152900001Y-22399999D02*
X153300000Y-22000000D01*
X151781399Y-50559667D02*
X151781399Y-23518601D01*
X143778601Y-58562465D02*
X151781399Y-50559667D01*
X143778601Y-60671399D02*
X143778601Y-38568795D01*
X143778601Y-38568795D02*
X151781399Y-30565997D01*
X143778601Y-58562465D01*
X151781399Y-23518601D02*
X152900001Y-22399999D01*
X142700000Y-61750000D02*
X143778601Y-60671399D01*
G04 #@! TO.N,fpga_to_cpld_signal*
X136900000Y-70090000D02*
X144390001Y-62599999D02*
X145240000Y-61750000D01*
X136900000Y-72800000D02*
X136900000Y-70090000D01*
X136000000Y-71559670D02*
X144390001Y-63169669D01*
X144390001Y-63169669D02*
X144390001Y-62599999D01*
X136000000Y-73250000D02*
X136000000Y-71559670D01*
G04 #@! TD*
M02*

View File

@ -1,11 +1,11 @@
G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,5.0.2+dfsg1-1~bpo9+1*
G04 #@! TF.CreationDate,2022-01-09T11:10:32+01:00*
G04 #@! TF.CreationDate,2022-01-09T17:54:42+01:00*
G04 #@! TF.ProjectId,nubus-to-ztex,6e756275-732d-4746-9f2d-7a7465782e6b,rev?*
G04 #@! TF.SameCoordinates,Original*
G04 #@! TF.FileFunction,Profile,NP*
%FSLAX46Y46*%
G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)*
G04 Created by KiCad (PCBNEW 5.0.2+dfsg1-1~bpo9+1) date Sun Jan 9 11:10:32 2022*
G04 Created by KiCad (PCBNEW 5.0.2+dfsg1-1~bpo9+1) date Sun Jan 9 17:54:42 2022*
%MOMM*%
%LPD*%
G01*

File diff suppressed because it is too large Load Diff

File diff suppressed because it is too large Load Diff

File diff suppressed because it is too large Load Diff

File diff suppressed because it is too large Load Diff

File diff suppressed because it is too large Load Diff

File diff suppressed because it is too large Load Diff

View File

@ -1,6 +1,6 @@
%!PS-Adobe-3.0
%%Creator: PCBNEW
%%CreationDate: Sun Jan 9 11:10:34 2022
%%CreationDate: Sun Jan 9 17:54:35 2022
%%Title: /home/dolbeau/MAC/NuBusFPGA/nubus-to-ztex/nubus-to-ztex-NPTH-drl_map.ps
%%Pages: 1
%%PageOrder: Ascend

View File

@ -1,5 +1,5 @@
M48
;DRILL file {KiCad 5.0.2+dfsg1-1~bpo9+1} date Sun Jan 9 11:10:34 2022
;DRILL file {KiCad 5.0.2+dfsg1-1~bpo9+1} date Sun Jan 9 17:54:34 2022
;FORMAT={-:-/ absolute / inch / decimal}
FMAT,2
INCH,TZ

View File

@ -1,6 +1,6 @@
%!PS-Adobe-3.0
%%Creator: PCBNEW
%%CreationDate: Sun Jan 9 11:10:34 2022
%%CreationDate: Sun Jan 9 17:54:35 2022
%%Title: /home/dolbeau/MAC/NuBusFPGA/nubus-to-ztex/nubus-to-ztex-PTH-drl_map.ps
%%Pages: 1
%%PageOrder: Ascend
@ -153,44 +153,68 @@ newpath
stroke
78.7399 setlinewidth
newpath
24954.6 42273.1 moveto
25121.1 42106.6 lineto
25287.7 45068.1 moveto
25454.2 44901.5 lineto
stroke
newpath
25121.1 42273.1 moveto
24954.6 42106.6 lineto
25454.2 45068.1 moveto
25287.7 44901.5 lineto
stroke
newpath
24954.6 40092.3 moveto
25121.1 39925.8 lineto
25891.4 44152 moveto
26058 43985.5 lineto
stroke
newpath
25121.1 40092.3 moveto
24954.6 39925.8 lineto
26058 44152 moveto
25891.4 43985.5 lineto
stroke
newpath
27036.5 45796.8 moveto
27203 45630.2 lineto
26724.2 45276.3 moveto
26890.7 45109.7 lineto
stroke
newpath
27203 45796.8 moveto
27036.5 45630.2 lineto
26890.7 45276.3 moveto
26724.2 45109.7 lineto
stroke
newpath
27036.5 42569.8 moveto
27203 42403.2 lineto
27140.6 43881.4 moveto
27307.1 43714.8 lineto
stroke
newpath
27203 42569.8 moveto
27036.5 42403.2 lineto
27307.1 43881.4 moveto
27140.6 43714.8 lineto
stroke
newpath
27142.1 56560.2 moveto
27308.6 56393.7 lineto
27140.6 43069.5 moveto
27307.1 42902.9 lineto
stroke
newpath
27308.6 56560.2 moveto
27142.1 56393.7 lineto
27307.1 43069.5 moveto
27140.6 42902.9 lineto
stroke
newpath
27142.1 59071 moveto
27308.6 58904.5 lineto
stroke
newpath
27308.6 59071 moveto
27142.1 58904.5 lineto
stroke
newpath
27142.1 57005.8 moveto
27308.6 56839.2 lineto
stroke
newpath
27308.6 57005.8 moveto
27142.1 56839.2 lineto
stroke
newpath
27142.1 55860.7 moveto
27308.6 55694.2 lineto
stroke
newpath
27308.6 55860.7 moveto
27142.1 55694.2 lineto
stroke
newpath
27625.7 64429.9 moveto
@ -209,68 +233,92 @@ newpath
27625.7 61765 lineto
stroke
newpath
29763.8 58421.5 moveto
29930.3 58254.9 lineto
28389.7 45276.3 moveto
28556.3 45109.7 lineto
stroke
newpath
29930.3 58421.5 moveto
29763.8 58254.9 lineto
28556.3 45276.3 moveto
28389.7 45109.7 lineto
stroke
newpath
29763.8 57276.4 moveto
29930.3 57109.9 lineto
28566.7 48711.4 moveto
28733.2 48544.9 lineto
stroke
newpath
29930.3 57276.4 moveto
29763.8 57109.9 lineto
28733.2 48711.4 moveto
28566.7 48544.9 lineto
stroke
newpath
30180.2 55834.1 moveto
30346.7 55667.5 lineto
30513.3 65022.3 moveto
30679.8 64855.8 lineto
stroke
newpath
30346.7 55834.1 moveto
30180.2 55667.5 lineto
30679.8 65022.3 moveto
30513.3 64855.8 lineto
stroke
newpath
30471.6 65022.3 moveto
30638.2 64855.8 lineto
30513.3 63787.1 moveto
30679.8 63620.5 lineto
stroke
newpath
30638.2 65022.3 moveto
30471.6 64855.8 lineto
30679.8 63787.1 moveto
30513.3 63620.5 lineto
stroke
newpath
30471.6 63787.1 moveto
30638.2 63620.5 lineto
30513.3 62551.8 moveto
30679.8 62385.3 lineto
stroke
newpath
30638.2 63787.1 moveto
30471.6 63620.5 lineto
30679.8 62551.8 moveto
30513.3 62385.3 lineto
stroke
newpath
30471.6 62551.8 moveto
30638.2 62385.3 lineto
30513.3 61316.5 moveto
30679.8 61150 lineto
stroke
newpath
30638.2 62551.8 moveto
30471.6 62385.3 lineto
30679.8 61316.5 moveto
30513.3 61150 lineto
stroke
newpath
30471.6 61316.5 moveto
30638.2 61150 lineto
30575.7 59081.8 moveto
30742.3 58915.3 lineto
stroke
newpath
30638.2 61316.5 moveto
30471.6 61150 lineto
30742.3 59081.8 moveto
30575.7 58915.3 lineto
stroke
newpath
30804.7 50017.8 moveto
30971.3 49851.3 lineto
30575.7 50272.9 moveto
30742.3 50106.3 lineto
stroke
newpath
30971.3 50017.8 moveto
30804.7 49851.3 lineto
30742.3 50272.9 moveto
30575.7 50106.3 lineto
stroke
newpath
30679.8 57005.8 moveto
30846.4 56839.2 lineto
stroke
newpath
30846.4 57005.8 moveto
30679.8 56839.2 lineto
stroke
newpath
30679.8 55860.7 moveto
30846.4 55694.2 lineto
stroke
newpath
30846.4 55860.7 moveto
30679.8 55694.2 lineto
stroke
newpath
31096.2 47191.6 moveto
31262.8 47025.1 lineto
stroke
newpath
31262.8 47191.6 moveto
31096.2 47025.1 lineto
stroke
newpath
31137.8 51641.7 moveto
@ -281,28 +329,36 @@ newpath
31137.8 51475.2 lineto
stroke
newpath
31679.1 48773.9 moveto
31845.7 48607.3 lineto
31200.3 50985.9 moveto
31366.8 50819.4 lineto
stroke
newpath
31845.7 48773.9 moveto
31679.1 48607.3 lineto
31366.8 50985.9 moveto
31200.3 50819.4 lineto
stroke
newpath
31887.3 48149.3 moveto
32053.9 47982.8 lineto
31553.3 44235.3 moveto
31719.9 44068.8 lineto
stroke
newpath
32053.9 48149.3 moveto
31887.3 47982.8 lineto
31719.9 44235.3 moveto
31553.3 44068.8 lineto
stroke
newpath
31949.8 50481.1 moveto
32116.3 50314.5 lineto
31929 48003.6 moveto
32095.5 47837 lineto
stroke
newpath
32116.3 50481.1 moveto
31949.8 50314.5 lineto
32095.5 48003.6 moveto
31929 47837 lineto
stroke
newpath
32302.8 44235.3 moveto
32469.4 44068.8 lineto
stroke
newpath
32469.4 44235.3 moveto
32302.8 44068.8 lineto
stroke
newpath
32387 52104.9 moveto
@ -313,20 +369,12 @@ newpath
32387 51938.4 lineto
stroke
newpath
33136.5 48482.4 moveto
33303 48315.9 lineto
33052.3 44235.3 moveto
33218.9 44068.8 lineto
stroke
newpath
33303 48482.4 moveto
33136.5 48315.9 lineto
stroke
newpath
33178.1 49481.7 moveto
33344.7 49315.2 lineto
stroke
newpath
33344.7 49481.7 moveto
33178.1 49315.2 lineto
33218.9 44235.3 moveto
33052.3 44068.8 lineto
stroke
newpath
33219.7 52687.9 moveto
@ -337,116 +385,156 @@ newpath
33219.7 52521.3 lineto
stroke
newpath
33636.1 49814.8 moveto
33802.7 49648.3 lineto
33427.9 49398.5 moveto
33594.5 49231.9 lineto
stroke
newpath
33802.7 49814.8 moveto
33636.1 49648.3 lineto
33594.5 49398.5 moveto
33427.9 49231.9 lineto
stroke
newpath
33947.5 44235.3 moveto
34114.1 44068.8 lineto
33561.2 47412.3 moveto
33727.7 47245.8 lineto
stroke
newpath
34114.1 44235.3 moveto
33947.5 44068.8 lineto
33727.7 47412.3 moveto
33561.2 47245.8 lineto
stroke
newpath
34135.8 46034.1 moveto
34302.3 45867.5 lineto
33770 44229.1 moveto
33936.6 44062.5 lineto
stroke
newpath
34302.3 46034.1 moveto
34135.8 45867.5 lineto
33936.6 44229.1 moveto
33770 44062.5 lineto
stroke
newpath
34385.6 48357.5 moveto
34552.2 48191 lineto
34010.9 50231.2 moveto
34177.4 50064.7 lineto
stroke
newpath
34552.2 48357.5 moveto
34385.6 48191 lineto
34177.4 50231.2 moveto
34010.9 50064.7 lineto
stroke
newpath
34697 44235.3 moveto
34863.6 44068.8 lineto
34410.6 47412.3 moveto
34577.2 47245.8 lineto
stroke
newpath
34863.6 44235.3 moveto
34697 44068.8 lineto
34577.2 47412.3 moveto
34410.6 47245.8 lineto
stroke
newpath
34926.9 48426.9 moveto
35093.5 48260.3 lineto
34490.8 45942.5 moveto
34657.3 45775.9 lineto
stroke
newpath
35093.5 48426.9 moveto
34926.9 48260.3 lineto
34657.3 45942.5 moveto
34490.8 45775.9 lineto
stroke
newpath
35218.4 50564.3 moveto
35384.9 50397.8 lineto
34843.6 50377 moveto
35010.2 50210.4 lineto
stroke
newpath
35384.9 50564.3 moveto
35218.4 50397.8 lineto
35010.2 50377 moveto
34843.6 50210.4 lineto
stroke
newpath
35384.9 47955 moveto
35551.5 47788.4 lineto
35146.6 45942.5 moveto
35313.1 45775.9 lineto
stroke
newpath
35551.5 47955 moveto
35384.9 47788.4 lineto
35313.1 45942.5 moveto
35146.6 45775.9 lineto
stroke
newpath
35446.5 44235.3 moveto
35613 44068.8 lineto
35239.2 47358.2 moveto
35405.8 47191.6 lineto
stroke
newpath
35613 44235.3 moveto
35446.5 44068.8 lineto
35405.8 47358.2 moveto
35239.2 47191.6 lineto
stroke
newpath
35634.8 47483.1 moveto
35801.3 47316.5 lineto
35343.3 50855.8 moveto
35509.9 50689.2 lineto
stroke
newpath
35801.3 47483.1 moveto
35634.8 47316.5 lineto
35509.9 50855.8 moveto
35343.3 50689.2 lineto
stroke
newpath
35926.2 50939.1 moveto
36092.8 50772.5 lineto
35468.2 43688.8 moveto
35634.8 43522.3 lineto
stroke
newpath
36092.8 50939.1 moveto
35926.2 50772.5 lineto
35634.8 43688.8 moveto
35468.2 43522.3 lineto
stroke
newpath
36164.2 44229.1 moveto
36330.8 44062.5 lineto
35468.2 43033 moveto
35634.8 42866.5 lineto
stroke
newpath
36330.8 44229.1 moveto
36164.2 44062.5 lineto
35634.8 43033 moveto
35468.2 42866.5 lineto
stroke
newpath
36259.3 50418.6 moveto
36425.9 50252 lineto
35551.5 48649 moveto
35718 48482.4 lineto
stroke
newpath
36425.9 50418.6 moveto
36259.3 50252 lineto
35718 48649 moveto
35551.5 48482.4 lineto
stroke
newpath
36301 53229.2 moveto
36467.5 53062.6 lineto
35863.8 48232.6 moveto
36030.3 48066 lineto
stroke
newpath
36467.5 53229.2 moveto
36301 53062.6 lineto
36030.3 48232.6 moveto
35863.8 48066 lineto
stroke
newpath
35863.8 47399.8 moveto
36030.3 47233.3 lineto
stroke
newpath
36030.3 47399.8 moveto
35863.8 47233.3 lineto
stroke
newpath
36176.1 47820.4 moveto
36342.6 47653.8 lineto
stroke
newpath
36342.6 47820.4 moveto
36176.1 47653.8 lineto
stroke
newpath
36217.7 44464.3 moveto
36384.3 44297.8 lineto
stroke
newpath
36384.3 44464.3 moveto
36217.7 44297.8 lineto
stroke
newpath
36738.2 49252.7 moveto
36904.7 49086.2 lineto
stroke
newpath
36904.7 49252.7 moveto
36738.2 49086.2 lineto
stroke
newpath
36967.2 53720.5 moveto
37133.7 53554 lineto
stroke
newpath
37133.7 53720.5 moveto
36967.2 53554 lineto
stroke
newpath
37196.2 74900.8 moveto
@ -457,52 +545,84 @@ newpath
37196.2 74734.3 lineto
stroke
newpath
37300.3 52687.9 moveto
37466.8 52521.3 lineto
37271.1 53120.9 moveto
37437.7 52954.4 lineto
stroke
newpath
37466.8 52687.9 moveto
37300.3 52521.3 lineto
37437.7 53120.9 moveto
37271.1 52954.4 lineto
stroke
newpath
38008.1 49440.1 moveto
38174.7 49273.5 lineto
37966.5 48420 moveto
38133.1 48253.4 lineto
stroke
newpath
38174.7 49440.1 moveto
38008.1 49273.5 lineto
38133.1 48420 moveto
37966.5 48253.4 lineto
stroke
newpath
38049.8 48982.1 moveto
38216.3 48815.5 lineto
38070.6 49510.9 moveto
38237.2 49344.3 lineto
stroke
newpath
38216.3 48982.1 moveto
38049.8 48815.5 lineto
38237.2 49510.9 moveto
38070.6 49344.3 lineto
stroke
newpath
38549.4 47358.2 moveto
38716 47191.6 lineto
38487 49739.9 moveto
38653.5 49573.3 lineto
stroke
newpath
38716 47358.2 moveto
38549.4 47191.6 lineto
38653.5 49739.9 moveto
38487 49573.3 lineto
stroke
newpath
39423.8 48477.2 moveto
39590.4 48310.7 lineto
38716 43381.7 moveto
38882.5 43215.2 lineto
stroke
newpath
39590.4 48477.2 moveto
39423.8 48310.7 lineto
38882.5 43381.7 moveto
38716 43215.2 lineto
stroke
newpath
40048.4 48191 moveto
40215 48024.4 lineto
38757.6 44193.7 moveto
38924.2 44027.1 lineto
stroke
newpath
40215 48191 moveto
40048.4 48024.4 lineto
38924.2 44193.7 moveto
38757.6 44027.1 lineto
stroke
newpath
38903.4 49960.6 moveto
39069.9 49794 lineto
stroke
newpath
39069.9 49960.6 moveto
38903.4 49794 lineto
stroke
newpath
39215.7 47233.3 moveto
39382.2 47066.7 lineto
stroke
newpath
39382.2 47233.3 moveto
39215.7 47066.7 lineto
stroke
newpath
39319.7 49752.4 moveto
39486.3 49585.8 lineto
stroke
newpath
39486.3 49752.4 moveto
39319.7 49585.8 lineto
stroke
newpath
39923.5 44735 moveto
40090.1 44568.4 lineto
stroke
newpath
40090.1 44735 moveto
39923.5 44568.4 lineto
stroke
newpath
40152.5 50194.8 moveto
@ -521,20 +641,20 @@ newpath
40631.4 51147.3 lineto
stroke
newpath
42026.2 73069.8 moveto
42192.8 72903.2 lineto
42047.1 73069.8 moveto
42213.6 72903.2 lineto
stroke
newpath
42192.8 73069.8 moveto
42026.2 72903.2 lineto
42213.6 73069.8 moveto
42047.1 72903.2 lineto
stroke
newpath
42026.2 71716.5 moveto
42192.8 71550 lineto
42047.1 71716.5 moveto
42213.6 71550 lineto
stroke
newpath
42192.8 71716.5 moveto
42026.2 71550 lineto
42213.6 71716.5 moveto
42047.1 71550 lineto
stroke
newpath
42903.8 47670.5 moveto
@ -4794,43 +4914,49 @@ newpath
43634.3 33538.8 lineto
stroke
newpath
45040.4 33876.3 moveto
45152.9 33876.3 lineto
45265.3 33820 lineto
45321.6 33763.8 lineto
45377.8 33651.3 lineto
45434.1 33426.3 lineto
45434.1 33145.1 lineto
45377.8 32920.1 lineto
45321.6 32807.7 lineto
45265.3 32751.4 lineto
45152.9 32695.2 lineto
45040.4 32695.2 lineto
44927.9 32751.4 lineto
44871.6 32807.7 lineto
44815.4 32920.1 lineto
44759.2 33145.1 lineto
44759.2 33426.3 lineto
44815.4 33651.3 lineto
44871.6 33763.8 lineto
44927.9 33820 lineto
45040.4 33876.3 lineto
45434.1 32695.2 moveto
44759.2 32695.2 lineto
stroke
newpath
45827.8 33876.3 moveto
46558.9 33876.3 lineto
46165.2 33426.3 lineto
46334 33426.3 lineto
46446.5 33370.1 lineto
46502.7 33313.8 lineto
46558.9 33201.4 lineto
46558.9 32920.1 lineto
46502.7 32807.7 lineto
46446.5 32751.4 lineto
45096.6 32695.2 moveto
45096.6 33876.3 lineto
44984.1 33707.5 lineto
44871.6 33595.1 lineto
44759.2 33538.8 lineto
stroke
newpath
46109 33370.1 moveto
45996.5 33426.3 lineto
45940.3 33482.6 lineto
45884 33595.1 lineto
45884 33651.3 lineto
45940.3 33763.8 lineto
45996.5 33820 lineto
46109 33876.3 lineto
46334 33876.3 lineto
46446.5 33820 lineto
46502.7 33763.8 lineto
46558.9 33651.3 lineto
46558.9 33595.1 lineto
46502.7 33482.6 lineto
46446.5 33426.3 lineto
46334 33370.1 lineto
46109 33370.1 lineto
45996.5 33313.8 lineto
45940.3 33257.6 lineto
45884 33145.1 lineto
45884 32920.1 lineto
45940.3 32807.7 lineto
45996.5 32751.4 lineto
46109 32695.2 lineto
46334 32695.2 lineto
45996.5 32695.2 lineto
45884 32751.4 lineto
45827.8 32807.7 lineto
46446.5 32751.4 lineto
46502.7 32807.7 lineto
46558.9 32920.1 lineto
46558.9 33145.1 lineto
46502.7 33257.6 lineto
46446.5 33313.8 lineto
46334 33370.1 lineto
stroke
newpath
47965 32695.2 moveto

View File

@ -1,5 +1,5 @@
M48
;DRILL file {KiCad 5.0.2+dfsg1-1~bpo9+1} date Sun Jan 9 11:10:34 2022
;DRILL file {KiCad 5.0.2+dfsg1-1~bpo9+1} date Sun Jan 9 17:54:34 2022
;FORMAT={-:-/ absolute / inch / decimal}
FMAT,2
INCH,TZ
@ -19,54 +19,69 @@ T12C0.1201
G90
G05
T1
X4.4193Y-3.6501
X4.4193Y-3.8563
X4.6161Y-3.3169
X4.6161Y-3.622
X4.6261Y-2.2992
X4.4508Y-3.3858
X4.5079Y-3.4724
X4.5866Y-3.3661
X4.626Y-3.498
X4.626Y-3.5748
X4.6261Y-2.0618
X4.6261Y-2.2571
X4.6261Y-2.3654
X4.6719Y-1.5551
X4.6719Y-1.7913
X4.874Y-2.1232
X4.874Y-2.2315
X4.9134Y-2.3679
X4.9409Y-1.4991
X4.9409Y-1.6159
X4.9409Y-1.7327
X4.9409Y-1.8495
X4.9724Y-2.9178
X4.7441Y-3.3661
X4.7608Y-3.0413
X4.9449Y-1.4991
X4.9449Y-1.6159
X4.9449Y-1.7327
X4.9449Y-1.8495
X4.9508Y-2.0608
X4.9508Y-2.8937
X4.9606Y-2.2571
X4.9606Y-2.3654
X5.Y-3.185
X5.0039Y-2.7643
X5.0551Y-3.0354
X5.0748Y-3.0945
X5.0807Y-2.874
X5.0098Y-2.8263
X5.0432Y-3.4646
X5.0787Y-3.1083
X5.1141Y-3.4646
X5.122Y-2.7205
X5.1929Y-3.063
X5.1969Y-2.9685
X5.185Y-3.4646
X5.2008Y-2.6654
X5.2402Y-2.937
X5.2696Y-3.4646
X5.2874Y-3.2945
X5.311Y-3.0748
X5.3405Y-3.4646
X5.3622Y-3.0682
X5.3898Y-2.8661
X5.4055Y-3.1129
X5.4113Y-3.4646
X5.4291Y-3.1575
X5.4567Y-2.8307
X5.4792Y-3.4652
X5.4882Y-2.8799
X5.4921Y-2.6142
X5.2205Y-2.9764
X5.2331Y-3.1642
X5.2528Y-3.4652
X5.2756Y-2.8976
X5.3134Y-3.1642
X5.321Y-3.3031
X5.3543Y-2.8839
X5.383Y-3.3031
X5.3917Y-3.1693
X5.4016Y-2.8386
X5.4134Y-3.5162
X5.4134Y-3.5782
X5.4213Y-3.0472
X5.4508Y-3.0866
X5.4508Y-3.1654
X5.4803Y-3.1256
X5.4843Y-3.4429
X5.5335Y-2.9902
X5.5551Y-2.5677
X5.5768Y-0.5651
X5.5866Y-2.6654
X5.6535Y-2.9724
X5.6575Y-3.0157
X5.7047Y-3.1693
X5.7874Y-3.0635
X5.8465Y-3.0906
X5.5839Y-2.6244
X5.6496Y-3.0689
X5.6594Y-2.9657
X5.6988Y-2.9441
X5.7205Y-3.5453
X5.7244Y-3.4685
X5.7382Y-2.9232
X5.7677Y-3.1811
X5.7776Y-2.9429
X5.8346Y-3.4173
X5.8563Y-2.9011
X5.9016Y-2.7953
X6.0335Y-0.7382
X6.0335Y-0.8661
X6.0354Y-0.7382
X6.0354Y-0.8661
X6.1164Y-3.1398
X6.3105Y-0.7972
X6.3681Y-2.9011

View File

@ -1,4 +1,4 @@
### Module positions - created on Sun Jan 9 11:10:46 2022 ###
### Module positions - created on Sun Jan 9 17:55:00 2022 ###
### Printed by Pcbnew version kicad 5.0.2+dfsg1-1~bpo9+1
## Unit = mm, Angle = deg.
## Side : bottom

View File

@ -39,6 +39,40 @@ X A7 9 -500 -200 200 R 50 50 1 0 T
ENDDRAW
ENDDEF
#
# 74xx_74LVC125
#
DEF 74xx_74LVC125 U 0 40 Y Y 5 L N
F0 "U" 0 50 50 H V C CNN
F1 "74xx_74LVC125" 0 -50 50 H V C CNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
ALIAS 74LVC125
$FPLIST
DIP?14*
$ENDFPLIST
DRAW
S -200 300 200 -300 5 1 10 f
P 4 1 0 10 -150 150 -150 -150 150 0 -150 150 f
P 4 2 0 10 -150 150 -150 -150 150 0 -150 150 f
P 4 3 0 10 -150 150 -150 -150 150 0 -150 150 f
P 4 4 0 10 -150 150 -150 -150 150 0 -150 150 f
X ~ 1 0 -250 175 U 50 50 1 0 I I
X ~ 2 -300 0 150 R 50 50 1 0 I
X ~ 3 300 0 150 L 50 50 1 0 T
X ~ 4 0 -250 175 U 50 50 2 0 I I
X ~ 5 -300 0 150 R 50 50 2 0 I
X ~ 6 300 0 150 L 50 50 2 0 T
X ~ 10 0 -250 175 U 50 50 3 0 I I
X ~ 8 300 0 150 L 50 50 3 0 T
X ~ 9 -300 0 150 R 50 50 3 0 I
X ~ 11 300 0 150 L 50 50 4 0 T
X ~ 12 -300 0 150 R 50 50 4 0 I
X ~ 13 0 -250 175 U 50 50 4 0 I I
X VCC 14 0 500 200 D 50 50 5 0 W
X GND 7 0 -500 200 U 50 50 5 0 W
ENDDRAW
ENDDEF
#
# ADV7125-lqfp48_ADV7125-lqfp48
#
DEF ADV7125-lqfp48_ADV7125-lqfp48 U 0 40 Y Y 1 F N
@ -207,6 +241,85 @@ X c9 c9 -300 2200 150 R 50 50 1 1 P
ENDDRAW
ENDDEF
#
# CPLD_Xilinx_XC9572XL-VQ64
#
DEF CPLD_Xilinx_XC9572XL-VQ64 U 0 20 Y Y 1 F N
F0 "U" -650 1600 50 H V C CNN
F1 "CPLD_Xilinx_XC9572XL-VQ64" -650 -1700 50 H V C CNN
F2 "Package_QFP:TQFP-64_10x10mm_P0.5mm" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
$FPLIST
TQFP*10x10mm*P0.5mm*
$ENDFPLIST
DRAW
S -650 1550 650 -1650 1 1 10 f
X P1 1 -800 0 150 R 50 50 1 1 B
X P10 10 -800 1200 150 R 50 50 1 1 B
X P11 11 -800 1100 150 R 50 50 1 1 B
X P12 12 -800 1000 150 R 50 50 1 1 B
X P13 13 -800 900 150 R 50 50 1 1 B
X GND 14 -100 -1800 150 U 50 50 1 1 W
X I/O/GCK1 15 -800 800 150 R 50 50 1 1 B
X I/O/GCK2 16 -800 700 150 R 50 50 1 1 B
X I/O/GCK3 17 -800 600 150 R 50 50 1 1 B
X P18 18 -800 500 150 R 50 50 1 1 B
X P19 19 -800 400 150 R 50 50 1 1 B
X I/O/GTS2 2 -800 -100 150 R 50 50 1 1 B
X P20 20 -800 300 150 R 50 50 1 1 B
X GND 21 0 -1800 150 U 50 50 1 1 W
X P22 22 800 1500 150 L 50 50 1 1 B
X P23 23 -800 200 150 R 50 50 1 1 B
X P24 24 800 1400 150 L 50 50 1 1 B
X P25 25 800 1300 150 L 50 50 1 1 B
X VCCIO 26 100 1700 150 D 50 50 1 1 W
X P27 27 800 1200 150 L 50 50 1 1 B
X TDI 28 800 -1300 150 L 50 50 1 1 I
X TMS 29 800 -1400 150 L 50 50 1 1 I
X VCC 3 -100 1700 150 D 50 50 1 1 W
X TCK 30 800 -1500 150 L 50 50 1 1 I
X P31 31 800 1100 150 L 50 50 1 1 B
X P32 32 800 1000 150 L 50 50 1 1 B
X P33 33 800 900 150 L 50 50 1 1 B
X P34 34 800 800 150 L 50 50 1 1 B
X P35 35 800 700 150 L 50 50 1 1 B
X P36 36 800 600 150 L 50 50 1 1 B
X VCC 37 0 1700 150 D 50 50 1 1 W
X P38 38 800 500 150 L 50 50 1 1 B
X P39 39 800 400 150 L 50 50 1 1 B
X P4 4 -800 -200 150 R 50 50 1 1 B
X P40 40 800 300 150 L 50 50 1 1 B
X GND 41 100 -1800 150 U 50 50 1 1 W
X P42 42 800 200 150 L 50 50 1 1 B
X P43 43 800 0 150 L 50 50 1 1 B
X P44 44 800 -100 150 L 50 50 1 1 B
X P45 45 800 -200 150 L 50 50 1 1 B
X P46 46 800 -300 150 L 50 50 1 1 B
X P47 47 800 -400 150 L 50 50 1 1 B
X P48 48 800 -500 150 L 50 50 1 1 B
X P49 49 800 -600 150 L 50 50 1 1 B
X I/O/GTS1 5 -800 -300 150 R 50 50 1 1 B
X P50 50 800 -700 150 L 50 50 1 1 B
X P51 51 800 -800 150 L 50 50 1 1 B
X P52 52 800 -900 150 L 50 50 1 1 B
X TDO 53 800 -1600 150 L 50 50 1 1 O
X GND 54 200 -1800 150 U 50 50 1 1 W
X VCCIO 55 200 1700 150 D 50 50 1 1 W
X P56 56 800 -1000 150 L 50 50 1 1 B
X P57 57 800 -1100 150 L 50 50 1 1 B
X P58 58 -800 -600 150 R 50 50 1 1 B
X P59 59 -800 -700 150 R 50 50 1 1 B
X P6 6 -800 -400 150 R 50 50 1 1 B
X P60 60 -800 -800 150 R 50 50 1 1 B
X P61 61 -800 -900 150 R 50 50 1 1 B
X P62 62 -800 -1000 150 R 50 50 1 1 B
X P63 63 -800 -1100 150 R 50 50 1 1 B
X I/O/GSR 64 -800 -1200 150 R 50 50 1 1 B
X P7 7 -800 -500 150 R 50 50 1 1 B
X P8 8 -800 1400 150 R 50 50 1 1 B
X P9 9 -800 1300 150 R 50 50 1 1 B
ENDDRAW
ENDDEF
#
# Connector_Conn_01x03_Male
#
DEF Connector_Conn_01x03_Male J 0 40 Y N 1 F N
@ -643,29 +756,6 @@ X SDA_B 9 700 -600 200 L 40 40 0 0 B
ENDDRAW
ENDDEF
#
# Transistor_BJT_MMBT3904
#
DEF Transistor_BJT_MMBT3904 Q 0 0 Y N 1 F N
F0 "Q" 200 75 50 H V L CNN
F1 "Transistor_BJT_MMBT3904" 200 0 50 H V L CNN
F2 "Package_TO_SOT_SMD:SOT-23" 200 -75 50 H I L CIN
F3 "" 0 0 50 H I L CNN
ALIAS BC818 BC847 BC848 BC849 BC850 MMBT3904 MMBT5550L MMBT5551L
$FPLIST
SOT?23*
$ENDFPLIST
DRAW
C 50 0 111 0 1 10 N
P 2 0 1 0 25 25 100 100 N
P 3 0 1 0 25 -25 100 -100 100 -100 N
P 3 0 1 20 25 75 25 -75 25 -75 N
P 5 0 1 0 50 -70 70 -50 90 -90 50 -70 50 -70 F
X B 1 -200 0 225 R 50 50 1 1 I
X E 2 100 -200 100 U 50 50 1 1 P
X C 3 100 200 100 D 50 50 1 1 P
ENDDRAW
ENDDEF
#
# ad1580_AD1580
#
DEF ad1580_AD1580 U 0 40 Y Y 1 F N
@ -771,65 +861,6 @@ X GND 1 0 0 0 D 50 50 1 1 W N
ENDDRAW
ENDDEF
#
# xc9536xl-vq44_XC9536XL-VQ44
#
DEF xc9536xl-vq44_XC9536XL-VQ44 U 0 20 Y Y 1 F N
F0 "U" -650 1100 50 H V C CNN
F1 "xc9536xl-vq44_XC9536XL-VQ44" -650 -1450 50 H V C CNN
F2 "Package_QFP:TQFP-44_10x10mm_P0.8mm" -1000 1200 50 H I C CNN
F3 "" 0 -500 50 H I C CNN
$FPLIST
TQFP*10x10mm*P0.5mm*
$ENDFPLIST
DRAW
S -650 1050 650 -1400 1 1 10 f
X P1-7/GCK3 1 -800 300 150 R 50 50 1 1 B
X TMS 10 800 -1050 150 L 50 50 1 1 I
X TCK 11 800 -1150 150 L 50 50 1 1 I
X P1-13 12 -800 -300 150 R 50 50 1 1 B
X P1-14 13 -800 -400 150 R 50 50 1 1 B
X P1-15 14 -800 -500 150 R 50 50 1 1 B
X VCC 15 -100 1200 150 D 50 50 1 1 W
X P1-16 16 -800 -600 150 R 50 50 1 1 B
X GND 17 0 -1550 150 U 50 50 1 1 W
X P1-17 18 -800 -700 150 R 50 50 1 1 B
X P2-17 19 800 -700 150 L 50 50 1 1 B
X P1-6 2 -800 400 150 R 50 50 1 1 B
X P2-16 20 800 -600 150 L 50 50 1 1 B
X P2-15 21 800 -500 150 L 50 50 1 1 B
X P2-14 22 800 -400 150 L 50 50 1 1 B
X P2-13 23 800 -300 150 L 50 50 1 1 B
X TDO 24 800 -1250 150 L 50 50 1 1 O
X GND 25 100 -1550 150 U 50 50 1 1 W
X VCCIO 26 200 1200 150 D 50 50 1 1 W
X P2-12 27 800 -200 150 L 50 50 1 1 B
X P2-11 28 800 -100 150 L 50 50 1 1 B
X P2-10 29 800 0 150 L 50 50 1 1 B
X P1-8 3 -800 200 150 R 50 50 1 1 B
X P2-9 30 800 100 150 L 50 50 1 1 B
X P2-8 31 800 200 150 L 50 50 1 1 B
X P2-7 32 800 300 150 L 50 50 1 1 B
X GSR/P2-6 33 800 400 150 L 50 50 1 1 B
X GTS2/P2-5 34 800 500 150 L 50 50 1 1 B
X VCC 35 0 1200 150 D 50 50 1 1 W
X GTS1/P2-3 36 800 700 150 L 50 50 1 1 B
X P2-4 37 800 600 150 L 50 50 1 1 B
X P2-2 38 800 800 150 L 50 50 1 1 B
X P2-1 39 800 900 150 L 50 50 1 1 B
X GND 4 -100 -1550 150 U 50 50 1 1 W
X P1-1 40 -800 900 150 R 50 50 1 1 B
X P1-2 41 -800 800 150 R 50 50 1 1 B
X P1-4 42 -800 600 150 R 50 50 1 1 B
X P1-3/GCK1 43 -800 700 150 R 50 50 1 1 B
X P1-5/GCK2 44 -800 500 150 R 50 50 1 1 B
X P1-9 5 -800 100 150 R 50 50 1 1 B
X P1-10 6 -800 0 150 R 50 50 1 1 B
X P1-11 7 -800 -100 150 R 50 50 1 1 B
X P1-12 8 -800 -200 150 R 50 50 1 1 B
X TDI 9 800 -950 150 L 50 50 1 1 I
ENDDRAW
ENDDEF
#
# ztex_AB_ZTEX_AB
#
DEF ztex_AB_ZTEX_AB J 0 40 Y Y 1 F N

View File

@ -1,5 +1,5 @@
Drill report for /home/dolbeau/MAC/NuBusFPGA/nubus-to-ztex/nubus-to-ztex.kicad_pcb
Created on Sun Jan 9 11:10:36 2022
Created on Sun Jan 9 17:54:38 2022
Copper Layer Stackup:
=============================================================
@ -12,7 +12,7 @@ Copper Layer Stackup:
Drill file 'nubus-to-ztex-PTH.drl' contains
plated through holes:
=============================================================
T1 0.40mm 0.016" (103 holes)
T1 0.40mm 0.016" (118 holes)
T2 0.60mm 0.024" (2 holes) (with 2 slots)
T3 0.80mm 0.031" (2 holes)
T4 0.85mm 0.033" (2 holes)
@ -25,7 +25,7 @@ Drill file 'nubus-to-ztex-PTH.drl' contains
T11 1.30mm 0.051" (4 holes)
T12 3.05mm 0.120" (2 holes)
Total plated holes count 393
Total plated holes count 408
Drill file 'nubus-to-ztex-NPTH.drl' contains

View File

@ -1,11 +1,11 @@
### Module positions - created on Sun Jan 9 11:10:46 2022 ###
### Module positions - created on Sun Jan 9 17:55:00 2022 ###
### Printed by Pcbnew version kicad 5.0.2+dfsg1-1~bpo9+1
## Unit = mm, Angle = deg.
## Side : top
# Ref Val Package PosX PosY Rot Side
C1 100nF C_0603_1608Metric 128.4000 -75.1000 270.0000 top
C1 100nF C_0603_1608Metric 127.7500 -73.5000 180.0000 top
C2 100nF C_0603_1608Metric 188.0000 -72.9000 90.0000 top
C3 10nF C_0603_1608Metric 144.0000 -78.6000 270.0000 top
C3 10nF C_0603_1608Metric 144.7000 -78.7400 270.0000 top
C4 100nF C_0603_1608Metric 166.8400 -55.7600 0.0000 top
C5 10nF C_0603_1608Metric 248.0100 -25.0000 90.0000 top
C6 100nF C_0603_1608Metric 238.7600 -35.9800 180.0000 top
@ -23,30 +23,32 @@ C18 100nF C_0603_1608Metric 253.0500 -8
C19 100nF C_0603_1608Metric 172.3750 -33.6000 180.0000 top
C20 47uF_10V+ C_0805_2012Metric 221.7800 -96.0000 0.0000 top
C21 10nF C_0603_1608Metric 253.8200 -53.0200 90.0000 top
C22 10nF C_0603_1608Metric 139.8000 -67.7000 0.0000 top
C22 10nF C_0603_1608Metric 138.1300 -68.3700 0.0000 top
C23 100nF C_0603_1608Metric 149.9000 -72.9000 90.0000 top
C24 100nF C_0603_1608Metric 163.0000 -72.9000 90.0000 top
C25 100nF C_0603_1608Metric 176.5000 -72.9000 90.0000 top
C26 1uF C_0603_1608Metric 125.8000 -71.0000 90.0000 top
C27 100nF C_0603_1608Metric 145.8000 -78.6000 270.0000 top
C28 10nF C_0603_1608Metric 128.4000 -71.0000 90.0000 top
C27 100nF C_0603_1608Metric 146.5000 -78.7400 270.0000 top
C28 10nF C_0603_1608Metric 128.5000 -71.0000 90.0000 top
C29 100nF C_0603_1608Metric 254.0000 -31.2500 0.0000 top
C30 100nF C_0603_1608Metric 141.6500 -66.0000 0.0000 top
C30 100nF C_0603_1608Metric 139.8900 -66.6600 0.0000 top
C31 47uF_10V+ C_0805_2012Metric 204.3000 -82.7000 0.0000 top
C32 100nF C_0603_1608Metric 246.2500 -24.2500 90.0000 top
C33 10nF C_0603_1608Metric 135.9400 -86.8455 180.0000 top
C34 100nF C_0603_1608Metric 135.9400 -85.0955 180.0000 top
C35 100nF C_0603_1608Metric 138.7000 -90.1000 90.0000 top
C36 100nF C_0603_1608Metric 118.5000 -85.5000 180.0000 top
D1 GREEN LED_0805_2012Metric 123.3026 -46.9770 180.0000 top
D2 RED LED_0805_2012Metric 143.2200 -15.2900 270.0000 top
D3 RED LED_0805_2012Metric 145.4667 -15.2900 270.0000 top
D4 RED LED_0805_2012Metric 147.7133 -15.2900 270.0000 top
D5 RED LED_0805_2012Metric 149.9600 -15.2900 270.0000 top
D6 GREEN LED_0805_2012Metric 123.3026 -44.0104 180.0000 top
D7 GREEN LED_0805_2012Metric 123.3026 -41.0437 180.0000 top
D8 GREEN LED_0805_2012Metric 123.3026 -38.0770 180.0000 top
D9 ORANGE LED_0805_2012Metric 197.7500 -78.2500 270.0000 top
D10 YELLOW LED_0805_2012Metric 121.5600 -56.6800 180.0000 top
D11 YELLOW LED_0805_2012Metric 121.5600 -53.9300 180.0000 top
D12 YELLOW LED_0805_2012Metric 122.4708 -60.1440 180.0000 top
D13 YELLOW LED_0805_2012Metric 126.1000 -77.8000 180.0000 top
D10 YELLOW LED_0805_2012Metric 123.7600 -60.0800 180.0000 top
D11 YELLOW LED_0805_2012Metric 123.7600 -57.3300 180.0000 top
D12 YELLOW LED_0805_2012Metric 123.4708 -52.3440 180.0000 top
D13 YELLOW LED_0805_2012Metric 127.5000 -75.5000 180.0000 top
D14 YELLOW LED_0805_2012Metric 217.7500 -60.7500 0.0000 top
D15 YELLOW LED_0805_2012Metric 217.7500 -57.5000 0.0000 top
D16 ORANGE LED_0805_2012Metric 155.5000 -18.7500 0.0000 top
@ -54,8 +56,6 @@ D17 ORANGE LED_0805_2012Metric 155.5000 -2
FB1 Ferrite_Bead_Small L_0805_2012Metric 257.8700 -84.0700 0.0000 top
J5 HDMI_A HDMI_A_Amphenol_10029449-111 267.9000 -62.3000 90.0000 top
J6 USB_micro-B USB_Micro-B_Molex-105017-0001 270.6600 -79.0700 90.0000 top
Q1 MMBT3904 SOT-23 114.5000 -97.0000 0.0000 top
Q2 MMBT3904 SOT-23 118.2500 -89.7500 0.0000 top
R1 75 R_0603_1608Metric 251.5000 -25.0000 270.0000 top
R2 10k R_0603_1608Metric 160.5000 -72.2000 180.0000 top
R3 536 R_0603_1608Metric 249.7500 -25.0000 90.0000 top
@ -64,42 +64,38 @@ R5 100 R_0603_1608Metric 253.9200 -3
R6 100 R_0603_1608Metric 253.9100 -36.4600 0.0000 top
R7 549 R_0603_1608Metric 143.2200 -18.8800 90.0000 top
R8 549 R_0603_1608Metric 145.4667 -18.8800 90.0000 top
R9 549 R_0603_1608Metric 147.7133 -18.8800 90.0000 top
R10 549 R_0603_1608Metric 149.9600 -18.8800 90.0000 top
R11 27 R_0603_1608Metric 263.5100 -76.5600 180.0000 top
R12 27 R_0603_1608Metric 263.5100 -82.1500 180.0000 top
R13 15k R_0603_1608Metric 260.8600 -77.8100 90.0000 top
R14 15k R_0603_1608Metric 260.8600 -80.9000 90.0000 top
R15 10k R_0603_1608Metric 249.2400 -86.3100 180.0000 top
R16 10k R_0603_1608Metric 249.2400 -84.1100 180.0000 top
R17 27 R_0603_1608Metric 115.2500 -93.5000 90.0000 top
R18 75 R_0603_1608Metric 250.0000 -39.5000 90.0000 top
R19 75 R_0603_1608Metric 252.0000 -39.5000 90.0000 top
R20 1k R_0603_1608Metric 254.0000 -22.2500 180.0000 top
R21 549 R_0603_1608Metric 119.4526 -46.9770 0.0000 top
R22 549 R_0603_1608Metric 119.4526 -44.0104 0.0000 top
R23 10k R_0603_1608Metric 139.2478 -86.0071 90.0000 top
R24 10k R_0603_1608Metric 137.4478 -86.0071 90.0000 top
R25 10k R_0603_1608Metric 135.6478 -86.0071 90.0000 top
R26 10k R_0603_1608Metric 133.8478 -86.0071 90.0000 top
R23 10k R_0603_1608Metric 133.4978 -86.0071 90.0000 top
R24 10k R_0603_1608Metric 131.6978 -86.0071 90.0000 top
R25 10k R_0603_1608Metric 129.8978 -86.0071 90.0000 top
R26 10k R_0603_1608Metric 128.0978 -86.0071 90.0000 top
R27 549 R_0603_1608Metric 119.4526 -41.0437 0.0000 top
R28 549 R_0603_1608Metric 119.4526 -38.0770 0.0000 top
R29 549 R_0603_1608Metric 197.7500 -82.0000 90.0000 top
R30 549 R_0603_1608Metric 117.8100 -56.6800 0.0000 top
R31 549 R_0603_1608Metric 117.8100 -53.9300 0.0000 top
R32 549 R_0603_1608Metric 118.6708 -60.1640 0.0000 top
R33 549 R_0603_1608Metric 125.1000 -74.9000 270.0000 top
R30 549 R_0603_1608Metric 120.0100 -60.0800 0.0000 top
R31 549 R_0603_1608Metric 120.0100 -57.3300 0.0000 top
R32 549 R_0603_1608Metric 119.6708 -52.3640 0.0000 top
R33 549 R_0603_1608Metric 124.0000 -75.5000 0.0000 top
R34 549 R_0603_1608Metric 221.7500 -60.7500 180.0000 top
R35 549 R_0603_1608Metric 221.7500 -57.5000 180.0000 top
R36 549 R_0603_1608Metric 159.5000 -18.7500 180.0000 top
R37 549 R_0603_1608Metric 159.5000 -22.0000 180.0000 top
R38 1k R_0603_1608Metric 113.5000 -93.5000 270.0000 top
R39 27 R_0603_1608Metric 119.0000 -86.2500 90.0000 top
R40 1k R_0603_1608Metric 117.2500 -86.2500 270.0000 top
U1 XC9572XL-VQ64 TQFP-64_10x10mm_P0.5mm_Xlinx 136.2000 -76.2000 0.0000 top
U2 AD1580 SOT-23 254.2500 -25.0000 90.0000 top
U3 74LVC125 TSSOP-14_4.4x5mm_P0.65mm 143.7500 -88.7500 180.0000 top
U6 SN65220 SOT-23-6 265.4000 -79.3550 180.0000 top
U7 TPS2051C SOT-23-5 252.9000 -85.2100 270.0000 top
U8 XC9536XL-VQ44 TQFP-44_10x10mm_P0.8mm_Xilinx 136.2000 -76.2000 90.0000 top
U8 74LVC125 TSSOP-14_4.4x5mm_P0.65mm 116.0000 -89.5000 0.0000 top
U9 74FCT245ATQG QSOP-20_3.9x8.7mm_P0.635mm_Renesas 152.5000 -78.5000 90.0000 top
U10 74FCT245ATQG QSOP-20_3.9x8.7mm_P0.635mm_Renesas 165.5000 -78.5000 90.0000 top
U11 74FCT245ATQG QSOP-20_3.9x8.7mm_P0.635mm_Renesas 179.0000 -78.5000 90.0000 top

View File

@ -1,11 +1,11 @@
Part/Designator,Manufacture Part Number/Seeed SKU,Quantity,URL
"R5,R6",0603WAF1000T5E,2,https://lcsc.com/product-detail/Chip-Resistor-Surface-Mount_Uniroyal-Elec-0603WAF1000T5E_C22775.html
"R20,R38,R40",0603WAF1001T5E,3,https://lcsc.com/product-detail/Chip-Resistor-Surface-Mount_UNI-ROYAL-Uniroyal-Elec-0603WAF1001T5E_C21190.html
R20,0603WAF1001T5E,1,https://lcsc.com/product-detail/Chip-Resistor-Surface-Mount_UNI-ROYAL-Uniroyal-Elec-0603WAF1001T5E_C21190.html
"R2,R15,R16,R23,R24,R25,R26",0603WAF1002T5E,7,https://lcsc.com/product-detail/Chip-Resistor-Surface-Mount_UNI-ROYAL-Uniroyal-Elec-0603WAF1002T5E_C25804.html
"R13,R14",0603WAF1502T5E,2,https://lcsc.com/product-detail/Chip-Resistor-Surface-Mount_UNI-ROYAL-Uniroyal-Elec-0603WAF1502T5E_C22809.html
"R11,R12,R17,R39",0603WAF270JT5E,4,https://lcsc.com/product-detail/Chip-Resistor-Surface-Mount_UNI-ROYAL-Uniroyal-Elec-0603WAF270JT5E_C25190.html
"R11,R12",0603WAF270JT5E,2,https://lcsc.com/product-detail/Chip-Resistor-Surface-Mount_UNI-ROYAL-Uniroyal-Elec-0603WAF270JT5E_C25190.html
R3,0603WAF5360T5E,1,https://lcsc.com/product-detail/Chip-Resistor-Surface-Mount_UNI-ROYAL-Uniroyal-Elec-0603WAF5360T5E_C23201.html
"R7,R8,R9,R10,R21,R22,R27,R28,R29,R30,R31,R32,R33,R34,R35,R36,R37",0603WAF5490T5E,17,https://lcsc.com/product-detail/Chip-Resistor-Surface-Mount_UNI-ROYAL-Uniroyal-Elec-0603WAF5490T5E_C23079.html
"R7,R8,R21,R22,R27,R28,R29,R30,R31,R32,R33,R34,R35,R36,R37",0603WAF5490T5E,15,https://lcsc.com/product-detail/Chip-Resistor-Surface-Mount_UNI-ROYAL-Uniroyal-Elec-0603WAF5490T5E_C23079.html
"R1,R18,R19",0603WAF750JT5E,3,https://www.lcsc.com/product-detail/Chip-Resistor-Surface-Mount_Uniroyal-Elec-0603WAF750JT5E_C4275.html
"JAB1,JCD1",10-89-7642,2,https://www2.mouser.com/ProductDetail/Molex/10-89-7642?qs=%2Fha2pyFadugCxzQFZUdvioDcljDVidgd4vXrOFuSRYM%3D
J5,10029449-111,1,https://www2.mouser.com/ProductDetail/Amphenol-FCI/10029449-111RLF?qs=fmpTyLOWOey0HPdD9%2F%2FaXA%3D%3D
@ -13,26 +13,26 @@ J6,105017-0001,1,https://lcsc.com/product-detail/USB-Connectors_MOLEX-1050170001
R4,1210W2F1004T5E,1,https://www.lcsc.com/product-detail/Chip-Resistor-Surface-Mount_UNI-ROYAL-Uniroyal-Elec-1210W2F1004T5E_C620664.html
J7,640456-3,1,https://www.lcsc.com/product-detail/Wire-To-Board-Wire-To-Wire-Connector_TE-Connectivity-640456-3_C86503.html
"U9,U10,U11,U12",74FCT245ATQG,4,https://www.mouser.fr/ProductDetail/Renesas-IDT/74FCT245ATQG?qs=JcGQCygHkIZJMVzrAcertA%3D%3D
"U3,U8",74LVT125PW,2,
J3,85003-0567,1,https://www.mouser.fr/ProductDetail/Molex/85003-0567?qs=U4pz39agNJB6P1oBpJ4bJA%3D%3D
"J1,J8",87831-1420,2,https://www2.mouser.com/ProductDetail/Molex/87831-1420?qs=QtQX4uD3c2VDCL534TqpVg%3D%3D
U2,AD1580BRTZ,1,https://www.mouser.fr/ProductDetail/Analog-Devices/AD1580BRTZ-REEL7?qs=NmRFExCfTkFZVi9%2F1ZfkXg%3D%3D
U4,ADV7125JSTZ240,1,https://lcsc.com/product-detail/Digital-To-Analog-Converters-DACs_Analog-Devices-ADV7125JSTZ240_C514373.html
"C12,C20,C31",C2012X5R1A476MTJ00E,3,https://lcsc.com/product-detail/Multilayer-Ceramic-Capacitors-MLCC-SMD-SMT_TDK-C2012X5R1A476MTJ00E_C76636.html
"C3,C5,C8,C9,C21,C22,C28",CC0603KRX7R8BB103,7,https://lcsc.com/product-detail/Multilayer-Ceramic-Capacitors-MLCC-SMD-SMT_YAGEO-CC0603KRX7R8BB103_C327204.html
"C1,C2,C4,C6,C7,C13,C14,C15,C17,C18,C19,C23,C24,C25,C27,C29,C30,C32",CC0603KRX7R8BB104,18,
"C3,C5,C8,C9,C21,C22,C28,C33",CC0603KRX7R8BB103,8,https://lcsc.com/product-detail/Multilayer-Ceramic-Capacitors-MLCC-SMD-SMT_YAGEO-CC0603KRX7R8BB103_C327204.html
"C1,C2,C4,C6,C7,C13,C14,C15,C17,C18,C19,C23,C24,C25,C27,C29,C30,C32,C34,C35,C36",CC0603KRX7R8BB104,21,
"C10,C26",CC0603KRX7R8BB105,2,https://lcsc.com/product-detail/Multilayer-Ceramic-Capacitors-MLCC-SMD-SMT_YAGEO-CC0603KRX7R8BB105_C106858.html
C16,GRM31CR60J157ME11L,1,https://lcsc.com/product-detail/Multilayer-Ceramic-Capacitors-MLCC-SMD-SMT_Murata-Electronics-GRM31CR60J157ME11L_C528968.html
C11,KM010M400E110A,1,https://lcsc.com/product-detail/Aluminum-Electrolytic-Capacitors-Leaded_Capxon-International-Elec-KM010M400E110A_C59365.html
J4,L77HDE15SD1CH4FVGA,1,https://www.mouser.fr/ProductDetail/Amphenol-Commercial-Products/L77HDE15SD1CH4FVGA?qs=ToP8pWlZ0bNtQSp9f8k5Rw%3D%3D
"D9,D16,D17",LTST-C170KFKT,3,https://www.lcsc.com/product-detail/Light-Emitting-Diodes-LED_Lite-On-LTST-C170KFKT_C284931.html
"D1,D6,D7,D8",LTST-C170KGKT,4,https://www.lcsc.com/product-detail/Light-Emitting-Diodes-LED_Lite-On-LTST-C170KGKT_C98221.html
"D2,D3,D4,D5",LTST-C170KRKT,4,https://www.lcsc.com/product-detail/Light-Emitting-Diodes-LED_Lite-On-LTST-C170KRKT_C94868.html
"D2,D3",LTST-C170KRKT,2,https://www.lcsc.com/product-detail/Light-Emitting-Diodes-LED_Lite-On-LTST-C170KRKT_C94868.html
"D10,D11,D12,D13,D14,D15",LTST-C170KSKT,6,https://lcsc.com/product-detail/Light-Emitting-Diodes-LED_Lite-On-LTST-C170KSKT_C125091.html
"Q1,Q2",MMBT3904,2,
J9,PM254V-11-02-H85,1,https://www.lcsc.com/product-detail/Pin-Header-Female-Header_XFCN-PM254V-11-02-H85_C541849.html
FB1,PZ2012U221-2R0TF,1,https://lcsc.com/product-detail/Ferrite-Beads_Sunlord-PZ2012U221-2R0TF_C44361.html
J2,PZ254R-11-06P,1,https://lcsc.com/product-detail/Pin-Header-Female-Header_XFCN-PZ254R-11-06P_C492414.html
U6,SN65220DBVR,1,https://lcsc.com/product-detail/TVS_Texas-Instruments-SN65220DBVR_C21787.html
U5,TPD12S016PWR,1,https://lcsc.com/product-detail/Interface-Specialized_Texas-Instruments-TPD12S016PWR_C201665.html
U7,TPS2051CDBVR,1,https://www.mouser.fr/ProductDetail/Texas-Instruments/TPS2051CDBVR?qs=PF3AD18CSE5vi2HeWLJCmw%3D%3D
U8,XC9572XL-5VQ44C,1,https://www.mouser.fr/ProductDetail/Xilinx/XC9572XL-5VQ44C?qs=rrS6PyfT74dsfCIFWnnuFQ%3D%3D
U1,XC9572XL-5VQ64C,1,https://eu.mouser.com/ProductDetail/Xilinx/XC9572XL-5VQ64C?qs=rrS6PyfT74eW60XLpVlI8A%3D%3D

1 Part/Designator Manufacture Part Number/Seeed SKU Quantity URL
2 R5,R6 0603WAF1000T5E 2 https://lcsc.com/product-detail/Chip-Resistor-Surface-Mount_Uniroyal-Elec-0603WAF1000T5E_C22775.html
3 R20,R38,R40 R20 0603WAF1001T5E 3 1 https://lcsc.com/product-detail/Chip-Resistor-Surface-Mount_UNI-ROYAL-Uniroyal-Elec-0603WAF1001T5E_C21190.html
4 R2,R15,R16,R23,R24,R25,R26 0603WAF1002T5E 7 https://lcsc.com/product-detail/Chip-Resistor-Surface-Mount_UNI-ROYAL-Uniroyal-Elec-0603WAF1002T5E_C25804.html
5 R13,R14 0603WAF1502T5E 2 https://lcsc.com/product-detail/Chip-Resistor-Surface-Mount_UNI-ROYAL-Uniroyal-Elec-0603WAF1502T5E_C22809.html
6 R11,R12,R17,R39 R11,R12 0603WAF270JT5E 4 2 https://lcsc.com/product-detail/Chip-Resistor-Surface-Mount_UNI-ROYAL-Uniroyal-Elec-0603WAF270JT5E_C25190.html
7 R3 0603WAF5360T5E 1 https://lcsc.com/product-detail/Chip-Resistor-Surface-Mount_UNI-ROYAL-Uniroyal-Elec-0603WAF5360T5E_C23201.html
8 R7,R8,R9,R10,R21,R22,R27,R28,R29,R30,R31,R32,R33,R34,R35,R36,R37 R7,R8,R21,R22,R27,R28,R29,R30,R31,R32,R33,R34,R35,R36,R37 0603WAF5490T5E 17 15 https://lcsc.com/product-detail/Chip-Resistor-Surface-Mount_UNI-ROYAL-Uniroyal-Elec-0603WAF5490T5E_C23079.html
9 R1,R18,R19 0603WAF750JT5E 3 https://www.lcsc.com/product-detail/Chip-Resistor-Surface-Mount_Uniroyal-Elec-0603WAF750JT5E_C4275.html
10 JAB1,JCD1 10-89-7642 2 https://www2.mouser.com/ProductDetail/Molex/10-89-7642?qs=%2Fha2pyFadugCxzQFZUdvioDcljDVidgd4vXrOFuSRYM%3D
11 J5 10029449-111 1 https://www2.mouser.com/ProductDetail/Amphenol-FCI/10029449-111RLF?qs=fmpTyLOWOey0HPdD9%2F%2FaXA%3D%3D
13 R4 1210W2F1004T5E 1 https://www.lcsc.com/product-detail/Chip-Resistor-Surface-Mount_UNI-ROYAL-Uniroyal-Elec-1210W2F1004T5E_C620664.html
14 J7 640456-3 1 https://www.lcsc.com/product-detail/Wire-To-Board-Wire-To-Wire-Connector_TE-Connectivity-640456-3_C86503.html
15 U9,U10,U11,U12 74FCT245ATQG 4 https://www.mouser.fr/ProductDetail/Renesas-IDT/74FCT245ATQG?qs=JcGQCygHkIZJMVzrAcertA%3D%3D
16 U3,U8 74LVT125PW 2
17 J3 85003-0567 1 https://www.mouser.fr/ProductDetail/Molex/85003-0567?qs=U4pz39agNJB6P1oBpJ4bJA%3D%3D
18 J1,J8 87831-1420 2 https://www2.mouser.com/ProductDetail/Molex/87831-1420?qs=QtQX4uD3c2VDCL534TqpVg%3D%3D
19 U2 AD1580BRTZ 1 https://www.mouser.fr/ProductDetail/Analog-Devices/AD1580BRTZ-REEL7?qs=NmRFExCfTkFZVi9%2F1ZfkXg%3D%3D
20 U4 ADV7125JSTZ240 1 https://lcsc.com/product-detail/Digital-To-Analog-Converters-DACs_Analog-Devices-ADV7125JSTZ240_C514373.html
21 C12,C20,C31 C2012X5R1A476MTJ00E 3 https://lcsc.com/product-detail/Multilayer-Ceramic-Capacitors-MLCC-SMD-SMT_TDK-C2012X5R1A476MTJ00E_C76636.html
22 C3,C5,C8,C9,C21,C22,C28 C3,C5,C8,C9,C21,C22,C28,C33 CC0603KRX7R8BB103 7 8 https://lcsc.com/product-detail/Multilayer-Ceramic-Capacitors-MLCC-SMD-SMT_YAGEO-CC0603KRX7R8BB103_C327204.html
23 C1,C2,C4,C6,C7,C13,C14,C15,C17,C18,C19,C23,C24,C25,C27,C29,C30,C32 C1,C2,C4,C6,C7,C13,C14,C15,C17,C18,C19,C23,C24,C25,C27,C29,C30,C32,C34,C35,C36 CC0603KRX7R8BB104 18 21
24 C10,C26 CC0603KRX7R8BB105 2 https://lcsc.com/product-detail/Multilayer-Ceramic-Capacitors-MLCC-SMD-SMT_YAGEO-CC0603KRX7R8BB105_C106858.html
25 C16 GRM31CR60J157ME11L 1 https://lcsc.com/product-detail/Multilayer-Ceramic-Capacitors-MLCC-SMD-SMT_Murata-Electronics-GRM31CR60J157ME11L_C528968.html
26 C11 KM010M400E110A 1 https://lcsc.com/product-detail/Aluminum-Electrolytic-Capacitors-Leaded_Capxon-International-Elec-KM010M400E110A_C59365.html
27 J4 L77HDE15SD1CH4FVGA 1 https://www.mouser.fr/ProductDetail/Amphenol-Commercial-Products/L77HDE15SD1CH4FVGA?qs=ToP8pWlZ0bNtQSp9f8k5Rw%3D%3D
28 D9,D16,D17 LTST-C170KFKT 3 https://www.lcsc.com/product-detail/Light-Emitting-Diodes-LED_Lite-On-LTST-C170KFKT_C284931.html
29 D1,D6,D7,D8 LTST-C170KGKT 4 https://www.lcsc.com/product-detail/Light-Emitting-Diodes-LED_Lite-On-LTST-C170KGKT_C98221.html
30 D2,D3,D4,D5 D2,D3 LTST-C170KRKT 4 2 https://www.lcsc.com/product-detail/Light-Emitting-Diodes-LED_Lite-On-LTST-C170KRKT_C94868.html
31 D10,D11,D12,D13,D14,D15 LTST-C170KSKT 6 https://lcsc.com/product-detail/Light-Emitting-Diodes-LED_Lite-On-LTST-C170KSKT_C125091.html
Q1,Q2 MMBT3904 2
32 J9 PM254V-11-02-H85 1 https://www.lcsc.com/product-detail/Pin-Header-Female-Header_XFCN-PM254V-11-02-H85_C541849.html
33 FB1 PZ2012U221-2R0TF 1 https://lcsc.com/product-detail/Ferrite-Beads_Sunlord-PZ2012U221-2R0TF_C44361.html
34 J2 PZ254R-11-06P 1 https://lcsc.com/product-detail/Pin-Header-Female-Header_XFCN-PZ254R-11-06P_C492414.html
35 U6 SN65220DBVR 1 https://lcsc.com/product-detail/TVS_Texas-Instruments-SN65220DBVR_C21787.html
36 U5 TPD12S016PWR 1 https://lcsc.com/product-detail/Interface-Specialized_Texas-Instruments-TPD12S016PWR_C201665.html
37 U7 TPS2051CDBVR 1 https://www.mouser.fr/ProductDetail/Texas-Instruments/TPS2051CDBVR?qs=PF3AD18CSE5vi2HeWLJCmw%3D%3D
38 U8 U1 XC9572XL-5VQ44C XC9572XL-5VQ64C 1 https://www.mouser.fr/ProductDetail/Xilinx/XC9572XL-5VQ44C?qs=rrS6PyfT74dsfCIFWnnuFQ%3D%3D https://eu.mouser.com/ProductDetail/Xilinx/XC9572XL-5VQ64C?qs=rrS6PyfT74eW60XLpVlI8A%3D%3D

View File

@ -1,6 +1,7 @@
P CODE 00
P UNITS CUST 0
P DIM N
317GND VIA MD0157PA00X+054016Y-028386X0315Y0000R000S3
317GND VIA MD0157PA00X+100492Y-010728X0315Y0000R000S3
317GND VIA MD0157PA00X+100874Y-024360X0315Y0000R000S3
317GND VIA MD0157PA00X+100591Y-023081X0315Y0000R000S3
@ -14,11 +15,7 @@ P DIM N
317GND VIA MD0157PA00X+100409Y-021186X0315Y0000R000S3
317GND VIA MD0157PA00X+097051Y-023081X0315Y0000R000S3
317GND VIA MD0157PA00X+095618Y-011878X0315Y0000R000S3
317GND VIA MD0157PA00X+055866Y-026654X0315Y0000R000S3
317GND VIA MD0157PA00X+088244Y-037795X0315Y0000R000S3
317GND VIA MD0157PA00X+056575Y-030157X0315Y0000R000S3
317GND VIA MD0157PA00X+057047Y-031693X0315Y0000R000S3
317GND VIA MD0157PA00X+054567Y-028307X0315Y0000R000S3
317GND VIA MD0157PA00X+066465Y-021953X0315Y0000R000S3
317GND VIA MD0157PA00X+066282Y-031398X0315Y0000R000S3
317GND VIA MD0157PA00X+061164Y-031398X0315Y0000R000S3
@ -28,9 +25,7 @@ P DIM N
317GND VIA MD0157PA00X+074016Y-027953X0315Y0000R000S3
317GND VIA MD0157PA00X+069488Y-027953X0315Y0000R000S3
317GND VIA MD0157PA00X+059016Y-027953X0315Y0000R000S3
317GND VIA MD0157PA00X+052874Y-032945X0315Y0000R000S3
317GND VIA MD0157PA00X+050039Y-027643X0315Y0000R000S3
317GND VIA MD0157PA00X+050551Y-030354X0315Y0000R000S3
317GND VIA MD0157PA00X+100787Y-012992X0315Y0000R000S3
317GND VIA MD0157PA00X+100787Y-012303X0315Y0000R000S3
317GND VIA MD0157PA00X+097902Y-013650X0315Y0000R000S3
@ -43,20 +38,28 @@ P DIM N
317GND VIA MD0157PA00X+097969Y-015861X0315Y0000R000S3
317GND VIA MD0157PA00X+093690Y-014634X0315Y0000R000S3
317GND VIA MD0157PA00X+099362Y-024360X0315Y0000R000S3
317GND VIA MD0157PA00X+044193Y-036501X0315Y0000R000S3
317GND VIA MD0157PA00X+044193Y-038563X0315Y0000R000S3
317GND VIA MD0157PA00X+046161Y-036220X0315Y0000R000S3
317GND VIA MD0157PA00X+046161Y-033169X0315Y0000R000S3
317GND VIA MD0157PA00X+055335Y-029902X0315Y0000R000S3
317GND VIA MD0157PA00X+049508Y-028937X0315Y0000R000S3
317GND VIA MD0157PA00X+050787Y-031083X0315Y0000R000S3
317GND VIA MD0157PA00X+057677Y-031811X0315Y0000R000S3
317GND VIA MD0157PA00X+053210Y-033031X0315Y0000R000S3
317GND VIA MD0157PA00X+055839Y-026244X0315Y0000R000S3
317GND VIA MD0157PA00X+054134Y-035162X0315Y0000R000S3
317GND VIA MD0157PA00X+057205Y-035453X0315Y0000R000S3
317GND VIA MD0157PA00X+058346Y-034173X0315Y0000R000S3
317GND VIA MD0157PA00X+054843Y-034429X0315Y0000R000S3
317GND VIA MD0157PA00X+057244Y-034685X0315Y0000R000S3
317GND VIA MD0157PA00X+046260Y-035748X0315Y0000R000S3
317GND VIA MD0157PA00X+046260Y-034980X0315Y0000R000S3
317GND VIA MD0157PA00X+045866Y-033661X0315Y0000R000S3
317GND VIA MD0157PA00X+045079Y-034724X0315Y0000R000S3
317+3V3 VIA MD0157PA00X+097165Y-010354X0315Y0000R000S3
317+3V3 VIA MD0157PA00X+073524Y-029011X0315Y0000R000S3
317+3V3 VIA MD0157PA00X+069016Y-029016X0315Y0000R000S3
317+3V3 VIA MD0157PA00X+058563Y-029011X0315Y0000R000S3
317+3V3 VIA MD0157PA00X+063681Y-029011X0315Y0000R000S3
317+3V3 VIA MD0157PA00X+050807Y-028740X0315Y0000R000S3
317+3V3 VIA MD0157PA00X+101398Y-021806X0315Y0000R000S3
317+3V3 VIA MD0157PA00X+054921Y-026142X0315Y0000R000S3
317+3V3 VIA MD0157PA00X+096673Y-022825X0315Y0000R000S3
317+3V3 VIA MD0157PA00X+057874Y-030635X0315Y0000R000S3
317+3V3 VIA MD0157PA00X+097669Y-025098X0315Y0000R000S3
317+3V3 VIA MD0157PA00X+099213Y-012303X0315Y0000R000S3
317+3V3 VIA MD0157PA00X+099213Y-012992X0315Y0000R000S3
@ -65,105 +68,163 @@ P DIM N
317+3V3 VIA MD0157PA00X+094965Y-014165X0315Y0000R000S3
317+3V3 VIA MD0157PA00X+046719Y-017913X0315Y0000R000S3
317+3V3 VIA MD0157PA00X+046719Y-015551X0315Y0000R000S3
317+3V3 VIA MD0157PA00X+046261Y-022992X0315Y0000R000S3
317+3V3 VIA MD0157PA00X+078976Y-032594X0315Y0000R000S3
317+3V3 VIA MD0157PA00X+087613Y-023228X0315Y0000R000S3
317+3V3 VIA MD0157PA00X+063105Y-007972X0315Y0000R000S3
317+3V3 VIA MD0157PA00X+049724Y-029178X0315Y0000R000S3
317+3V3 VIA MD0157PA00X+046261Y-020618X0315Y0000R000S3
317+3V3 VIA MD0157PA00X+046261Y-022571X0315Y0000R000S3
317+3V3 VIA MD0157PA00X+046261Y-023654X0315Y0000R000S3
317+3V3 VIA MD0157PA00X+050098Y-028263X0315Y0000R000S3
317+3V3 VIA MD0157PA00X+047608Y-030413X0315Y0000R000S3
317+3V3 VIA MD0157PA00X+053917Y-031693X0315Y0000R000S3
317+3V3 VIA MD0157PA00X+056496Y-030689X0315Y0000R000S3
317+3V3 VIA MD0157PA00X+053830Y-033031X0315Y0000R000S3
317+3V3 VIA MD0157PA00X+055551Y-025677X0315Y0000R000S3
317+3V3 VIA MD0157PA00X+054134Y-035782X0315Y0000R000S3
317+3V3 VIA MD0157PA00X+044508Y-033858X0315Y0000R000S3
317+3V3 VIA MD0157PA00X+047441Y-033661X0315Y0000R000S3
317+5V VIA MD0157PA00X+099941Y-034512X0315Y0000R000S3
317+5V VIA MD0157PA00X+096941Y-024359X0315Y0000R000S3
317+5V VIA MD0157PA00X+054113Y-034646X0315Y0000R000S3
317+5V VIA MD0157PA00X+053405Y-034646X0315Y0000R000S3
317+5V VIA MD0157PA00X+052696Y-034646X0315Y0000R000S3
317+5V VIA MD0157PA00X+054792Y-034652X0315Y0000R000S3
317+5V VIA MD0157PA00X+051850Y-034646X0315Y0000R000S3
317+5V VIA MD0157PA00X+051141Y-034646X0315Y0000R000S3
317+5V VIA MD0157PA00X+050432Y-034646X0315Y0000R000S3
317+5V VIA MD0157PA00X+052528Y-034652X0315Y0000R000S3
317+5V VIA MD0157PA00X+086945Y-038571X0315Y0000R000S3
317~RESET_5V VIA MD0157PA00X+056535Y-029724X0315Y0000R000S3
317~TM1_5V VIA MD0157PA00X+051969Y-029685X0315Y0000R000S3
317~TM0_5V VIA MD0157PA00X+052402Y-029370X0315Y0000R000S3
317~ID3_3V3 VIA MD0157PA00X+049409Y-014991X0315Y0000R000S3
317~ID2_3V3 VIA MD0157PA00X+049409Y-016159X0315Y0000R000S3
317~ID1_3V3 VIA MD0157PA00X+049409Y-017327X0315Y0000R000S3
317~ID0_3V3 VIA MD0157PA00X+049409Y-018495X0315Y0000R000S3
317~START_3V3 VIA MD0157PA00X+051929Y-030630X0315Y0000R000S3
317~ACK_3V3 VIA MD0157PA00X+050748Y-030945X0315Y0000R000S3
317~ACK_3V3 VIA MD0157PA00X+049134Y-023679X0315Y0000R000S3
317~RESET_5V VIA MD0157PA00X+057382Y-029232X0315Y0000R000S3
317~TM1_5V VIA MD0157PA00X+056594Y-029657X0315Y0000R000S3
317~TM2_5V VIA MD0157PA00X+053134Y-031642X0315Y0000R000S3
317~TM0_5V VIA MD0157PA00X+056988Y-029441X0315Y0000R000S3
317~ID3_3V3 VIA MD0157PA00X+049449Y-014991X0315Y0000R000S3
317~ID2_3V3 VIA MD0157PA00X+049449Y-016159X0315Y0000R000S3
317~ID1_3V3 VIA MD0157PA00X+049449Y-017327X0315Y0000R000S3
317~ID0_3V3 VIA MD0157PA00X+049449Y-018495X0315Y0000R000S3
317~CLK2X_5V VIA MD0157PA00X+052331Y-031642X0315Y0000R000S3
317~START_3V3 VIA MD0157PA00X+052205Y-029764X0315Y0000R000S3
317~ACK_3V3 VIA MD0157PA00X+052756Y-028976X0315Y0000R000S3
317~ACK_3V3 VIA MD0157PA00X+049508Y-020608X0315Y0000R000S3
317~TM0_3V3 VIA MD0157PA00X+052008Y-026654X0315Y0000R000S3
317~TM0_3V3 VIA MD0157PA00X+048740Y-021232X0315Y0000R000S3
317~TM0_3V3 VIA MD0157PA00X+049606Y-022571X0315Y0000R000S3
317~TM1_3V3 VIA MD0157PA00X+051220Y-027205X0315Y0000R000S3
317~TM1_3V3 VIA MD0157PA00X+048740Y-022315X0315Y0000R000S3
317~TM1_3V3 VIA MD0157PA00X+049606Y-023654X0315Y0000R000S3
317FPGA_VGA_HS VIA MD0157PA00X+099154Y-013673X0315Y0000R000S3
317FPGA_VGA_VS VIA MD0157PA00X+099154Y-014354X0315Y0000R000S3
317HDMI_5V VIA MD0157PA00X+100756Y-024929X0315Y0000R000S3
317HDMI_5V VIA MD0157PA00X+102661Y-025899X0315Y0000R000S3
317ARB VIA MD0157PA00X+060335Y-007382X0315Y0000R000S3
317NUBUS_AD_DIR VIA MD0157PA00X+058465Y-030906X0315Y0000R000S3
317CPLD_JTAG_TDI VIA MD0157PA00X+053110Y-030748X0315Y0000R000S3
317CPLD_JTAG_TDO VIA MD0157PA00X+053622Y-030682X0315Y0000R000S3
317CPLD_JTAG_TDO VIA MD0157PA00X+054882Y-028799X0315Y0000R000S3
317CPLD_JTAG_TMS VIA MD0157PA00X+054291Y-031575X0315Y0000R000S3
317CPLD_JTAG_TCK VIA MD0157PA00X+054055Y-031129X0315Y0000R000S3
317GRANT VIA MD0157PA00X+060335Y-008661X0315Y0000R000S3
317TO_CPLD_SIGNAL VIA MD0157PA00X+053898Y-028661X0315Y0000R000S3
317ARB VIA MD0157PA00X+060354Y-007382X0315Y0000R000S3
317NUBUS_AD_DIR VIA MD0157PA00X+057776Y-029429X0315Y0000R000S3
317CPLD_JTAG_TDI VIA MD0157PA00X+054213Y-030472X0315Y0000R000S3
317CPLD_JTAG_TDO VIA MD0157PA00X+054508Y-030866X0315Y0000R000S3
317CPLD_JTAG_TMS VIA MD0157PA00X+054508Y-031654X0315Y0000R000S3
317CPLD_JTAG_TCK VIA MD0157PA00X+054803Y-031256X0315Y0000R000S3
317GA_TO_CPLD_CLK VIA MD0157PA00X+050000Y-031850X0315Y0000R000S3
317GRANT VIA MD0157PA00X+060354Y-008661X0315Y0000R000S3
317TO_CPLD_SIGNAL VIA MD0157PA00X+053543Y-028839X0315Y0000R000S3
327+3V3 C36 -1 A01X+046964Y-033661X0344Y0374R180S2
327GND C36 -2 A01X+046344Y-033661X0344Y0374R180S2
327+3V3 U8 -1 A01X+044508Y-034469X0571Y0177R000S2
327GND U8 -2 A01X+044508Y-034724X0571Y0177R000S2
327NET-(U8-PAD3) U8 -3 A01X+044508Y-034980X0571Y0177R000S2
327+3V3 U8 -4 A01X+044508Y-035236X0571Y0177R000S2
327GND U8 -5 A01X+044508Y-035492X0571Y0177R000S2
327NET-(U8-PAD6) U8 -6 A01X+044508Y-035748X0571Y0177R000S2
327GND U8 -7 A01X+044508Y-036004X0571Y0177R000S2
327~NMRQ_5V U8 -8 A01X+046831Y-036004X0571Y0177R000S2
327GND U8 -9 A01X+046831Y-035748X0571Y0177R000S2
327~NMRQ_3V3 U8 -10 A01X+046831Y-035492X0571Y0177R000S2
327~RQST_5V U8 -11 A01X+046831Y-035236X0571Y0177R000S2
327GND U8 -12 A01X+046831Y-034980X0571Y0177R000S2
327RQST_O_N U8 -13 A01X+046831Y-034724X0571Y0177R000S2
327+3V3 U8 -14 A01X+046831Y-034469X0571Y0177R000S2
327GND C35 -2 A01X+054606Y-035162X0344Y0374R270S2
327+3V3 C35 -1 A01X+054606Y-035782X0344Y0374R270S2
327ARB0_O_N U3 -1 A01X+057756Y-035709X0571Y0177R180S2
327GND U3 -2 A01X+057756Y-035453X0571Y0177R180S2
327~ARB0_5V U3 -3 A01X+057756Y-035197X0571Y0177R180S2
327ARB1_O_N U3 -4 A01X+057756Y-034941X0571Y0177R180S2
327GND U3 -5 A01X+057756Y-034685X0571Y0177R180S2
327~ARB1_5V U3 -6 A01X+057756Y-034429X0571Y0177R180S2
327GND U3 -7 A01X+057756Y-034173X0571Y0177R180S2
327~ARB3_5V U3 -8 A01X+055433Y-034173X0571Y0177R180S2
327GND U3 -9 A01X+055433Y-034429X0571Y0177R180S2
327ARB3_O_N U3 -10 A01X+055433Y-034685X0571Y0177R180S2
327~ARB2_5V U3 -11 A01X+055433Y-034941X0571Y0177R180S2
327GND U3 -12 A01X+055433Y-035197X0571Y0177R180S2
327ARB2_O_N U3 -13 A01X+055433Y-035453X0571Y0177R180S2
327+3V3 U3 -14 A01X+055433Y-035709X0571Y0177R180S2
327+3V3 C3 -1 A01X+056969Y-030690X0344Y0374R090S2
327GND C3 -2 A01X+056969Y-031310X0344Y0374R090S2
327+3V3 C28 -1 A01X+050591Y-028263X0344Y0374R270S2
327GND C28 -2 A01X+050591Y-027643X0344Y0374R270S2
327GND C33 -2 A01X+053210Y-034191X0344Y0374R180S2
327+3V3 C33 -1 A01X+053830Y-034191X0344Y0374R180S2
327+3V3 C34 -1 A01X+053830Y-033502X0344Y0374R180S2
327GND C34 -2 A01X+053210Y-033502X0344Y0374R180S2
327~TM1_3V3 U1 -1 A01X+051378Y-028524X0630Y0118R000S2
327~TM0_3V3 U1 -2 A01X+051378Y-028720X0630Y0118R000S2
327+3V3 U1 -3 A01X+051378Y-028917X0630Y0118R000S2
327~RQST_3V3 U1 -4 A01X+051378Y-029114X0630Y0118R000S2
327~ACK_3V3 U1 -5 A01X+051378Y-029311X0630Y0118R000S2
327TO_CPLD_SIGNAL U1 -6 A01X+051378Y-029508X0630Y0118R000S2
327~START_3V3 U1 -7 A01X+051378Y-029705X0630Y0118R000S2
327~ID3_5V U1 -8 A01X+051378Y-029902X0630Y0118R000S2
327RQST_O_N U1 -9 A01X+051378Y-030098X0630Y0118R000S2
327~RQST_5V U1 -10 A01X+051378Y-030295X0630Y0118R000S2
327~ACK_5V U1 -11 A01X+051378Y-030492X0630Y0118R000S2
327~START_5V U1 -12 A01X+051378Y-030689X0630Y0118R000S2
327NET-(U1-PAD13) U1 -13 A01X+051378Y-030886X0630Y0118R000S2
327GND U1 -14 A01X+051378Y-031083X0630Y0118R000S2
327GA_TO_CPLD_CLK U1 -15 A01X+051378Y-031280X0630Y0118R000S2
327~CLK_5V U1 -16 A01X+051378Y-031476X0630Y0118R000S2
327~CLK2X_5V U1 -17 A01X+052146Y-032244X0118Y0630R000S2
327~ID2_5V U1 -18 A01X+052343Y-032244X0118Y0630R000S2
327~ID1_5V U1 -19 A01X+052539Y-032244X0118Y0630R000S2
327~ID0_5V U1 -20 A01X+052736Y-032244X0118Y0630R000S2
327GND U1 -21 A01X+052933Y-032244X0118Y0630R000S2
327~TM2_5V U1 -22 A01X+053130Y-032244X0118Y0630R000S2
327NET-(U1-PAD23) U1 -23 A01X+053327Y-032244X0118Y0630R000S2
327NET-(U1-PAD24) U1 -24 A01X+053524Y-032244X0118Y0630R000S2
327ARB2_O_N U1 -25 A01X+053720Y-032244X0118Y0630R000S2
327+3V3 U1 -26 A01X+053917Y-032244X0118Y0630R000S2
327~ARB2_5V U1 -27 A01X+054114Y-032244X0118Y0630R000S2
327CPLD_JTAG_TDI U1 -28 A01X+054311Y-032244X0118Y0630R000S2
327CPLD_JTAG_TMS U1 -29 A01X+054508Y-032244X0118Y0630R000S2
327CPLD_JTAG_TCK U1 -30 A01X+054705Y-032244X0118Y0630R000S2
327ARB3_O_N U1 -31 A01X+054902Y-032244X0118Y0630R000S2
327~ARB3_5V U1 -32 A01X+055098Y-032244X0118Y0630R000S2
327ARB0_O_N U1 -33 A01X+055866Y-031476X0630Y0118R000S2
327~ARB0_5V U1 -34 A01X+055866Y-031280X0630Y0118R000S2
327ARB1_O_N U1 -35 A01X+055866Y-031083X0630Y0118R000S2
327~ARB1_5V U1 -36 A01X+055866Y-030886X0630Y0118R000S2
327+3V3 U1 -37 A01X+055866Y-030689X0630Y0118R000S2
327NET-(U1-PAD38) U1 -38 A01X+055866Y-030492X0630Y0118R000S2
327NET-(U1-PAD39) U1 -39 A01X+055866Y-030295X0630Y0118R000S2
327NET-(U1-PAD40) U1 -40 A01X+055866Y-030098X0630Y0118R000S2
327GND U1 -41 A01X+055866Y-029902X0630Y0118R000S2
327NET-(U1-PAD42) U1 -42 A01X+055866Y-029705X0630Y0118R000S2
327~TM1_5V U1 -43 A01X+055866Y-029508X0630Y0118R000S2
327~TM0_5V U1 -44 A01X+055866Y-029311X0630Y0118R000S2
327~RESET_5V U1 -45 A01X+055866Y-029114X0630Y0118R000S2
327NUBUS_OE U1 -46 A01X+055866Y-028917X0630Y0118R000S2
327~CLK_3V3 U1 -47 A01X+055866Y-028720X0630Y0118R000S2
327~RESET_3V3 U1 -48 A01X+055866Y-028524X0630Y0118R000S2
327BUS_MASTER_DIR U1 -49 A01X+055098Y-027756X0118Y0630R000S2
327_CPLD_SIGNAL_2 U1 -50 A01X+054902Y-027756X0118Y0630R000S2
327TMOEN U1 -51 A01X+054705Y-027756X0118Y0630R000S2
327NET-(U1-PAD52) U1 -52 A01X+054508Y-027756X0118Y0630R000S2
327CPLD_JTAG_TDO U1 -53 A01X+054311Y-027756X0118Y0630R000S2
327GND U1 -54 A01X+054114Y-027756X0118Y0630R000S2
327+3V3 U1 -55 A01X+053917Y-027756X0118Y0630R000S2
327ARB U1 -56 A01X+053720Y-027756X0118Y0630R000S2
327GRANT U1 -57 A01X+053524Y-027756X0118Y0630R000S2
327NET-(U1-PAD58) U1 -58 A01X+053327Y-027756X0118Y0630R000S2
327~TM2_3V3 U1 -59 A01X+053130Y-027756X0118Y0630R000S2
327~CLK2X_3V3 U1 -60 A01X+052933Y-027756X0118Y0630R000S2
327~ID0_3V3 U1 -61 A01X+052736Y-027756X0118Y0630R000S2
327~ID1_3V3 U1 -62 A01X+052539Y-027756X0118Y0630R000S2
327~ID2_3V3 U1 -63 A01X+052343Y-027756X0118Y0630R000S2
327~ID3_3V3 U1 -64 A01X+052146Y-027756X0118Y0630R000S2
327+3V3 C26 -1 A01X+049528Y-028263X0344Y0374R270S2
327GND C26 -2 A01X+049528Y-027643X0344Y0374R270S2
327NET-(Q2-PAD1) Q2 -1 A01X+046161Y-034961X0354Y0315R000S2
327GND Q2 -2 A01X+046161Y-035709X0354Y0315R000S2
327~RQST_5V Q2 -3 A01X+046949Y-035335X0354Y0315R000S2
327RQST_O R39 -2 A01X+046850Y-033647X0344Y0374R270S2
327NET-(Q2-PAD1) R39 -1 A01X+046850Y-034267X0344Y0374R270S2
327GND R40 -1 A01X+046161Y-033647X0344Y0374R090S2
327NET-(Q2-PAD1) R40 -2 A01X+046161Y-034267X0344Y0374R090S2
327GND R38 -1 A01X+044685Y-036501X0344Y0374R090S2
327NET-(Q1-PAD1) R38 -2 A01X+044685Y-037121X0344Y0374R090S2
327NMRQ R17 -2 A01X+045374Y-036501X0344Y0374R270S2
327NET-(Q1-PAD1) R17 -1 A01X+045374Y-037121X0344Y0374R270S2
327NET-(Q1-PAD1) Q1 -1 A01X+044685Y-037815X0354Y0315R000S2
327GND Q1 -2 A01X+044685Y-038563X0354Y0315R000S2
327~NMRQ_5V Q1 -3 A01X+045472Y-038189X0354Y0315R000S2
327~CLK_5V U8 -44 A01X+051378Y-031575X0630Y0217R180S2
327RQST_O U8 -43 A01X+051378Y-031260X0630Y0217R180S2
327~ACK_3V3 U8 -42 A01X+051378Y-030945X0630Y0217R180S2
327~START_3V3 U8 -41 A01X+051378Y-030630X0630Y0217R180S2
327~RQST_5V U8 -40 A01X+051378Y-030315X0630Y0217R180S2
327TO_CPLD_SIGNAL U8 -39 A01X+051378Y-030000X0630Y0217R180S2
327~TM1_5V U8 -38 A01X+051378Y-029685X0630Y0217R180S2
327~TM0_5V U8 -37 A01X+051378Y-029370X0630Y0217R180S2
327~RQST_3V3 U8 -36 A01X+051378Y-029055X0630Y0217R180S2
327+3V3 U8 -35 A01X+051378Y-028740X0630Y0217R180S2
327~TM1_3V3 U8 -34 A01X+051378Y-028425X0630Y0217R180S2
327~TM0_3V3 U8 -33 A01X+052047Y-027756X0630Y0217R270S2
327~ID3_3V3 U8 -32 A01X+052362Y-027756X0630Y0217R270S2
327~ID2_3V3 U8 -31 A01X+052677Y-027756X0630Y0217R270S2
327~ID1_3V3 U8 -30 A01X+052992Y-027756X0630Y0217R270S2
327~ID0_3V3 U8 -29 A01X+053307Y-027756X0630Y0217R270S2
327ARB U8 -28 A01X+053622Y-027756X0630Y0217R270S2
327GRANT U8 -27 A01X+053937Y-027756X0630Y0217R270S2
327+3V3 U8 -26 A01X+054252Y-027756X0630Y0217R270S2
327GND U8 -25 A01X+054567Y-027756X0630Y0217R270S2
327CPLD_JTAG_TDO U8 -24 A01X+054882Y-027756X0630Y0217R270S2
327TMOEN U8 -23 A01X+055197Y-027756X0630Y0217R270S2
327BUS_MASTER_DIR U8 -22 A01X+055866Y-028425X0630Y0217R180S2
327~RESET_3V3 U8 -21 A01X+055866Y-028740X0630Y0217R180S2
327~CLK_3V3 U8 -20 A01X+055866Y-029055X0630Y0217R180S2
327NUBUS_OE U8 -19 A01X+055866Y-029370X0630Y0217R180S2
327~RESET_5V U8 -18 A01X+055866Y-029685X0630Y0217R180S2
327GND U8 -17 A01X+055866Y-030000X0630Y0217R180S2
327~ARB3_5V U8 -16 A01X+055866Y-030315X0630Y0217R180S2
327+3V3 U8 -15 A01X+055866Y-030630X0630Y0217R180S2
327~ARB2_5V U8 -14 A01X+055866Y-030945X0630Y0217R180S2
327~ARB1_5V U8 -13 A01X+055866Y-031260X0630Y0217R180S2
327~ARB0_5V U8 -12 A01X+055866Y-031575X0630Y0217R180S2
327CPLD_JTAG_TCK U8 -11 A01X+055197Y-032244X0630Y0217R270S2
327CPLD_JTAG_TMS U8 -10 A01X+054882Y-032244X0630Y0217R270S2
327CPLD_JTAG_TDI U8 -9 A01X+054567Y-032244X0630Y0217R270S2
327~ID0_5V U8 -8 A01X+054252Y-032244X0630Y0217R270S2
327~ID1_5V U8 -7 A01X+053937Y-032244X0630Y0217R270S2
327~ID2_5V U8 -6 A01X+053622Y-032244X0630Y0217R270S2
327~ID3_5V U8 -5 A01X+053307Y-032244X0630Y0217R270S2
327GND U8 -4 A01X+052992Y-032244X0630Y0217R270S2
327~ACK_5V U8 -3 A01X+052677Y-032244X0630Y0217R270S2
327~START_5V U8 -2 A01X+052362Y-032244X0630Y0217R270S2
327GA_TO_CPLD_CLK U8 -1 A01X+052047Y-032244X0630Y0217R270S2
327+3V3 U9 -20 A01X+058914Y-029845X0661Y0161R270S2
327NUBUS_OE U9 -19 A01X+059164Y-029845X0661Y0161R270S2
327~AD31_3V3 U9 -18 A01X+059414Y-029845X0661Y0161R270S2
@ -284,22 +345,22 @@ P DIM N
327+3V3 R34 -1 A01X+087613Y-023917X0344Y0374R180S2
327+3V3 R35 -1 A01X+087613Y-022638X0344Y0374R180S2
327NET-(D15-PAD2) R35 -2 A01X+086993Y-022638X0344Y0374R180S2
327~START_3V3 D13 -1 A01X+050015Y-030630X0384Y0551R180S2
327NET-(D13-PAD2) D13 -2 A01X+049277Y-030630X0384Y0551R180S2
327+3V3 R33 -1 A01X+049252Y-029178X0344Y0374R090S2
327NET-(D13-PAD2) R33 -2 A01X+049252Y-029798X0344Y0374R090S2
327~TM0_3V3 D11 -1 A01X+048227Y-021232X0384Y0551R180S2
327NET-(D11-PAD2) D11 -2 A01X+047489Y-021232X0384Y0551R180S2
327NET-(D10-PAD2) R30 -2 A01X+046692Y-022315X0344Y0374R000S2
327+3V3 R30 -1 A01X+046072Y-022315X0344Y0374R000S2
327+3V3 R31 -1 A01X+046072Y-021232X0344Y0374R000S2
327NET-(D11-PAD2) R31 -2 A01X+046692Y-021232X0344Y0374R000S2
327NET-(D10-PAD2) D10 -2 A01X+047489Y-022315X0384Y0551R180S2
327~TM1_3V3 D10 -1 A01X+048227Y-022315X0384Y0551R180S2
327~ACK_3V3 D12 -1 A01X+048586Y-023679X0384Y0551R180S2
327NET-(D12-PAD2) D12 -2 A01X+047848Y-023679X0384Y0551R180S2
327+3V3 R32 -1 A01X+046411Y-023687X0344Y0374R000S2
327NET-(D12-PAD2) R32 -2 A01X+047031Y-023687X0344Y0374R000S2
327~START_3V3 D13 -1 A01X+050566Y-029724X0384Y0551R180S2
327NET-(D13-PAD2) D13 -2 A01X+049828Y-029724X0384Y0551R180S2
327+3V3 R33 -1 A01X+048509Y-029724X0344Y0374R000S2
327NET-(D13-PAD2) R33 -2 A01X+049129Y-029724X0344Y0374R000S2
327~TM0_3V3 D11 -1 A01X+049094Y-022571X0384Y0551R180S2
327NET-(D11-PAD2) D11 -2 A01X+048355Y-022571X0384Y0551R180S2
327NET-(D10-PAD2) R30 -2 A01X+047558Y-023654X0344Y0374R000S2
327+3V3 R30 -1 A01X+046938Y-023654X0344Y0374R000S2
327+3V3 R31 -1 A01X+046938Y-022571X0344Y0374R000S2
327NET-(D11-PAD2) R31 -2 A01X+047558Y-022571X0344Y0374R000S2
327NET-(D10-PAD2) D10 -2 A01X+048355Y-023654X0384Y0551R180S2
327~TM1_3V3 D10 -1 A01X+049094Y-023654X0384Y0551R180S2
327~ACK_3V3 D12 -1 A01X+048980Y-020608X0384Y0551R180S2
327NET-(D12-PAD2) D12 -2 A01X+048241Y-020608X0384Y0551R180S2
327+3V3 R32 -1 A01X+046804Y-020616X0344Y0374R000S2
327NET-(D12-PAD2) R32 -2 A01X+047425Y-020616X0344Y0374R000S2
327+3V3 R29 -1 A01X+077854Y-032594X0344Y0374R270S2
327NET-(D9-PAD2) R29 -2 A01X+077854Y-031973X0344Y0374R270S2
327NUBUS_OE D9 -1 A01X+077854Y-030438X0384Y0551R090S2
@ -400,8 +461,8 @@ P DIM N
327+3V3 C24 -1 A01X+064173Y-029011X0344Y0374R270S2
317+3V3 J9 -1 D0402PA00X+079854Y-031134X0669Y0669R270S0
317GND J9 -2 D0402PA00X+080854Y-031134X0669Y0669R270S0
327+3V3 C30 -1 A01X+055458Y-025984X0344Y0374R000S2
327GND C30 -2 A01X+056078Y-025984X0344Y0374R000S2
327+3V3 C30 -1 A01X+054765Y-026244X0344Y0374R000S2
327GND C30 -2 A01X+055385Y-026244X0344Y0374R000S2
327+3V3 C29 -1 A01X+099690Y-012303X0344Y0374R000S2
327GND C29 -2 A01X+100310Y-012303X0344Y0374R000S2
317GND J8 -1 D0354PA00X+045906Y-029843X0531Y0531R180S0
@ -418,35 +479,31 @@ P DIM N
317NET-(J8-PAD12) J8 -12 D0354PA00X+045118Y-025906X0531Y0531R180S0
317GND J8 -13 D0354PA00X+045906Y-025118X0531Y0531R180S0
317NET-(J8-PAD14) J8 -14 D0354PA00X+045118Y-025118X0531Y0531R180S0
327+3V3 C28 -1 A01X+050551Y-028263X0344Y0374R270S2
327GND C28 -2 A01X+050551Y-027643X0344Y0374R270S2
327+3V3 C27 -1 A01X+057402Y-030635X0344Y0374R090S2
327GND C27 -2 A01X+057402Y-031255X0344Y0374R090S2
327+3V3 C1 -1 A01X+050551Y-029257X0344Y0374R090S2
327GND C1 -2 A01X+050551Y-029877X0344Y0374R090S2
327+3V3 C27 -1 A01X+057677Y-030690X0344Y0374R090S2
327GND C27 -2 A01X+057677Y-031310X0344Y0374R090S2
327+3V3 C1 -1 A01X+050605Y-028937X0344Y0374R180S2
327GND C1 -2 A01X+049985Y-028937X0344Y0374R180S2
327+3V3 C25 -1 A01X+069488Y-029011X0344Y0374R270S2
327GND C25 -2 A01X+069488Y-028391X0344Y0374R270S2
327GND C2 -2 A01X+074016Y-028391X0344Y0374R270S2
327+3V3 C2 -1 A01X+074016Y-029011X0344Y0374R270S2
327GND C22 -2 A01X+055349Y-026654X0344Y0374R000S2
327+3V3 C22 -1 A01X+054729Y-026654X0344Y0374R000S2
327GND C22 -2 A01X+054692Y-026917X0344Y0374R000S2
327+3V3 C22 -1 A01X+054072Y-026917X0344Y0374R000S2
327+3V3 C23 -1 A01X+059016Y-029011X0344Y0374R270S2
327GND C23 -2 A01X+059016Y-028391X0344Y0374R270S2
327+3V3 C3 -1 A01X+056693Y-030635X0344Y0374R090S2
327GND C3 -2 A01X+056693Y-031255X0344Y0374R090S2
327+5V C20 -1 A01X+086946Y-037795X0384Y0551R000S2
327GND C20 -2 A01X+087684Y-037795X0384Y0551R000S2
317GND J7 -1 D0472PA00X+085921Y-035933X0685Y0866R000S0
317+5V J7 -2 D0472PA00X+086921Y-035933X0685Y0866R000S0
317GND J7 -3 D0472PA00X+087921Y-035933X0685Y0866R000S0
327~ID1_5V R24 -2 A01X+054113Y-033551X0344Y0374R270S2
327+5V R24 -1 A01X+054113Y-034171X0344Y0374R270S2
327+5V R25 -1 A01X+053405Y-034171X0344Y0374R270S2
327~ID2_5V R25 -2 A01X+053405Y-033551X0344Y0374R270S2
327~ID3_5V R26 -2 A01X+052696Y-033551X0344Y0374R270S2
327+5V R26 -1 A01X+052696Y-034171X0344Y0374R270S2
327+5V R23 -1 A01X+054822Y-034171X0344Y0374R270S2
327~ID0_5V R23 -2 A01X+054822Y-033551X0344Y0374R270S2
327~ID1_5V R24 -2 A01X+051850Y-033551X0344Y0374R270S2
327+5V R24 -1 A01X+051850Y-034171X0344Y0374R270S2
327+5V R25 -1 A01X+051141Y-034171X0344Y0374R270S2
327~ID2_5V R25 -2 A01X+051141Y-033551X0344Y0374R270S2
327~ID3_5V R26 -2 A01X+050432Y-033551X0344Y0374R270S2
327+5V R26 -1 A01X+050432Y-034171X0344Y0374R270S2
327+5V R23 -1 A01X+052558Y-034171X0344Y0374R270S2
327~ID0_5V R23 -2 A01X+052558Y-033551X0344Y0374R270S2
327+3V3 C21 -1 A01X+099929Y-021184X0344Y0374R270S2
327GND C21 -2 A01X+099929Y-020564X0344Y0374R270S2
317ET-(JCD1-PAD1) JCD1 -1 D0449PA00X+051181Y-011811X0669Y0669R270S0
@ -464,9 +521,9 @@ P DIM N
317HDMI_HPD_A JCD1 -13 D0449PA00X+057181Y-011811X0669Y0669R270S0
317LED1 JCD1 -14 D0449PA00X+057181Y-010811X0669Y0669R270S0
317HDMI_SDA_A JCD1 -15 D0449PA00X+058181Y-011811X0669Y0669R270S0
317LED2 JCD1 -16 D0449PA00X+058181Y-010811X0669Y0669R270S0
317~CLK2X_3V3 JCD1 -16 D0449PA00X+058181Y-010811X0669Y0669R270S0
317HDMI_SCL_A JCD1 -17 D0449PA00X+059181Y-011811X0669Y0669R270S0
317LED3 JCD1 -18 D0449PA00X+059181Y-010811X0669Y0669R270S0
317~TM2_3V3 JCD1 -18 D0449PA00X+059181Y-010811X0669Y0669R270S0
317HDMI_CEC_A JCD1 -19 D0449PA00X+060181Y-011811X0669Y0669R270S0
317FPGA_VGA_HS JCD1 -20 D0449PA00X+060181Y-010811X0669Y0669R270S0
317HDMI_CLK+ JCD1 -21 D0449PA00X+061181Y-011811X0669Y0669R270S0
@ -564,18 +621,10 @@ P DIM N
327NET-(D3-PAD2) D3 -2 A01X+057270Y-006389X0384Y0551R090S2
327NET-(D2-PAD2) D2 -2 A01X+056386Y-006389X0384Y0551R090S2
327GND D2 -1 A01X+056386Y-005651X0384Y0551R090S2
327NET-(D4-PAD2) D4 -2 A01X+058155Y-006389X0384Y0551R090S2
327GND D4 -1 A01X+058155Y-005651X0384Y0551R090S2
327GND D5 -1 A01X+059039Y-005651X0384Y0551R090S2
327NET-(D5-PAD2) D5 -2 A01X+059039Y-006389X0384Y0551R090S2
327NET-(D3-PAD2) R8 -2 A01X+057270Y-007123X0344Y0374R270S2
327LED1 R8 -1 A01X+057270Y-007743X0344Y0374R270S2
327LED0 R7 -1 A01X+056386Y-007743X0344Y0374R270S2
327NET-(D2-PAD2) R7 -2 A01X+056386Y-007123X0344Y0374R270S2
327NET-(D4-PAD2) R9 -2 A01X+058155Y-007123X0344Y0374R270S2
327LED2 R9 -1 A01X+058155Y-007743X0344Y0374R270S2
327LED3 R10 -1 A01X+059039Y-007743X0344Y0374R270S2
327NET-(D5-PAD2) R10 -2 A01X+059039Y-007123X0344Y0374R270S2
327GND C15 -2 A01X+103161Y-026519X0344Y0374R090S2
327HDMI_5V C15 -1 A01X+103161Y-025899X0344Y0374R090S2
327GND C14 -2 A01X+096402Y-023741X0344Y0374R270S2
@ -802,7 +851,7 @@ P DIM N
317~AD31_3V3 JAB1 -19 D0449PA00X+060181Y-024311X0669Y0669R270S0
317NUBUS_AD_DIR JAB1 -18 D0449PA00X+059181Y-023311X0669Y0669R270S0
317~RESET_3V3 JAB1 -17 D0449PA00X+059181Y-024311X0669Y0669R270S0
317T-(JAB1-PAD16) JAB1 -16 D0449PA00X+058181Y-023311X0669Y0669R270S0
317_CPLD_SIGNAL_2 JAB1 -16 D0449PA00X+058181Y-023311X0669Y0669R270S0
317BUS_MASTER_DIR JAB1 -15 D0449PA00X+058181Y-024311X0669Y0669R270S0
317GA_TO_CPLD_CLK JAB1 -14 D0449PA00X+057181Y-023311X0669Y0669R270S0
317TO_CPLD_SIGNAL JAB1 -13 D0449PA00X+057181Y-024311X0669Y0669R270S0
@ -812,7 +861,7 @@ P DIM N
317~START_3V3 JAB1 -9 D0449PA00X+055181Y-024311X0669Y0669R270S0
317~TM1_3V3 JAB1 -8 D0449PA00X+054181Y-023311X0669Y0669R270S0
317~TM0_3V3 JAB1 -7 D0449PA00X+054181Y-024311X0669Y0669R270S0
317NMRQ JAB1 -6 D0449PA00X+053181Y-023311X0669Y0669R270S0
317~NMRQ_3V3 JAB1 -6 D0449PA00X+053181Y-023311X0669Y0669R270S0
317~RQST_3V3 JAB1 -5 D0449PA00X+053181Y-024311X0669Y0669R270S0
317GND JAB1 -4 D0449PA00X+052181Y-023311X0669Y0669R270S0
317GND JAB1 -3 D0449PA00X+052181Y-024311X0669Y0669R270S0

File diff suppressed because it is too large Load Diff

File diff suppressed because it is too large Load Diff

File diff suppressed because it is too large Load Diff

File diff suppressed because it is too large Load Diff

File diff suppressed because it is too large Load Diff

Binary file not shown.

File diff suppressed because it is too large Load Diff

View File

@ -1,7 +1,7 @@
Info: Front side (top side) place file: “/home/dolbeau/MAC/NuBusFPGA/nubus-to-ztex/nubus-to-ztex-top.pos”.
Info: Component count: 101.
Info: Component count: 97.
Info: Back side (bottom side) place file: “/home/dolbeau/MAC/NuBusFPGA/nubus-to-ztex/nubus-to-ztex-bottom.pos”.
Info: Component count: 0.
Info: Full component count: 101
Info: Full component count: 97
Component Placement File generation OK.

Binary file not shown.