Revert "idk"

This reverts commit 06688d2caf.
This commit is contained in:
Zane Kaminski 2023-07-15 23:21:41 -04:00
parent 06688d2caf
commit 3e048e19d7
68 changed files with 15238 additions and 15210 deletions

View File

@ -8,7 +8,7 @@ module CNT(
/* Mac PDS bus master control outputs */
output reg AoutOE, output reg nBR_IOB,
/* Sound QoS */
input BACT, input WS, input SndRAMCSWR, output reg SndReady);
input BACT, input WS, input SndRAMCSWR, output reg QoSReady);
/* E clock synchronization */
reg [1:0] Er; always @(posedge CLK) Er[1:0] <= { Er[0], E };
@ -56,42 +56,36 @@ module CNT(
* 4096 states == 57.516 ms */
reg [11:0] LTimer;
reg LTimerTC;
always @(posedge CLK) begin
if (EFall) begin
LTimer <= LTimer+1;
LTimerTC <= LTimer[11:0]==12'hFFE;
end
end
/* Sound QoS trigger
* Sound timer counts from 1 to 3
* starting at first sound RAM access.
* Period is 28.124 us - 42.240 us */
reg [1:0] STimer;
reg SndSlow;
reg SndSlowEN;
always @(posedge CLK) begin
if (BACT && SndRAMCSWR) begin
STimer <= 1;
SndSlow <= 1;
SndSlowEN <= 1;
end else if (STimer==0) begin
STimer <= 0;
SndSlow <= 0;
SndSlowEN <= 0;
end else if (EFall && TimerTC) begin
STimer <= LTimer+1;
SndSlow <= STimer!=3;
LTimer <= LTimer+1;
SndSlowEN <= STimer!=3;
end
LTimerTC <= LTimer[11:0]==12'hFFE;
end
/* Sound QoS */
reg [6:0] Credits;
always @(posedge CLK) begin
if (!SndSlow) Credits <= 8;
if (!SndSlowEN) Credits <= 8;
else if (!C8MFall && !WS) Credits <= Credits+1;
else if ( C8MFall && !WS) Credits <= Credits;
else if (!C8MFall && WS) Credits <= Credits;
else if ( C8MFall && WS) Credits <= Credits-1;
end
always @(posedge CLK) if (!BACT || !SndReady) SndReady <= !SndSlow || Credits[6:3]==0;
always @(posedge CLK) if (!BACT || !QoSReady) QoSReady <= Credits[6:3]==0;
/* Startup sequence state control */
wire ISTC = EFall && TimerTC && LTimerTC;

View File

@ -2,29 +2,26 @@ module FSB(
/* MC68HC000 interface */
input FCLK, input nAS, output reg nDTACK, output reg nVPA,
/* AS cycle detection */
output BACT, output BACTr_out, output reg WS,
output BACT, output reg [3:1] BACTr, output reg WS,
/* Ready inputs */
input ROMCS,
input RAMCS, input RAMReady,
input IOPWCS, input IOPWReady, input IOReady,
input SndReady,
input QoSReady,
/* Interrupt acknowledge select */
input IACS);
/* AS cycle detection */
reg ASrf = 0;
reg [3:1] BACTr;
always @(negedge FCLK) begin ASrf <= !nAS; end
assign BACT = !nAS || ASrf; // BACT - bus active
always @(posedge FCLK) BACTr[3:1] <= { BACTr[2:1], BACT };
assign BACTr_out = BACTr[1];
always @(posedge FCLK) WS <= BACTr[3:1]==3'b111 && BACT;
/* DTACK/VPA control */
wire Ready = SndReady &&
(RAMCS && RAMReady && !IOPWCS) ||
(RAMCS && RAMReady && IOPWCS && IOPWReady) ||
(ROMCS) || (IOReady);
wire Ready = (QoSReady && RAMCS && RAMReady && !IOPWCS) ||
( RAMCS && RAMReady && IOPWCS && IOPWReady) ||
(QoSReady && ROMCS) || (IOReady);
always @(posedge FCLK) nDTACK <= !(Ready && BACT && !IACS);
always @(posedge FCLK, posedge nAS) begin
if (nAS) nVPA <= 1;

View File

@ -3,7 +3,7 @@ module RAM(
input CLK, input [21:1] A, input nWE,
input nAS, input nLDS, input nUDS, input nDTACK,
/* AS cycle detection */
input BACT, input BACTr,
input BACT, input [3:1] BACTr,
/* Select and ready signals */
input RAMCS, input RAMCS0X, input ROMCS, output reg RAMReady,
/* Refresh Counter Interface */
@ -47,7 +47,7 @@ module RAM(
assign nROMWE = !(!nAS && !nWE);
/* Shared ROM and RAM /OE control */
always @(posedge CLK) nOE <= !(BACT && nWE && !(BACTr && DTACKr));
always @(posedge CLK) nOE <= !(BACT && nWE && !(BACTr[1] && DTACKr));
/* RAM address mux (and ROM address on RA8) */
// RA11 doesn't do anything so both should be identical.
@ -67,7 +67,7 @@ module RAM(
assign RA[00] = !RASEL ? A[09] : A[01];
wire RS0toRef = // Refresh during first clock of non-RAM access
(RefReq && BACT && !BACTr && !RAMCS0X) ||
(RefReq && BACT && !BACTr[1] && !RAMCS0X) ||
// Urgent refresh while bus inactive
(RefUrg && !BACT) ||
// Urgent refresh during non-RAM access

View File

@ -50,7 +50,7 @@ module WarpSE(
/* AS cycle detection */
wire BACT;
wire BACTr;
wire [3:1] BACTr;
wire WS;
/* Refresh request clock */
@ -132,7 +132,7 @@ module WarpSE(
IORDREQ, IOWRREQ, IOL0, IOU0,
IOACT, IODONE, IOBERR);
wire SndReady;
wire QoSReady;
CNT cnt(
/* FSB clock, C8M clock, E clock inputs */
FCLK, C8M, E,
@ -143,7 +143,7 @@ module WarpSE(
/* Mac PDS bus master control outputs */
AoutOE, nBR_IOB,
/* Sound QoS */
BACT, WS, SndRAMCSWR, SndReady);
BACT, WS, SndRAMCSWR, QoSReady);
FSB fsb(
/* MC68HC000 interface */
@ -154,7 +154,7 @@ module WarpSE(
ROMCS4X,
RAMCS0X, RAMReady,
IOPWCS, IOPWReady, IOReady,
SndReady,
QoSReady,
/* Interrupt acknowledge select */
IACS);

View File

@ -3,16 +3,16 @@ Copyright (c) 1995-2013 Xilinx, Inc. All rights reserved.
Command Line: C:\Xilinx\14.7\ISE_DS\ISE\bin\nt64\unwrapped\ngdbuild.exe
-intstyle ise -dd _ngo -uc
C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p
C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p
xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd
Reading NGO file
"C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.ngc" ...
"C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.ngc" ...
Gathering constraint information from source properties...
Done.
Annotating constraints to design from ucf file
"C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf" ...
"C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf" ...
Resolving constraint associations...
Checking Constraint Associations...
Done...
@ -30,7 +30,7 @@ NGDBUILD Design Results Summary:
Number of errors: 0
Number of warnings: 0
Total memory usage is 152020 kilobytes
Total memory usage is 155620 kilobytes
Writing NGD file "WarpSE.ngd" ...
Total REAL time to NGDBUILD completion: 3 sec

View File

@ -931,53 +931,3 @@ xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/Wa
xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr"
ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd
cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd
xst -intstyle ise -ifn "C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr"
xst -intstyle ise -ifn "C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr"
ngdbuild -intstyle ise -dd _ngo -uc C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd
cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd
XSLTProcess WarpSE_build.xml
xst -intstyle ise -ifn "C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr"
ngdbuild -intstyle ise -dd _ngo -uc C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd
cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd
xst -intstyle ise -ifn "C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr"
xst -intstyle ise -ifn "C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr"
ngdbuild -intstyle ise -dd _ngo -uc C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd
cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd
XSLTProcess WarpSE_build.xml
tsim -intstyle ise WarpSE WarpSE.nga
hprep6 -s IEEE1149 -n WarpSE -i WarpSE
xst -intstyle ise -ifn "C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr"
ngdbuild -intstyle ise -dd _ngo -uc C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd
cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd
XSLTProcess WarpSE_build.xml
tsim -intstyle ise WarpSE WarpSE.nga
hprep6 -s IEEE1149 -n WarpSE -i WarpSE
cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd
tsim -intstyle ise WarpSE WarpSE.nga
hprep6 -s IEEE1149 -n WarpSE -i WarpSE
xst -intstyle ise -ifn "C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr"
ngdbuild -intstyle ise -dd _ngo -uc C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd
cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd
tsim -intstyle ise WarpSE WarpSE.nga
hprep6 -s IEEE1149 -n WarpSE -i WarpSE
xst -intstyle ise -ifn "C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr"
ngdbuild -intstyle ise -dd _ngo -uc C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd
cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd
tsim -intstyle ise WarpSE WarpSE.nga
hprep6 -s IEEE1149 -n WarpSE -i WarpSE
xst -intstyle ise -ifn "C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr"
xst -intstyle ise -ifn "C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr"
ngdbuild -intstyle ise -dd _ngo -uc C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd
cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd
tsim -intstyle ise WarpSE WarpSE.nga
hprep6 -s IEEE1149 -n WarpSE -i WarpSE
xst -intstyle ise -ifn "C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr"
ngdbuild -intstyle ise -dd _ngo -uc C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd
cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd
tsim -intstyle ise WarpSE WarpSE.nga
hprep6 -s IEEE1149 -n WarpSE -i WarpSE
xst -intstyle ise -ifn "C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr"
ngdbuild -intstyle ise -dd _ngo -uc C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd
cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd
tsim -intstyle ise WarpSE WarpSE.nga
hprep6 -s IEEE1149 -n WarpSE -i WarpSE

View File

@ -104,12 +104,14 @@
<transform xil_pn:end_ts="1681712823" xil_pn:in_ck="4179227257702617" xil_pn:name="TRANEXT_crtProg_xc9500" xil_pn:prop_ck="-6294026017969277533" xil_pn:start_ts="1681712821">
<status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="ReadyToRun"/>
<status xil_pn:value="OutOfDateForPredecessor"/>
<outfile xil_pn:name="WarpSE.jed"/>
</transform>
<transform xil_pn:end_ts="1681173277" xil_pn:in_ck="4179227257702617" xil_pn:name="TRAN_timRpt" xil_pn:prop_ck="111903974446" xil_pn:start_ts="1681173275">
<status xil_pn:value="AbortedRun"/>
<status xil_pn:value="ReadyToRun"/>
<status xil_pn:value="OutOfDateForInputs"/>
<status xil_pn:value="OutOfDateForPredecessor"/>
<status xil_pn:value="InputChanged"/>
</transform>
</transforms>

View File

@ -76,31 +76,31 @@ nVPA_FSB S:PIN93
;The remaining section of the .gyd file is for documentation purposes only.
;It shows where your internal equations were placed in the last successful fit.
PARTITION FB1_1 ram/nRefClkR ram/RS_FSM_FFd4 ram/RASrf nRESout
iobs/Clear1 cnt/LTimer<0> cnt/Er<1> cnt/C8Mr<0>
ALE0S ram/RS_FSM_FFd5 iobs/TS_FSM_FFd1 iobs/IOU1
PARTITION FB1_1 ram/RS_FSM_FFd3 ram/RS_FSM_FFd2 ram/RS_FSM_FFd1 ram/RASrf
cnt/Er<1> ram/RefDone ram/RS_FSM_FFd4 iobs/IOU1
iobs/IOL1 cnt/TimerTC cnt/Timer<0> cnt/IS_FSM_FFd2
cnt/Timer<1> cnt/Timer<2>
PARTITION FB2_1 ram/RS_FSM_FFd2 ram/RS_FSM_FFd1 ram/DTACKr iobs/IODONEr
iobs/IOACTr iobm/VPAr iobm/IOWRREQr iobm/IOS_FSM_FFd5
iobm/IOS_FSM_FFd4 iobm/IOS_FSM_FFd1 iobm/IORDREQr iobm/Er
iobm/C8Mr cnt/nIPL2r cnt/Er<0> iobm/IOS_FSM_FFd2
IOBERR iobm/ES<2>
PARTITION FB3_1 fsb/BACTr<2> fsb/BACTr<1> fsb/ASrf cnt/C8Mr<1>
BACTr cnt/LTimerTC cnt/LTimer<11> WS
nDTACK_FSB_OBUF cnt/Credits<6> cnt/Credits<5> cnt/Credits<4>
cnt/Credits<3> cnt/Credits<2> cnt/Credits<0> SndReady
nROMWE_OBUF cnt/Credits<1>
PARTITION FB4_1 IOL0 nAoutOE_OBUF iobs/Load1 cnt/IS_FSM_FFd1
nDoutOE_OBUF nDinOE_OBUF IORDREQ N0
iobs/Sent EXP10_ nVPA_FSB_OBUF iobs/IORW1
iobs/TS_FSM_FFd2 EXP11_ EXP12_ IOU0
EXP13_ EXP14_
PARTITION FB5_1 cnt/STimer<1> nROMCS_OBUF EXP15_ EXP16_
nCAS_OBUF nOE_OBUF EXP17_ cnt/STimer<0>
RA_4_OBUF ram/RS_FSM_FFd3 RA_11_OBUF RA_5_OBUF
IOWRREQ RA_2_OBUF RA_6_OBUF EXP18_
EXP19_ cnt/SndSlow
RefUrg RefReq cnt/Timer<1> cnt/Timer<3>
cnt/Timer<2> ram/RS_FSM_FFd6
PARTITION FB2_3 ram/DTACKr iobs/IODONEr iobs/IOACTr iobm/VPAr
iobm/IOWRREQr iobm/IOS_FSM_FFd5 iobm/IOS_FSM_FFd4 iobm/IOS_FSM_FFd1
iobm/IORDREQr iobm/Er iobm/C8Mr cnt/nIPL2r
cnt/Er<0> iobm/IOS_FSM_FFd2 IOBERR iobm/ES<2>
PARTITION FB3_1 QoSReady IONPReady iobs/Clear1 ALE0S
iobs/TS_FSM_FFd1 cs/nOverlay cnt/WS<3> cnt/WS<2>
nDTACK_FSB_OBUF cnt/WS<1> ram/RS_FSM_FFd5 iobs/Load1
EXP10_ IORDREQ iobs/Sent EXP11_
nROMWE_OBUF EXP12_
PARTITION FB4_1 EXP13_ nAoutOE_OBUF EXP14_
PARTITION FB4_5 nDoutOE_OBUF nDinOE_OBUF ram/BACTr N0
nRESout fsb/ASrf nVPA_FSB_OBUF cnt/WS<0>
cnt/LTimerTC $OpTx$$OpTx$FX_DC$346_INV$533 EXP15_ cnt/LTimer<1>
EXP16_ cnt/LTimer<0>
PARTITION FB5_1 ram/RS_FSM_FFd7 nROMCS_OBUF EXP17_ EXP18_
nCAS_OBUF nOE_OBUF ram/RASEN EXP19_
RA_4_OBUF ram/RASEL RA_11_OBUF RA_5_OBUF
ram/RASrr RA_2_OBUF RA_6_OBUF EXP20_
EXP21_ RAMReady
PARTITION FB6_1 iobm/IOS_FSM_FFd6 nVMA_IOBout iobm/IOS_FSM_FFd7 iobm/IOS_FSM_FFd3
iobm/ES<0> iobm/ES<3> iobm/ES<1> iobm/DoutOE
nLDS_IOBout IODONE nUDS_IOBout nAS_IOBout
@ -109,11 +109,11 @@ PARTITION FB6_1 iobm/IOS_FSM_FFd6 nVMA_IOBout iobm/IOS_FSM_FFd7 iobm/IOS_FSM_FFd
PARTITION FB7_1 cnt/LTimer<9> RA_1_OBUF cnt/LTimer<8> cnt/LTimer<7>
RA_7_OBUF RA_0_OBUF cnt/LTimer<6> RA_8_OBUF
RA_10_OBUF cnt/LTimer<5> RA_9_OBUF C25MEN_OBUF
cnt/LTimer<4> cnt/LTimer<3> cnt/LTimer<2> cnt/LTimer<1>
cnt/LTimer<10> RefClk
PARTITION FB8_1 ram/RASEN RA_11_OBUF$BUF0 ram/RefReq ram/RASEL
nRAS_OBUF nRAMLWE_OBUF cs/nOverlay nRAMUWE_OBUF
ram/RS_FSM_FFd7 ram/RefUrg IOReady nBERR_FSB_OBUF
ram/RS_FSM_FFd8 ram/RS_FSM_FFd6 nBR_IOB_OBUF RAMReady
EXP20_ ram/RASrr
cnt/LTimer<4> cnt/LTimer<3> cnt/LTimer<2> cnt/LTimer<11>
cnt/LTimer<10> cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2
PARTITION FB8_1 IOL0 RA_11_OBUF$BUF0 iobs/TS_FSM_FFd2 EXP22_
nRAS_OBUF nRAMLWE_OBUF EXP23_ nRAMUWE_OBUF
IOWRREQ EXP24_ EXP25_ nBERR_FSB_OBUF
EXP26_ IOU0 nBR_IOB_OBUF cnt/IS_FSM_FFd1
iobs/IORW1 EXP27_

File diff suppressed because it is too large Load Diff

File diff suppressed because it is too large Load Diff

File diff suppressed because one or more lines are too long

File diff suppressed because one or more lines are too long

File diff suppressed because one or more lines are too long

File diff suppressed because one or more lines are too long

View File

@ -1,129 +0,0 @@
Release 8.1i - Fit P.20131013
Copyright(c) 1995-2003 Xilinx Inc. All rights reserved
7-15-2023 11:14PM
NOTE: This file is designed to be imported into a spreadsheet program
such as Microsoft Excel for viewing, printing and sorting. The pipe '|'
character is used as the data field separator.
This file is also designed to support parsing.
Input file: WarpSE.ngd
output file: WarpSE.pad
Part type: xc95144xl
Speed grade: -10
Package: tq100
Pinout by Pin Number:
-----|-----|-----|-----|-----|-----|-----|-----|-----|-----|
Pin Number|Signal Name|Pin Usage|Pin Name|Direction|IO Standard|IO Bank Number|{blank}|Slew Rate|Termination|{blank}|Voltage|Constraint|
P1|TIE||I/O/GTS3||||||||||
P2|A_FSB<5>|I|I/O/GTS4|INPUT|||||||||
P3|A_FSB<6>|I|I/O/GTS1|INPUT|||||||||
P4|A_FSB<7>|I|I/O/GTS2|INPUT|||||||||
P5|VCC||VCCINT||||||||||
P6|A_FSB<8>|I|I/O|INPUT|||||||||
P7|A_FSB<9>|I|I/O|INPUT|||||||||
P8|A_FSB<10>|I|I/O|INPUT|||||||||
P9|A_FSB<11>|I|I/O|INPUT|||||||||
P10|A_FSB<12>|I|I/O|INPUT|||||||||
P11|A_FSB<13>|I|I/O|INPUT|||||||||
P12|A_FSB<14>|I|I/O|INPUT|||||||||
P13|A_FSB<15>|I|I/O|INPUT|||||||||
P14|A_FSB<16>|I|I/O|INPUT|||||||||
P15|A_FSB<17>|I|I/O|INPUT|||||||||
P16|A_FSB<18>|I|I/O|INPUT|||||||||
P17|A_FSB<19>|I|I/O|INPUT|||||||||
P18|A_FSB<20>|I|I/O|INPUT|||||||||
P19|A_FSB<21>|I|I/O|INPUT|||||||||
P20|A_FSB<22>|I|I/O|INPUT|||||||||
P21|GND||GND||||||||||
P22|C16M|GCK|I/O/GCK1||||||||||
P23|C8M|GCK/I|I/O/GCK2||||||||||
P24|A_FSB<23>|I|I/O|INPUT|||||||||
P25|E|I|I/O|INPUT|||||||||
P26|VCC||VCCIO||||||||||
P27|FCLK|GCK|I/O/GCK3||||||||||
P28|nDTACK_FSB|O|I/O|OUTPUT|||||||||
P29|nWE_FSB|I|I/O|INPUT|||||||||
P30|nLDS_FSB|I|I/O|INPUT|||||||||
P31|GND||GND||||||||||
P32|nAS_FSB|I|I/O|INPUT|||||||||
P33|nUDS_FSB|I|I/O|INPUT|||||||||
P34|nROMWE|O|I/O|OUTPUT|||||||||
P35|nROMCS|O|I/O|OUTPUT|||||||||
P36|nCAS|O|I/O|OUTPUT|||||||||
P37|nOE|O|I/O|OUTPUT|||||||||
P38|VCC||VCCIO||||||||||
P39|TIE||I/O||||||||||
P40|RA<4>|O|I/O|OUTPUT|||||||||
P41|RA<3>|O|I/O|OUTPUT|||||||||
P42|RA<5>|O|I/O|OUTPUT|||||||||
P43|RA<2>|O|I/O|OUTPUT|||||||||
P44|GND||GND||||||||||
P45|TDI||TDI||||||||||
P46|RA<6>|O|I/O|OUTPUT|||||||||
P47|TMS||TMS||||||||||
P48|TCK||TCK||||||||||
P49|TIE||I/O||||||||||
P50|RA<1>|O|I/O|OUTPUT|||||||||
P51|VCC||VCCIO||||||||||
P52|RA<7>|O|I/O|OUTPUT|||||||||
P53|RA<0>|O|I/O|OUTPUT|||||||||
P54|RA<8>|O|I/O|OUTPUT|||||||||
P55|RA<10>|O|I/O|OUTPUT|||||||||
P56|RA<9>|O|I/O|OUTPUT|||||||||
P57|VCC||VCCINT||||||||||
P58|C25MEN|O|I/O|OUTPUT|||||||||
P59|TIE||I/O||||||||||
P60|TIE||I/O||||||||||
P61|TIE||I/O||||||||||
P62|GND||GND||||||||||
P63|RA<11>|O|I/O|OUTPUT|||||||||
P64|nRAS|O|I/O|OUTPUT|||||||||
P65|nRAMLWE|O|I/O|OUTPUT|||||||||
P66|nRAMUWE|O|I/O|OUTPUT|||||||||
P67|TIE||I/O||||||||||
P68|TIE||I/O||||||||||
P69|GND||GND||||||||||
P70|nBERR_FSB|O|I/O|OUTPUT|||||||||
P71|TIE||I/O||||||||||
P72|nBR_IOB|O|I/O|OUTPUT|||||||||
P73|TIE||I/O||||||||||
P74|nVMA_IOB|O|I/O|OUTPUT|||||||||
P75|GND||GND||||||||||
P76|nBERR_IOB|I|I/O|INPUT|||||||||
P77|nVPA_IOB|I|I/O|INPUT|||||||||
P78|nDTACK_IOB|I|I/O|INPUT|||||||||
P79|nLDS_IOB|O|I/O|OUTPUT|||||||||
P80|nUDS_IOB|O|I/O|OUTPUT|||||||||
P81|nAS_IOB|O|I/O|OUTPUT|||||||||
P82|nADoutLE1|O|I/O|OUTPUT|||||||||
P83|TDO||TDO||||||||||
P84|GND||GND||||||||||
P85|nADoutLE0|O|I/O|OUTPUT|||||||||
P86|nDinLE|O|I/O|OUTPUT|||||||||
P87|nAoutOE|O|I/O|OUTPUT|||||||||
P88|VCC||VCCIO||||||||||
P89|nDoutOE|O|I/O|OUTPUT|||||||||
P90|nDinOE|O|I/O|OUTPUT|||||||||
P91|nRES|I/O|I/O|BIDIR|||||||||
P92|nIPL2|I|I/O|INPUT|||||||||
P93|nVPA_FSB|O|I/O|OUTPUT|||||||||
P94|A_FSB<1>|I|I/O|INPUT|||||||||
P95|A_FSB<2>|I|I/O|INPUT|||||||||
P96|A_FSB<3>|I|I/O|INPUT|||||||||
P97|A_FSB<4>|I|I/O|INPUT|||||||||
P98|VCC||VCCINT||||||||||
P99|TIE||I/O/GSR||||||||||
P100|GND||GND||||||||||
To preserve the pinout above for future design iterations in
Project Navigator simply execute the (Lock Pins) process
located under the (Implement Design) process in a toolbox named
(Optional Implementation Tools) or invoke PIN2UCF from the
command line. The location constraints will be written into your
specified UCF file

View File

@ -15,4 +15,4 @@
sr (SLOW|FAST|slow|fast) "SLOW"
dir (BIDIR|bidir|INPUT|input|OUTPUT|output) "BIDIR">
]>
<ibis><part arch="xc9500xl" device="XC95144XL" pkg="TQ100" spg="-10"/><pin dir="input" nm="A_FSB&lt;23&gt;" no="24"/><pin dir="input" nm="A_FSB&lt;22&gt;" no="20"/><pin dir="input" nm="A_FSB&lt;21&gt;" no="19"/><pin dir="input" nm="A_FSB&lt;20&gt;" no="18"/><pin dir="input" nm="A_FSB&lt;19&gt;" no="17"/><pin dir="input" nm="A_FSB&lt;18&gt;" no="16"/><pin dir="input" nm="A_FSB&lt;17&gt;" no="15"/><pin dir="input" nm="A_FSB&lt;16&gt;" no="14"/><pin dir="input" nm="C8M" no="23"/><pin dir="input" nm="C16M" no="22"/><pin dir="input" nm="A_FSB&lt;14&gt;" no="12"/><pin dir="input" nm="A_FSB&lt;12&gt;" no="10"/><pin dir="input" nm="A_FSB&lt;11&gt;" no="9"/><pin dir="input" nm="A_FSB&lt;10&gt;" no="8"/><pin dir="input" nm="FCLK" no="27"/><pin dir="input" nm="nWE_FSB" no="29"/><pin dir="input" nm="nAS_FSB" no="32"/><pin dir="input" nm="A_FSB&lt;13&gt;" no="11"/><pin dir="input" nm="A_FSB&lt;15&gt;" no="13"/><pin dir="input" nm="A_FSB&lt;9&gt;" no="7"/><pin dir="input" nm="A_FSB&lt;8&gt;" no="6"/><pin dir="input" nm="nBERR_IOB" no="76"/><pin dir="input" nm="nDTACK_IOB" no="78"/><pin dir="input" nm="nLDS_FSB" no="30"/><pin dir="input" nm="nUDS_FSB" no="33"/><pin dir="input" nm="E" no="25"/><pin dir="input" nm="nIPL2" no="92"/><pin dir="input" nm="nVPA_IOB" no="77"/><pin dir="input" nm="A_FSB&lt;1&gt;" no="94"/><pin dir="input" nm="A_FSB&lt;7&gt;" no="4"/><pin dir="input" nm="A_FSB&lt;2&gt;" no="95"/><pin dir="input" nm="A_FSB&lt;3&gt;" no="96"/><pin dir="input" nm="A_FSB&lt;4&gt;" no="97"/><pin dir="input" nm="A_FSB&lt;5&gt;" no="2"/><pin dir="input" nm="A_FSB&lt;6&gt;" no="3"/><pin dir="output" nm="nVMA_IOB" no="74" sr="fast"/><pin dir="output" nm="nAS_IOB" no="81" sr="fast"/><pin dir="output" nm="nLDS_IOB" no="79" sr="fast"/><pin dir="output" nm="nUDS_IOB" no="80" sr="fast"/><pin dir="output" nm="nBERR_FSB" no="70" sr="fast"/><pin dir="output" nm="nVPA_FSB" no="93" sr="fast"/><pin dir="output" nm="nRAS" no="64" sr="fast"/><pin dir="output" nm="nBR_IOB" no="72" sr="fast"/><pin dir="output" nm="RA&lt;3&gt;" no="41" sr="fast"/><pin dir="output" nm="nDTACK_FSB" no="28" sr="fast"/><pin dir="output" nm="RA&lt;0&gt;" no="53" sr="fast"/><pin dir="output" nm="RA&lt;10&gt;" no="55" sr="fast"/><pin dir="output" nm="RA&lt;1&gt;" no="50" sr="fast"/><pin dir="output" nm="RA&lt;2&gt;" no="43" sr="fast"/><pin dir="output" nm="RA&lt;4&gt;" no="40" sr="fast"/><pin dir="output" nm="RA&lt;5&gt;" no="42" sr="fast"/><pin dir="output" nm="RA&lt;6&gt;" no="46" sr="fast"/><pin dir="output" nm="RA&lt;7&gt;" no="52" sr="fast"/><pin dir="output" nm="RA&lt;8&gt;" no="54" sr="fast"/><pin dir="output" nm="RA&lt;9&gt;" no="56" sr="fast"/><pin dir="output" nm="nROMWE" no="34" sr="fast"/><pin dir="output" nm="nADoutLE0" no="85" sr="fast"/><pin dir="output" nm="nCAS" no="36" sr="fast"/><pin dir="output" nm="nDinLE" no="86" sr="fast"/><pin dir="output" nm="nOE" no="37" sr="fast"/><pin dir="output" nm="RA&lt;11&gt;" no="63" sr="fast"/><pin dir="output" nm="nADoutLE1" no="82" sr="fast"/><pin dir="output" nm="nAoutOE" no="87" sr="fast"/><pin dir="output" nm="nDinOE" no="90" sr="fast"/><pin dir="output" nm="nDoutOE" no="89" sr="fast"/><pin dir="output" nm="nRAMLWE" no="65" sr="fast"/><pin dir="output" nm="nRAMUWE" no="66" sr="fast"/><pin dir="output" nm="nROMCS" no="35" sr="fast"/><pin dir="output" nm="C25MEN" no="58" sr="fast"/><pin dir="bidir" nm="nRES" no="91" sr="fast"/></ibis>
<ibis><part arch="xc9500xl" device="XC95144XL" pkg="TQ100" spg="-10"/><pin dir="input" nm="A_FSB&lt;23&gt;" no="24"/><pin dir="input" nm="A_FSB&lt;22&gt;" no="20"/><pin dir="input" nm="A_FSB&lt;21&gt;" no="19"/><pin dir="input" nm="A_FSB&lt;20&gt;" no="18"/><pin dir="input" nm="A_FSB&lt;19&gt;" no="17"/><pin dir="input" nm="A_FSB&lt;18&gt;" no="16"/><pin dir="input" nm="A_FSB&lt;17&gt;" no="15"/><pin dir="input" nm="A_FSB&lt;16&gt;" no="14"/><pin dir="input" nm="A_FSB&lt;15&gt;" no="13"/><pin dir="input" nm="A_FSB&lt;13&gt;" no="11"/><pin dir="input" nm="A_FSB&lt;12&gt;" no="10"/><pin dir="input" nm="C8M" no="23"/><pin dir="input" nm="C16M" no="22"/><pin dir="input" nm="A_FSB&lt;14&gt;" no="12"/><pin dir="input" nm="A_FSB&lt;11&gt;" no="9"/><pin dir="input" nm="A_FSB&lt;10&gt;" no="8"/><pin dir="input" nm="FCLK" no="27"/><pin dir="input" nm="nWE_FSB" no="29"/><pin dir="input" nm="nAS_FSB" no="32"/><pin dir="input" nm="A_FSB&lt;8&gt;" no="6"/><pin dir="input" nm="A_FSB&lt;9&gt;" no="7"/><pin dir="input" nm="nBERR_IOB" no="76"/><pin dir="input" nm="nDTACK_IOB" no="78"/><pin dir="input" nm="nLDS_FSB" no="30"/><pin dir="input" nm="nUDS_FSB" no="33"/><pin dir="input" nm="E" no="25"/><pin dir="input" nm="nIPL2" no="92"/><pin dir="input" nm="nVPA_IOB" no="77"/><pin dir="input" nm="A_FSB&lt;1&gt;" no="94"/><pin dir="input" nm="A_FSB&lt;7&gt;" no="4"/><pin dir="input" nm="A_FSB&lt;2&gt;" no="95"/><pin dir="input" nm="A_FSB&lt;3&gt;" no="96"/><pin dir="input" nm="A_FSB&lt;4&gt;" no="97"/><pin dir="input" nm="A_FSB&lt;5&gt;" no="2"/><pin dir="input" nm="A_FSB&lt;6&gt;" no="3"/><pin dir="output" nm="nVMA_IOB" no="74" sr="fast"/><pin dir="output" nm="nAS_IOB" no="81" sr="fast"/><pin dir="output" nm="nLDS_IOB" no="79" sr="fast"/><pin dir="output" nm="nUDS_IOB" no="80" sr="fast"/><pin dir="output" nm="nBERR_FSB" no="70" sr="fast"/><pin dir="output" nm="nVPA_FSB" no="93" sr="fast"/><pin dir="output" nm="nRAS" no="64" sr="fast"/><pin dir="output" nm="nBR_IOB" no="72" sr="fast"/><pin dir="output" nm="RA&lt;3&gt;" no="41" sr="fast"/><pin dir="output" nm="nDTACK_FSB" no="28" sr="fast"/><pin dir="output" nm="RA&lt;0&gt;" no="53" sr="fast"/><pin dir="output" nm="RA&lt;10&gt;" no="55" sr="fast"/><pin dir="output" nm="RA&lt;1&gt;" no="50" sr="fast"/><pin dir="output" nm="RA&lt;2&gt;" no="43" sr="fast"/><pin dir="output" nm="RA&lt;4&gt;" no="40" sr="fast"/><pin dir="output" nm="RA&lt;5&gt;" no="42" sr="fast"/><pin dir="output" nm="RA&lt;6&gt;" no="46" sr="fast"/><pin dir="output" nm="RA&lt;7&gt;" no="52" sr="fast"/><pin dir="output" nm="RA&lt;8&gt;" no="54" sr="fast"/><pin dir="output" nm="RA&lt;9&gt;" no="56" sr="fast"/><pin dir="output" nm="nROMWE" no="34" sr="fast"/><pin dir="output" nm="nADoutLE0" no="85" sr="fast"/><pin dir="output" nm="nCAS" no="36" sr="fast"/><pin dir="output" nm="nDinLE" no="86" sr="fast"/><pin dir="output" nm="nOE" no="37" sr="fast"/><pin dir="output" nm="RA&lt;11&gt;" no="63" sr="fast"/><pin dir="output" nm="nADoutLE1" no="82" sr="fast"/><pin dir="output" nm="nAoutOE" no="87" sr="fast"/><pin dir="output" nm="nDinOE" no="90" sr="fast"/><pin dir="output" nm="nDoutOE" no="89" sr="fast"/><pin dir="output" nm="nRAMLWE" no="65" sr="fast"/><pin dir="output" nm="nRAMUWE" no="66" sr="fast"/><pin dir="output" nm="nROMCS" no="35" sr="fast"/><pin dir="output" nm="C25MEN" no="58" sr="fast"/><pin dir="bidir" nm="nRES" no="91" sr="fast"/></ibis>

File diff suppressed because it is too large Load Diff

View File

@ -4,13 +4,13 @@ Copyright (c) 1995-2013 Xilinx, Inc. All rights reserved.
Total REAL time to Xst completion: 0.00 secs
Total CPU time to Xst completion: 0.10 secs
Total CPU time to Xst completion: 0.09 secs
--> Parameter xsthdpdir set to xst
Total REAL time to Xst completion: 0.00 secs
Total CPU time to Xst completion: 0.10 secs
Total CPU time to Xst completion: 0.09 secs
--> Reading design: WarpSE.prj
@ -151,6 +151,7 @@ Unit <CS> synthesized.
Synthesizing Unit <RAM>.
Related source file is "../RAM.v".
WARNING:Xst:647 - Input <BACTr<3:2>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
Found finite state machine <FSM_0> for signal <RS>.
-----------------------------------------------------------------------
| States | 8 |
@ -265,35 +266,33 @@ Synthesizing Unit <CNT>.
| Implementation | automatic |
-----------------------------------------------------------------------
Found 1-bit register for signal <nBR_IOB>.
Found 1-bit register for signal <SndReady>.
Found 1-bit register for signal <nRESout>.
Found 1-bit register for signal <AoutOE>.
Found 1-bit register for signal <QoSReady>.
Found 2-bit register for signal <C8Mr>.
Found 7-bit updown counter for signal <Credits>.
Found 2-bit register for signal <Er>.
Found 12-bit up counter for signal <LTimer>.
Found 1-bit register for signal <LTimerTC>.
Found 1-bit register for signal <nIPL2r>.
Found 1-bit register for signal <SndSlow>.
Found 1-bit register for signal <SndSlowEN>.
Found 2-bit register for signal <STimer>.
Found 2-bit adder for signal <STimer$addsub0000> created at line 80.
Found 4-bit up counter for signal <Timer>.
Found 1-bit register for signal <TimerTC>.
Summary:
inferred 1 Finite State Machine(s).
inferred 3 Counter(s).
inferred 7 D-type flip-flop(s).
inferred 1 Adder/Subtractor(s).
Unit <CNT> synthesized.
Synthesizing Unit <FSB>.
Related source file is "../FSB.v".
Found 1-bit register for signal <nVPA>.
Found 3-bit register for signal <BACTr>.
Found 1-bit register for signal <nDTACK>.
Found 1-bit register for signal <WS>.
Found 1-bit register for signal <ASrf>.
Found 3-bit register for signal <BACTr>.
Summary:
inferred 4 D-type flip-flop(s).
Unit <FSB> synthesized.
@ -319,8 +318,6 @@ Unit <WarpSE> synthesized.
HDL Synthesis Report
Macro Statistics
# Adders/Subtractors : 1
2-bit adder : 1
# Counters : 4
12-bit up counter : 1
4-bit up counter : 2
@ -385,14 +382,13 @@ Optimizing FSM <ram/RS/FSM> on signal <RS[1:8]> with one-hot encoding.
110 | 01000000
111 | 10000000
-------------------
WARNING:Xst:1710 - FF/Latch <0> (without init value) has a constant value of 0 in block <0>. This FF/Latch will be trimmed during the optimization process.
=========================================================================
Advanced HDL Synthesis Report
Macro Statistics
# FSMs : 4
# Adders/Subtractors : 1
2-bit adder : 1
# Counters : 4
12-bit up counter : 1
4-bit up counter : 2
@ -405,6 +401,7 @@ Macro Statistics
=========================================================================
* Low Level Synthesis *
=========================================================================
WARNING:Xst:1710 - FF/Latch <STimer_1> (without init value) has a constant value of 0 in block <CNT>. This FF/Latch will be trimmed during the optimization process.
Optimizing unit <WarpSE> ...
@ -446,8 +443,8 @@ Optimizing unit <IOBM> ...
Optimizing unit <CNT> ...
implementation constraint: INIT=r : IS_FSM_FFd1
implementation constraint: INIT=r : IS_FSM_FFd2
implementation constraint: INIT=r : Timer_3
implementation constraint: INIT=r : IS_FSM_FFd2
implementation constraint: INIT=r : Timer_0
implementation constraint: INIT=r : Timer_1
implementation constraint: INIT=r : Timer_2
@ -482,24 +479,24 @@ Design Statistics
# IOs : 75
Cell Usage :
# BELS : 671
# AND2 : 207
# AND3 : 26
# BELS : 659
# AND2 : 205
# AND3 : 25
# AND4 : 13
# AND5 : 2
# AND7 : 1
# AND8 : 2
# GND : 6
# INV : 257
# OR2 : 105
# OR3 : 19
# INV : 250
# OR2 : 104
# OR3 : 18
# OR4 : 3
# VCC : 1
# XOR2 : 29
# FlipFlops/Latches : 111
# FD : 68
# FlipFlops/Latches : 110
# FD : 69
# FDC : 2
# FDCE : 40
# FDCE : 38
# FDP : 1
# IO Buffers : 70
# IBUF : 35
@ -510,13 +507,13 @@ Cell Usage :
Total REAL time to Xst completion: 5.00 secs
Total CPU time to Xst completion: 5.11 secs
Total CPU time to Xst completion: 5.10 secs
-->
Total memory usage is 264820 kilobytes
Total memory usage is 266244 kilobytes
Number of errors : 0 ( 0 filtered)
Number of warnings : 5 ( 0 filtered)
Number of warnings : 8 ( 0 filtered)
Number of infos : 0 ( 0 filtered)

File diff suppressed because it is too large Load Diff

File diff suppressed because one or more lines are too long

View File

@ -1,235 +0,0 @@
<?xml version='1.0' encoding='utf-8' ?>
<!DOCTYPE document SYSTEM 'file:///C:/Xilinx/14.7/ISE_DS/ISE/chipviewer/data/xsl/buildXML.dtd'>
<document>
<design name='WarpSE'/>
<rptdir name='WarpSE'/>
<xilinx path='C:/Xilinx/14.7/ISE_DS/ISE;'/>
<projDir path='C:\Users\GWolf\Documents\GitHub\Warp-SE\cpld\XC95144XL'/>
<xslDir path='chipviewer/data/xsl'/>
<fileDir path='/chipviewer/data/html'/>
<dataFile file='index.htm'/>
<dataFile file='report.htm'/>
<dataFile file='genmsg.htm'/>
<dataFile file='eqns.js'/>
<dataFile file='maplogic.js'/>
<dataFile file='fbs.js'/>
<dataFile file='pins.js'/>
<dataFile file='tooltips.js'/>
<dataFile file='errors.js'/>
<dataFile file='leftnav.js'/>
<dataFile file='paths.js'/>
<dataFile file='summary.js'/>
<dataFile file='topnav.js'/>
<dataFile file='applet.js'/>
<dataFile file='plugin.js'/>
<dataFile file='ns4plugin.js'/>
<dataFile file='equations.htm'/>
<dataFile file='result.htm'/>
<dataFile file='style.css'/>
<dataFile file='blank.gif'/>
<dataFile file='fbview.jpg'/>
<dataFile file='pinview.jpg'/>
<dataFile file='xc9500xl_logo.jpg'/>
<dataFile file='asciidoc.htm'/>
<dataFile file='equationsdoc.htm'/>
<dataFile file='errorsdoc.htm'/>
<dataFile file='failtabledoc.htm'/>
<dataFile file='fbs_FBdoc.htm'/>
<dataFile file='fbsdoc.htm'/>
<dataFile file='inputleftdoc.htm'/>
<dataFile file='logicleftdoc.htm'/>
<dataFile file='mapinputdoc.htm'/>
<dataFile file='maplogicdoc.htm'/>
<dataFile file='optionsdoc.htm'/>
<dataFile file='pinsdoc.htm'/>
<dataFile file='summarydoc.htm'/>
<dataFile file='check.htm'/>
<dataFile file='checkNS4.htm'/>
<dataFile file='appletref.htm'/>
<dataFile file='newappletref.htm'/>
<dataFile file='errors1.gif'/>
<dataFile file='errors2.gif'/>
<dataFile file='equations.gif'/>
<dataFile file='functionblock.gif'/>
<dataFile file='fb1.gif'/>
<dataFile file='legend.gif'/>
<dataFile file='macrocell.gif'/>
<dataFile file='fb.gif'/>
<dataFile file='pin.gif'/>
<dataFile file='pindiagram.gif'/>
<dataFile file='view.gif'/>
<dataFile file='xml8.jpg'/>
<dataFile file='xml7.jpg'/>
<dataFile file='xml6.jpg'/>
<dataFile file='xml5.jpg'/>
<xmlFileGroup id='1'>
<xmlFile file='WarpSE.xml'>
<xslFile file='topnav.xsl'>
<output file='topnav.htm'/>
</xslFile>
<xslFile file='leftnav.xsl'>
<param name='result' value='1'/>
<output file='leftnav.htm'/>
</xslFile>
<xslFile file='print.xsl'>
<output file='ascii.tmp'/>
</xslFile>
<xslFile file='eqns.xsl'>
<output file='eqns.htm'/>
</xslFile>
<xslFile file='summary.xsl'>
<param name='sumOn' value='1'/>
<param name='optOn' value='0'/>
<param name='legendOn' value='0'/>
<output file='summary.htm'/>
</xslFile>
</xmlFile>
</xmlFileGroup>
<xmlFileGroup id='2'>
<xmlFile file='WarpSE.xml'>
<xslFile file='summary.xsl'>
<param name='sumOn' value='0'/>
<param name='optOn' value='1'/>
<param name='legendOn' value='0'/>
<output file='options.htm'/>
</xslFile>
<xslFile file='printErrors.xsl'>
<output file='errs.htm'/>
</xslFile>
<xslFile file='failtable.xsl'>
<output file='failtable.htm'/>
</xslFile>
<xslFile file='logicleft.xsl'>
<output file='logicleft.htm'/>
</xslFile>
<xslFile file='inputleft.xsl'>
<output file='inputleft.htm'/>
</xslFile>
<xslFile file='printPins.xsl'>
<output file='pins.htm'/>
</xslFile>
<xslFile file='wait.xsl'>
<output file='wait.htm'/>
</xslFile>
<xslDebug file='printErrors.xsl'>
<output file='errors.htm'/>
</xslDebug>
<xslDebug file='printPins.xsl'>
<output file='pinout.htm'/>
</xslDebug>
<xslDebug file='printEqns.xsl'>
<output file='eqnout.htm'/>
</xslDebug>
<xslFile file='maplogic.xsl'>
<param name='sortType' value='0'/>
<param name='mapLog' value='1'/>
<param name='mapIn' value='0'/>
<param name='unmapLog' value='0'/>
<param name='unmapIn' value='0'/>
<param name='legendOn' value='1'/>
<output file='maplogic_00.htm'/>
<param name='sortType' value='1'/>
<param name='mapLog' value='1'/>
<param name='mapIn' value='0'/>
<param name='unmapLog' value='0'/>
<param name='unmapIn' value='0'/>
<param name='legendOn' value='1'/>
<output file='maplogic_01.htm'/>
<param name='sortType' value='2'/>
<param name='mapLog' value='1'/>
<param name='mapIn' value='0'/>
<param name='unmapLog' value='0'/>
<param name='unmapIn' value='0'/>
<param name='legendOn' value='1'/>
<output file='maplogic_02.htm'/>
<param name='sortType' value='0'/>
<param name='mapLog' value='0'/>
<param name='mapIn' value='1'/>
<param name='unmapLog' value='0'/>
<param name='unmapIn' value='0'/>
<param name='legendOn' value='1'/>
<output file='mapinput_00.htm'/>
<param name='sortType' value='1'/>
<param name='mapLog' value='0'/>
<param name='mapIn' value='1'/>
<param name='unmapLog' value='0'/>
<param name='unmapIn' value='0'/>
<param name='legendOn' value='1'/>
<output file='mapinput_01.htm'/>
<param name='sortType' value='2'/>
<param name='mapLog' value='0'/>
<param name='mapIn' value='1'/>
<param name='unmapLog' value='0'/>
<param name='unmapIn' value='0'/>
<param name='legendOn' value='1'/>
<output file='mapinput_02.htm'/>
</xslFile>
<xslFile file='fbsum.xsl'>
<param name='legendOn' value='0'/>
<output file='fbs.htm'/>
</xslFile>
<xslFile file='fbs.xsl'>
<param name='fb' value='FB1'/>
<param name='type' value='1'/>
<param name='legendOn' value='1'/>
<output file='fbs_FB1.htm'/>
<param name='fb' value='FB2'/>
<param name='type' value='3'/>
<param name='legendOn' value='1'/>
<output file='fbs_FB2.htm'/>
<param name='fb' value='FB3'/>
<param name='type' value='3'/>
<param name='legendOn' value='1'/>
<output file='fbs_FB3.htm'/>
<param name='fb' value='FB4'/>
<param name='type' value='3'/>
<param name='legendOn' value='1'/>
<output file='fbs_FB4.htm'/>
<param name='fb' value='FB5'/>
<param name='type' value='3'/>
<param name='legendOn' value='1'/>
<output file='fbs_FB5.htm'/>
<param name='fb' value='FB6'/>
<param name='type' value='3'/>
<param name='legendOn' value='1'/>
<output file='fbs_FB6.htm'/>
<param name='fb' value='FB7'/>
<param name='type' value='3'/>
<param name='legendOn' value='1'/>
<output file='fbs_FB7.htm'/>
<param name='fb' value='FB8'/>
<param name='type' value='2'/>
<param name='legendOn' value='1'/>
<output file='fbs_FB8.htm'/>
</xslFile>
</xmlFile>
<xmlFile file='C:/Xilinx/14.7/ISE_DS/ISE/chipviewer/data/xsl/pinlegend.xml'>
<xslFile file='legend.xsl'>
<param name='device' value='XC95144XL-10-TQ100'/>
<param name='verOn' value='0'/>
<param name='linkName' value='pinlegendV.htm'/>
<output file='pinlegend.htm'/>
<param name='device' value='XC95144XL-10-TQ100'/>
<param name='verOn' value='1'/>
<param name='linkName' value='pinlegend.htm'/>
<output file='pinlegendV.htm'/>
</xslFile>
</xmlFile>
<xmlFile file='C:/Xilinx/14.7/ISE_DS/ISE/chipviewer/data/xsl/logiclegend.xml'>
<xslFile file='legend.xsl'>
<param name='device' value='XC95144XL-10-TQ100'/>
<param name='verOn' value='0'/>
<param name='linkName' value='logiclegendV.htm'/>
<output file='logiclegend.htm'/>
<param name='device' value='XC95144XL-10-TQ100'/>
<param name='verOn' value='1'/>
<param name='linkName' value='logiclegend.htm'/>
<output file='logiclegendV.htm'/>
</xslFile>
</xmlFile>
</xmlFileGroup>
<rptFile file='WarpSE.rpt'/>
<timFile file='WarpSE.tim'/>
<appFile/>
</document>

View File

@ -18,8 +18,8 @@
</tr>
<tr>
<td>Path</td>
<td>C:\Xilinx\14.7\ISE_DS\ISE\\lib\nt64;<br>C:\Xilinx\14.7\ISE_DS\ISE\\bin\nt64;<br>C:\Xilinx\14.7\ISE_DS\ISE\bin\nt64;<br>C:\Xilinx\14.7\ISE_DS\ISE\lib\nt64;<br>C:\Xilinx\14.7\ISE_DS\ISE\..\..\..\DocNav;<br>C:\Xilinx\14.7\ISE_DS\PlanAhead\bin;<br>C:\Xilinx\14.7\ISE_DS\EDK\bin\nt64;<br>C:\Xilinx\14.7\ISE_DS\EDK\lib\nt64;<br>C:\Xilinx\14.7\ISE_DS\EDK\gnu\microblaze\nt\bin;<br>C:\Xilinx\14.7\ISE_DS\EDK\gnu\powerpc-eabi\nt\bin;<br>C:\Xilinx\14.7\ISE_DS\EDK\gnuwin\bin;<br>C:\Xilinx\14.7\ISE_DS\EDK\gnu\arm\nt\bin;<br>C:\Xilinx\14.7\ISE_DS\EDK\gnu\microblaze\linux_toolchain\nt64_be\bin;<br>C:\Xilinx\14.7\ISE_DS\EDK\gnu\microblaze\linux_toolchain\nt64_le\bin;<br>C:\Xilinx\14.7\ISE_DS\common\bin\nt64;<br>C:\Xilinx\14.7\ISE_DS\common\lib\nt64;<br>C:\Program Files (x86)\NVIDIA Corporation\PhysX\Common;<br>C:\Windows\system32;<br>C:\Windows;<br>C:\Windows\System32\Wbem;<br>C:\Windows\System32\WindowsPowerShell\v1.0\;<br>C:\altera\13.0sp1\modelsim_ase\win32aloem;<br>C:\intelFPGA_lite\19.1\modelsim_ase\win32aloem</td>
<td>C:\Xilinx\14.7\ISE_DS\ISE\\lib\nt64;<br>C:\Xilinx\14.7\ISE_DS\ISE\\bin\nt64;<br>C:\Xilinx\14.7\ISE_DS\ISE\bin\nt64;<br>C:\Xilinx\14.7\ISE_DS\ISE\lib\nt64;<br>C:\Xilinx\14.7\ISE_DS\ISE\..\..\..\DocNav;<br>C:\Xilinx\14.7\ISE_DS\PlanAhead\bin;<br>C:\Xilinx\14.7\ISE_DS\EDK\bin\nt64;<br>C:\Xilinx\14.7\ISE_DS\EDK\lib\nt64;<br>C:\Xilinx\14.7\ISE_DS\EDK\gnu\microblaze\nt\bin;<br>C:\Xilinx\14.7\ISE_DS\EDK\gnu\powerpc-eabi\nt\bin;<br>C:\Xilinx\14.7\ISE_DS\EDK\gnuwin\bin;<br>C:\Xilinx\14.7\ISE_DS\EDK\gnu\arm\nt\bin;<br>C:\Xilinx\14.7\ISE_DS\EDK\gnu\microblaze\linux_toolchain\nt64_be\bin;<br>C:\Xilinx\14.7\ISE_DS\EDK\gnu\microblaze\linux_toolchain\nt64_le\bin;<br>C:\Xilinx\14.7\ISE_DS\common\bin\nt64;<br>C:\Xilinx\14.7\ISE_DS\common\lib\nt64;<br>C:\Program Files (x86)\NVIDIA Corporation\PhysX\Common;<br>C:\Windows\system32;<br>C:\Windows;<br>C:\Windows\System32\Wbem;<br>C:\Windows\System32\WindowsPowerShell\v1.0\;<br>C:\altera\13.0sp1\modelsim_ase\win32aloem;<br>C:\intelFPGA_lite\19.1\modelsim_ase\win32aloem</td>
<td>C:\Xilinx\14.7\ISE_DS\ISE\\lib\nt64;<br>C:\Xilinx\14.7\ISE_DS\ISE\\bin\nt64;<br>C:\Xilinx\14.7\ISE_DS\ISE\bin\nt64;<br>C:\Xilinx\14.7\ISE_DS\ISE\lib\nt64;<br>C:\Xilinx\14.7\ISE_DS\ISE\..\..\..\DocNav;<br>C:\Xilinx\14.7\ISE_DS\PlanAhead\bin;<br>C:\Xilinx\14.7\ISE_DS\EDK\bin\nt64;<br>C:\Xilinx\14.7\ISE_DS\EDK\lib\nt64;<br>C:\Xilinx\14.7\ISE_DS\EDK\gnu\microblaze\nt\bin;<br>C:\Xilinx\14.7\ISE_DS\EDK\gnu\powerpc-eabi\nt\bin;<br>C:\Xilinx\14.7\ISE_DS\EDK\gnuwin\bin;<br>C:\Xilinx\14.7\ISE_DS\EDK\gnu\arm\nt\bin;<br>C:\Xilinx\14.7\ISE_DS\EDK\gnu\microblaze\linux_toolchain\nt64_be\bin;<br>C:\Xilinx\14.7\ISE_DS\EDK\gnu\microblaze\linux_toolchain\nt64_le\bin;<br>C:\Xilinx\14.7\ISE_DS\common\bin\nt64;<br>C:\Xilinx\14.7\ISE_DS\common\lib\nt64;<br>C:\Program Files (x86)\AMD APP\bin\x86_64;<br>C:\Program Files (x86)\AMD APP\bin\x86;<br>C:\Windows\system32;<br>C:\Windows;<br>C:\Windows\System32\Wbem;<br>C:\Windows\System32\WindowsPowerShell\v1.0\;<br>C:\intelFPGA_lite\19.1\modelsim_ase\win32aloem;<br>C:\altera\13.0sp1\modelsim_ase\win32aloem;<br>C:\Users\Wolf\AppData\Local\GitHubDesktop\bin</td>
<td>C:\Xilinx\14.7\ISE_DS\ISE\\lib\nt64;<br>C:\Xilinx\14.7\ISE_DS\ISE\\bin\nt64;<br>C:\Xilinx\14.7\ISE_DS\ISE\bin\nt64;<br>C:\Xilinx\14.7\ISE_DS\ISE\lib\nt64;<br>C:\Xilinx\14.7\ISE_DS\ISE\..\..\..\DocNav;<br>C:\Xilinx\14.7\ISE_DS\PlanAhead\bin;<br>C:\Xilinx\14.7\ISE_DS\EDK\bin\nt64;<br>C:\Xilinx\14.7\ISE_DS\EDK\lib\nt64;<br>C:\Xilinx\14.7\ISE_DS\EDK\gnu\microblaze\nt\bin;<br>C:\Xilinx\14.7\ISE_DS\EDK\gnu\powerpc-eabi\nt\bin;<br>C:\Xilinx\14.7\ISE_DS\EDK\gnuwin\bin;<br>C:\Xilinx\14.7\ISE_DS\EDK\gnu\arm\nt\bin;<br>C:\Xilinx\14.7\ISE_DS\EDK\gnu\microblaze\linux_toolchain\nt64_be\bin;<br>C:\Xilinx\14.7\ISE_DS\EDK\gnu\microblaze\linux_toolchain\nt64_le\bin;<br>C:\Xilinx\14.7\ISE_DS\common\bin\nt64;<br>C:\Xilinx\14.7\ISE_DS\common\lib\nt64;<br>C:\Program Files (x86)\AMD APP\bin\x86_64;<br>C:\Program Files (x86)\AMD APP\bin\x86;<br>C:\Windows\system32;<br>C:\Windows;<br>C:\Windows\System32\Wbem;<br>C:\Windows\System32\WindowsPowerShell\v1.0\;<br>C:\intelFPGA_lite\19.1\modelsim_ase\win32aloem;<br>C:\altera\13.0sp1\modelsim_ase\win32aloem;<br>C:\Users\Wolf\AppData\Local\GitHubDesktop\bin</td>
</tr>
<tr>
<td>XILINX</td>
@ -206,7 +206,7 @@
<tr>
<td>-uc</td>
<td>&nbsp;</td>
<td>C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf</td>
<td>C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf</td>
<td>None</td>
</tr>
</TABLE>
@ -222,8 +222,8 @@
</tr>
<tr>
<td>CPU Architecture/Speed</td>
<td>AMD FX(tm)-8320 Eight-Core Processor /3511 MHz</td>
<td>AMD FX(tm)-8320 Eight-Core Processor /3511 MHz</td>
<td>AMD FX(tm)-8320 Eight-Core Processor /3792 MHz</td>
<td>AMD FX(tm)-8320 Eight-Core Processor /3792 MHz</td>
</tr>
<tr>
<td>Host</td>

File diff suppressed because it is too large Load Diff

File diff suppressed because it is too large Load Diff

File diff suppressed because it is too large Load Diff

View File

@ -16,57 +16,57 @@
<tr>
<td align="center"><a href="javascript:showFBDetail('FB1');" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB1</a></td>
<td align="center">18 / 18</td>
<td align="center">20 / 54</td>
<td align="center">30 / 90</td>
<td align="center">30 / 54</td>
<td align="center">46 / 90</td>
<td align="center">10 / 11</td>
</tr>
<tr>
<td align="center"><a href="javascript:showFBDetail('FB2');" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB2</a></td>
<td align="center">0 / 18</td>
<td align="center">0 / 54</td>
<td align="center">0 / 90</td>
<td align="center">16 / 18</td>
<td align="center">21 / 54</td>
<td align="center">20 / 90</td>
<td align="center">8 / 10</td>
</tr>
<tr>
<td align="center"><a href="javascript:showFBDetail('FB3');" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB3</a></td>
<td align="center">18 / 18</td>
<td align="center">33 / 54</td>
<td align="center">48 / 90</td>
<td align="center">15 / 18</td>
<td align="center">41 / 54</td>
<td align="center">81 / 90</td>
<td align="center">9 / 10</td>
</tr>
<tr>
<td align="center"><a href="javascript:showFBDetail('FB4');" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB4</a></td>
<td align="center">12 / 18</td>
<td align="center">35 / 54</td>
<td align="center">82 / 90</td>
<td align="center">13 / 18</td>
<td align="center">43 / 54</td>
<td align="center">50 / 90</td>
<td align="center">10 / 10</td>
</tr>
<tr>
<td align="center"><a href="javascript:showFBDetail('FB5');" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB5</a></td>
<td align="center">16 / 18</td>
<td align="center">32 / 54</td>
<td align="center">13 / 18</td>
<td align="center">33 / 54</td>
<td align="center">81 / 90</td>
<td align="center">8 / 10</td>
</tr>
<tr>
<td align="center"><a href="javascript:showFBDetail('FB6');" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB6</a></td>
<td align="center">18 / 18</td>
<td align="center">35 / 54</td>
<td align="center">61 / 90</td>
<td align="center">36 / 54</td>
<td align="center">68 / 90</td>
<td align="center">10 / 10</td>
</tr>
<tr>
<td align="center"><a href="javascript:showFBDetail('FB7');" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB7</a></td>
<td align="center">18 / 18</td>
<td align="center">29 / 54</td>
<td align="center">30 / 90</td>
<td align="center">41 / 54</td>
<td align="center">52 / 90</td>
<td align="center">7 / 10</td>
</tr>
<tr>
<td align="center"><a href="javascript:showFBDetail('FB8');" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB8</a></td>
<td align="center">17 / 18</td>
<td align="center">36 / 54</td>
<td align="center">42 / 90</td>
<td align="center">12 / 18</td>
<td align="center">41 / 54</td>
<td align="center">81 / 90</td>
<td align="center">6 / 10</td>
</tr>
</table></span><form><span class="pgRef"><table width="90%" align="center"><tr>

View File

@ -27,7 +27,7 @@
<th width="10%">Pin Use</th>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('ramRS_FSM_FFd4_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RS_FSM_FFd4</a></td>
<td align="center" width="10%"><a href="Javascript:showEqn('ramRS_FSM_FFd3_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RS_FSM_FFd3</a></td>
<td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB1_1_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_1</a>
</td>
@ -38,7 +38,7 @@
<td align="center" width="10%">(b)</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('ramRASrf_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RASrf</a></td>
<td align="center" width="10%"><a href="Javascript:showEqn('ramRS_FSM_FFd2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RS_FSM_FFd2</a></td>
<td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB1_2_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_1</a>
</td>
@ -49,7 +49,7 @@
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB&lt;13&gt;'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('ramDTACKr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/DTACKr</a></td>
<td align="center" width="10%"><a href="Javascript:showEqn('ramRS_FSM_FFd1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RS_FSM_FFd1</a></td>
<td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB1_3_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_1</a>
</td>
@ -60,7 +60,7 @@
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB&lt;14&gt;'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('iobsIODONEr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/IODONEr</a></td>
<td align="center" width="10%"><a href="Javascript:showEqn('ramRASrf_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RASrf</a></td>
<td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB1_4_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">4_1</a>
</td>
@ -71,7 +71,7 @@
<td align="center" width="10%">(b)</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('iobsIOACTr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/IOACTr</a></td>
<td align="center" width="10%"><a href="Javascript:showEqn('cntEr1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/Er&lt;1&gt;</a></td>
<td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB1_5_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">5_1</a>
</td>
@ -82,9 +82,9 @@
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB&lt;15&gt;'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('iobmVPAr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/VPAr</a></td>
<td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB1_6_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">6_1</a>
<td align="center" width="10%"><a href="Javascript:showEqn('ramRefDone_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RefDone</a></td>
<td align="center" width="10%">2</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB1_6_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">6_1</a> <a href="Javascript:showPT('FB1_6_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">6_2</a>
</td>
<td align="center" width="10%">MC6</td>
<td align="center" width="10%">STD</td>
@ -93,9 +93,9 @@
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB&lt;16&gt;'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('iobmIOWRREQr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOWRREQr</a></td>
<td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB1_7_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_1</a>
<td align="center" width="10%"><a href="Javascript:showEqn('ramRS_FSM_FFd4_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RS_FSM_FFd4</a></td>
<td align="center" width="10%">2</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB1_7_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_1</a> <a href="Javascript:showPT('FB1_7_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_2</a>
</td>
<td align="center" width="10%">MC7</td>
<td align="center" width="10%">STD</td>
@ -104,9 +104,9 @@
<td align="center" width="10%">(b)</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('iobmIOS_FSM_FFd5_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOS_FSM_FFd5</a></td>
<td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB1_8_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_1</a>
<td align="center" width="10%"><a href="Javascript:showEqn('iobsIOU1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/IOU1</a></td>
<td align="center" width="10%">2</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB1_8_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_1</a> <a href="Javascript:showPT('FB1_8_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_2</a>
</td>
<td align="center" width="10%">MC8</td>
<td align="center" width="10%">STD</td>
@ -115,9 +115,9 @@
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB&lt;17&gt;'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('iobmIOS_FSM_FFd4_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOS_FSM_FFd4</a></td>
<td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB1_9_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_1</a>
<td align="center" width="10%"><a href="Javascript:showEqn('iobsIOL1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/IOL1</a></td>
<td align="center" width="10%">2</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB1_9_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_1</a> <a href="Javascript:showPT('FB1_9_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_2</a>
</td>
<td align="center" width="10%">MC9</td>
<td align="center" width="10%">STD</td>
@ -126,9 +126,9 @@
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB&lt;18&gt;'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('iobmIORDREQr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IORDREQr</a></td>
<td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB1_10_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_1</a>
<td align="center" width="10%"><a href="Javascript:showEqn('cntTimerTC_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/TimerTC</a></td>
<td align="center" width="10%">2</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB1_10_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_1</a> <a href="Javascript:showPT('FB1_10_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_2</a>
</td>
<td align="center" width="10%">MC10</td>
<td align="center" width="10%">STD</td>
@ -137,9 +137,9 @@
<td align="center" width="10%">(b)</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('iobmEr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/Er</a></td>
<td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB1_11_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">11_1</a>
<td align="center" width="10%"><a href="Javascript:showEqn('cntTimer0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/Timer&lt;0&gt;</a></td>
<td align="center" width="10%">2</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB1_11_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">11_1</a> <a href="Javascript:showPT('FB1_11_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">11_2</a>
</td>
<td align="center" width="10%">MC11</td>
<td align="center" width="10%">STD</td>
@ -148,9 +148,9 @@
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB&lt;19&gt;'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('cntnIPL2r_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/nIPL2r</a></td>
<td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB1_12_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_1</a>
<td align="center" width="10%"><a href="Javascript:showEqn('cntIS_FSM_FFd2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/IS_FSM_FFd2</a></td>
<td align="center" width="10%">2</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB1_12_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_1</a> <a href="Javascript:showPT('FB1_12_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_2</a>
</td>
<td align="center" width="10%">MC12</td>
<td align="center" width="10%">STD</td>
@ -159,7 +159,7 @@
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB&lt;20&gt;'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('ramRS_FSM_FFd5_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RS_FSM_FFd5</a></td>
<td align="center" width="10%"><a href="Javascript:showEqn('RefUrg')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">RefUrg</a></td>
<td align="center" width="10%">2</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB1_13_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_1</a> <a href="Javascript:showPT('FB1_13_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_2</a>
</td>
@ -170,7 +170,7 @@
<td align="center" width="10%">(b)</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('IOBERR')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">IOBERR</a></td>
<td align="center" width="10%"><a href="Javascript:showEqn('RefReq')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">RefReq</a></td>
<td align="center" width="10%">2</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB1_14_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_1</a> <a href="Javascript:showPT('FB1_14_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_2</a>
</td>
@ -181,9 +181,9 @@
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB&lt;21&gt;'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('iobmES2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/ES&lt;2&gt;</a></td>
<td align="center" width="10%">3</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB1_15_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_1</a> <a href="Javascript:showPT('FB1_15_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_2</a> <a href="Javascript:showPT('FB1_15_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_3</a>
<td align="center" width="10%"><a href="Javascript:showEqn('cntTimer1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/Timer&lt;1&gt;</a></td>
<td align="center" width="10%">4</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB1_15_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_1</a> <a href="Javascript:showPT('FB1_15_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_2</a> <a href="Javascript:showPT('FB1_15_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_3</a> <a href="Javascript:showPT('FB1_15_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_4</a>
</td>
<td align="center" width="10%">MC15</td>
<td align="center" width="10%">STD</td>
@ -192,9 +192,9 @@
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB&lt;22&gt;'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('iobmES0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/ES&lt;0&gt;</a></td>
<td align="center" width="10%">3</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB1_16_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_1</a> <a href="Javascript:showPT('FB1_16_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_2</a> <a href="Javascript:showPT('FB1_16_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_3</a>
<td align="center" width="10%"><a href="Javascript:showEqn('cntTimer3_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/Timer&lt;3&gt;</a></td>
<td align="center" width="10%">5</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB1_16_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_1</a> <a href="Javascript:showPT('FB1_16_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_2</a> <a href="Javascript:showPT('FB1_16_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_3</a> <a href="Javascript:showPT('FB1_16_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_4</a> <a href="Javascript:showPT('FB1_16_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_5</a>
</td>
<td align="center" width="10%">MC16</td>
<td align="center" width="10%">STD</td>
@ -203,9 +203,9 @@
<td align="center" width="10%">(b)</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('iobmES3_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/ES&lt;3&gt;</a></td>
<td align="center" width="10%">4</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB1_17_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_1</a> <a href="Javascript:showPT('FB1_17_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_2</a> <a href="Javascript:showPT('FB1_17_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_3</a> <a href="Javascript:showPT('FB1_17_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_4</a>
<td align="center" width="10%"><a href="Javascript:showEqn('cntTimer2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/Timer&lt;2&gt;</a></td>
<td align="center" width="10%">5</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB1_17_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_1</a> <a href="Javascript:showPT('FB1_17_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_2</a> <a href="Javascript:showPT('FB1_17_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_3</a> <a href="Javascript:showPT('FB1_17_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_4</a> <a href="Javascript:showPT('FB1_17_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_5</a>
</td>
<td align="center" width="10%">MC17</td>
<td align="center" width="10%">STD</td>
@ -214,9 +214,9 @@
<td align="center" width="10%">GCK</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('iobmES1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/ES&lt;1&gt;</a></td>
<td align="center" width="10%">4</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB1_18_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_1</a> <a href="Javascript:showPT('FB1_18_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_2</a> <a href="Javascript:showPT('FB1_18_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_3</a> <a href="Javascript:showPT('FB1_18_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_4</a>
<td align="center" width="10%"><a href="Javascript:showEqn('ramRS_FSM_FFd6_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RS_FSM_FFd6</a></td>
<td align="center" width="10%">9</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB1_18_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_1</a> <a href="Javascript:showPT('FB1_18_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_2</a> <a href="Javascript:showPT('FB1_18_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_3</a> <a href="Javascript:showPT('FB1_18_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_4</a> <a href="Javascript:showPT('FB1_18_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_5</a> <a href="Javascript:showPT('FB1_1_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_2</a> <a href="Javascript:showPT('FB1_1_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_3</a> <a href="Javascript:showPT('FB1_1_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_4</a> <a href="Javascript:showPT('FB1_1_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_5</a>
</td>
<td align="center" width="10%">MC18</td>
<td align="center" width="10%">STD</td>
@ -227,26 +227,36 @@
</table></span></div>
<div id="tipBox"></div>
<br><span id="fbsiguse" class="pgRef"><b>Signals Used By Logic in Function Block</b><br><ol>
<li>E</li>
<li><a href="Javascript:showEqn('IOACT')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">IOACT</a></li>
<li><a href="Javascript:showEqn('IODONE')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">IODONE</a></li>
<li><a href="Javascript:showEqn('IORDREQ')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">IORDREQ</a></li>
<li><a href="Javascript:showEqn('IOWRREQ')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">IOWRREQ</a></li>
<li><a href="Javascript:showEqn('iobmES0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/ES&lt;0&gt;</a></li>
<li><a href="Javascript:showEqn('iobmES1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/ES&lt;1&gt;</a></li>
<li><a href="Javascript:showEqn('iobmES2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/ES&lt;2&gt;</a></li>
<li><a href="Javascript:showEqn('iobmES3_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/ES&lt;3&gt;</a></li>
<li><a href="Javascript:showEqn('iobmEr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/Er</a></li>
<li><a href="Javascript:showEqn('iobmIOS_FSM_FFd5_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOS_FSM_FFd5</a></li>
<li><a href="Javascript:showEqn('iobmIOS_FSM_FFd6_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOS_FSM_FFd6</a></li>
<li><a href="Javascript:showEqn('nAS_IOB')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nAS_IOB</a></li>
<li>nBERR_IOB</li>
<li><a href="Javascript:showEqn('nDTACK_FSB')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nDTACK_FSB</a></li>
<li>nIPL2</li>
<li>nVPA_IOB</li>
<li>A_FSB&lt;22&gt;</li>
<li>A_FSB&lt;23&gt;</li>
<li><a href="Javascript:showEqn('RefReq')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">RefReq</a></li>
<li><a href="Javascript:showEqn('RefUrg')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">RefUrg</a></li>
<li><a href="Javascript:showEqn('cntEr0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/Er&lt;0&gt;</a></li>
<li><a href="Javascript:showEqn('cntEr1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/Er&lt;1&gt;</a></li>
<li><a href="Javascript:showEqn('cntIS_FSM_FFd1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/IS_FSM_FFd1</a></li>
<li><a href="Javascript:showEqn('cntIS_FSM_FFd2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/IS_FSM_FFd2</a></li>
<li><a href="Javascript:showEqn('cntLTimerTC_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimerTC</a></li>
<li><a href="Javascript:showEqn('cntTimer0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/Timer&lt;0&gt;</a></li>
<li><a href="Javascript:showEqn('cntTimer1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/Timer&lt;1&gt;</a></li>
<li><a href="Javascript:showEqn('cntTimer2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/Timer&lt;2&gt;</a></li>
<li><a href="Javascript:showEqn('cntTimer3_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/Timer&lt;3&gt;</a></li>
<li><a href="Javascript:showEqn('cntTimerTC_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/TimerTC</a></li>
<li><a href="Javascript:showEqn('fsbASrf_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">fsb/ASrf</a></li>
<li><a href="Javascript:showEqn('iobsLoad1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/Load1</a></li>
<li>nAS_FSB</li>
<li>nLDS_FSB</li>
<li>nUDS_FSB</li>
<li><a href="Javascript:showEqn('ramBACTr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/BACTr</a></li>
<li><a href="Javascript:showEqn('ramDTACKr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/DTACKr</a></li>
<li><a href="Javascript:showEqn('ramRASEN_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RASEN</a></li>
<li><a href="Javascript:showEqn('ramRS_FSM_FFd1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RS_FSM_FFd1</a></li>
<li><a href="Javascript:showEqn('ramRS_FSM_FFd2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RS_FSM_FFd2</a></li>
<li><a href="Javascript:showEqn('ramRS_FSM_FFd3_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RS_FSM_FFd3</a></li>
<li><a href="Javascript:showEqn('ramRS_FSM_FFd4_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RS_FSM_FFd4</a></li>
<li><a href="Javascript:showEqn('ramRS_FSM_FFd5_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RS_FSM_FFd5</a></li>
<li><a href="Javascript:showEqn('ramRS_FSM_FFd6_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RS_FSM_FFd6</a></li>
<li><a href="Javascript:showEqn('ramRS_FSM_FFd7_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RS_FSM_FFd7</a></li>
<li><a href="Javascript:showEqn('ramRefDone_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RefDone</a></li>
</ol></span><form><span class="pgRef"><table width="90%" align="center"><tr>
<td align="left"><input type="button" onclick="javascript:parent.leftnav.showTop()" onmouseover="window.status='goto top of page'; return true;" onmouseout="window.status=''" value="back to top"></td>
<td align="center"><table align="center" width="90%" border="0" cellpadding="0" cellspacing="0"><tr><td width="100%" align="center"><input type="button" onclick="javascript:showFB('FB2')" onmouseover="window.status='show next Function Block'; return true;" onmouseout="window.status=''" value="next"></td></tr></table></td>

View File

@ -47,168 +47,206 @@
<td align="center" width="10%"> </td>
</tr>
<tr>
<td align="center" width="10%">(unused)</td>
<td align="center" width="10%">0</td>
<td align="center" width="30%"> </td>
<td align="center" width="10%"><a href="Javascript:showEqn('ramDTACKr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/DTACKr</a></td>
<td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB2_3_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_1</a>
</td>
<td align="center" width="10%">MC3</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">(b)</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">(b)</td>
</tr>
<tr>
<td align="center" width="10%">(unused)</td>
<td align="center" width="10%">0</td>
<td align="center" width="30%"> </td>
<td align="center" width="10%"><a href="Javascript:showEqn('iobsIODONEr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/IODONEr</a></td>
<td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB2_4_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">4_1</a>
</td>
<td align="center" width="10%">MC4</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">(b)</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">(b)</td>
</tr>
<tr>
<td align="center" width="10%">(unused)</td>
<td align="center" width="10%">0</td>
<td align="center" width="30%"> </td>
<td align="center" width="10%"><a href="Javascript:showEqn('iobsIOACTr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/IOACTr</a></td>
<td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB2_5_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">5_1</a>
</td>
<td align="center" width="10%">MC5</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%">1</td>
<td width="8%" align="center">I/O/GTS3</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">(b)</td>
</tr>
<tr>
<td align="center" width="10%">(unused)</td>
<td align="center" width="10%">0</td>
<td align="center" width="30%"> </td>
<td align="center" width="10%"><a href="Javascript:showEqn('iobmVPAr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/VPAr</a></td>
<td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB2_6_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">6_1</a>
</td>
<td align="center" width="10%">MC6</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%">2</td>
<td width="8%" align="center">I/O/GTS4</td>
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB&lt;5&gt;'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
</tr>
<tr>
<td align="center" width="10%">(unused)</td>
<td align="center" width="10%">0</td>
<td align="center" width="30%"> </td>
<td align="center" width="10%"><a href="Javascript:showEqn('iobmIOWRREQr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOWRREQr</a></td>
<td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB2_7_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_1</a>
</td>
<td align="center" width="10%">MC7</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">(b)</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">(b)</td>
</tr>
<tr>
<td align="center" width="10%">(unused)</td>
<td align="center" width="10%">0</td>
<td align="center" width="30%"> </td>
<td align="center" width="10%"><a href="Javascript:showEqn('iobmIOS_FSM_FFd5_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOS_FSM_FFd5</a></td>
<td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB2_8_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_1</a>
</td>
<td align="center" width="10%">MC8</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%">3</td>
<td width="8%" align="center">I/O/GTS1</td>
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB&lt;6&gt;'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
</tr>
<tr>
<td align="center" width="10%">(unused)</td>
<td align="center" width="10%">0</td>
<td align="center" width="30%"> </td>
<td align="center" width="10%"><a href="Javascript:showEqn('iobmIOS_FSM_FFd4_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOS_FSM_FFd4</a></td>
<td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB2_9_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_1</a>
</td>
<td align="center" width="10%">MC9</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%">4</td>
<td width="8%" align="center">I/O/GTS2</td>
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB&lt;7&gt;'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
</tr>
<tr>
<td align="center" width="10%">(unused)</td>
<td align="center" width="10%">0</td>
<td align="center" width="30%"> </td>
<td align="center" width="10%"><a href="Javascript:showEqn('iobmIOS_FSM_FFd1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOS_FSM_FFd1</a></td>
<td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB2_10_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_1</a>
</td>
<td align="center" width="10%">MC10</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">(b)</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">(b)</td>
</tr>
<tr>
<td align="center" width="10%">(unused)</td>
<td align="center" width="10%">0</td>
<td align="center" width="30%"> </td>
<td align="center" width="10%"><a href="Javascript:showEqn('iobmIORDREQr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IORDREQr</a></td>
<td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB2_11_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">11_1</a>
</td>
<td align="center" width="10%">MC11</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%">6</td>
<td width="8%" align="center">I/O</td>
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB&lt;8&gt;'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
</tr>
<tr>
<td align="center" width="10%">(unused)</td>
<td align="center" width="10%">0</td>
<td align="center" width="30%"> </td>
<td align="center" width="10%"><a href="Javascript:showEqn('iobmEr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/Er</a></td>
<td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB2_12_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_1</a>
</td>
<td align="center" width="10%">MC12</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%">7</td>
<td width="8%" align="center">I/O</td>
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB&lt;9&gt;'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
</tr>
<tr>
<td align="center" width="10%">(unused)</td>
<td align="center" width="10%">0</td>
<td align="center" width="30%"> </td>
<td align="center" width="10%"><a href="Javascript:showEqn('iobmC8Mr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/C8Mr</a></td>
<td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB2_13_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_1</a>
</td>
<td align="center" width="10%">MC13</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">(b)</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">(b)</td>
</tr>
<tr>
<td align="center" width="10%">(unused)</td>
<td align="center" width="10%">0</td>
<td align="center" width="30%"> </td>
<td align="center" width="10%"><a href="Javascript:showEqn('cntnIPL2r_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/nIPL2r</a></td>
<td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB2_14_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_1</a>
</td>
<td align="center" width="10%">MC14</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%">8</td>
<td width="8%" align="center">I/O</td>
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB&lt;10&gt;'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
</tr>
<tr>
<td align="center" width="10%">(unused)</td>
<td align="center" width="10%">0</td>
<td align="center" width="30%"> </td>
<td align="center" width="10%"><a href="Javascript:showEqn('cntEr0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/Er&lt;0&gt;</a></td>
<td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB2_15_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_1</a>
</td>
<td align="center" width="10%">MC15</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%">9</td>
<td width="8%" align="center">I/O</td>
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB&lt;11&gt;'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
</tr>
<tr>
<td align="center" width="10%">(unused)</td>
<td align="center" width="10%">0</td>
<td align="center" width="30%"> </td>
<td align="center" width="10%"><a href="Javascript:showEqn('iobmIOS_FSM_FFd2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOS_FSM_FFd2</a></td>
<td align="center" width="10%">2</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB2_16_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_1</a> <a href="Javascript:showPT('FB2_16_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_2</a>
</td>
<td align="center" width="10%">MC16</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">(b)</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">(b)</td>
</tr>
<tr>
<td align="center" width="10%">(unused)</td>
<td align="center" width="10%">0</td>
<td align="center" width="30%"> </td>
<td align="center" width="10%"><a href="Javascript:showEqn('IOBERR')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">IOBERR</a></td>
<td align="center" width="10%">2</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB2_17_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_1</a> <a href="Javascript:showPT('FB2_17_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_2</a>
</td>
<td align="center" width="10%">MC17</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%">10</td>
<td width="8%" align="center">I/O</td>
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB&lt;12&gt;'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
</tr>
<tr>
<td align="center" width="10%">(unused)</td>
<td align="center" width="10%">0</td>
<td align="center" width="30%"> </td>
<td align="center" width="10%"><a href="Javascript:showEqn('iobmES2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/ES&lt;2&gt;</a></td>
<td align="center" width="10%">3</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB2_18_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_1</a> <a href="Javascript:showPT('FB2_18_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_2</a> <a href="Javascript:showPT('FB2_18_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_3</a>
</td>
<td align="center" width="10%">MC18</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">(b)</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">(b)</td>
</tr>
</table></span></div>
<div id="tipBox"></div>
<br><span id="fbsiguse" class="pgRef"><b>Signals Used By Logic in Function Block</b><br><ol></ol></span><form><span class="pgRef"><table width="90%" align="center"><tr>
<br><span id="fbsiguse" class="pgRef"><b>Signals Used By Logic in Function Block</b><br><ol>
<li>C8M</li>
<li>E</li>
<li><a href="Javascript:showEqn('IOACT')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">IOACT</a></li>
<li><a href="Javascript:showEqn('IOBERR')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">IOBERR</a></li>
<li><a href="Javascript:showEqn('IODONE')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">IODONE</a></li>
<li><a href="Javascript:showEqn('IORDREQ')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">IORDREQ</a></li>
<li><a href="Javascript:showEqn('IOWRREQ')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">IOWRREQ</a></li>
<li><a href="Javascript:showEqn('iobmC8Mr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/C8Mr</a></li>
<li><a href="Javascript:showEqn('iobmES0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/ES&lt;0&gt;</a></li>
<li><a href="Javascript:showEqn('iobmES1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/ES&lt;1&gt;</a></li>
<li><a href="Javascript:showEqn('iobmES2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/ES&lt;2&gt;</a></li>
<li><a href="Javascript:showEqn('iobmEr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/Er</a></li>
<li><a href="Javascript:showEqn('iobmIOS_FSM_FFd2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOS_FSM_FFd2</a></li>
<li><a href="Javascript:showEqn('iobmIOS_FSM_FFd3_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOS_FSM_FFd3</a></li>
<li><a href="Javascript:showEqn('iobmIOS_FSM_FFd5_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOS_FSM_FFd5</a></li>
<li><a href="Javascript:showEqn('iobmIOS_FSM_FFd6_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOS_FSM_FFd6</a></li>
<li><a href="Javascript:showEqn('nAS_IOB')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nAS_IOB</a></li>
<li>nBERR_IOB</li>
<li><a href="Javascript:showEqn('nDTACK_FSB')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nDTACK_FSB</a></li>
<li>nIPL2</li>
<li>nVPA_IOB</li>
</ol></span><form><span class="pgRef"><table width="90%" align="center"><tr>
<td align="left"><input type="button" onclick="javascript:parent.leftnav.showTop()" onmouseover="window.status='goto top of page'; return true;" onmouseout="window.status=''" value="back to top"></td>
<td align="center"><table align="center" width="90%" border="0" cellpadding="0" cellspacing="0"><tr><td width="100%" align="center">
<input type="button" onclick="javascript:showFB('FB1')" onmouseover="window.status='show previous Function Block'; return true;" onmouseout="window.status=''" value="prev">

View File

@ -27,9 +27,9 @@
<th width="10%">Pin Use</th>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('cntTimerTC_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/TimerTC</a></td>
<td align="center" width="10%">2</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB3_1_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_1</a> <a href="Javascript:showPT('FB3_1_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_2</a>
<td align="center" width="10%"><a href="Javascript:showEqn('QoSReady')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">QoSReady</a></td>
<td align="center" width="10%">18</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB3_17_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_2</a> <a href="Javascript:showPT('FB3_17_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_3</a> <a href="Javascript:showPT('FB3_17_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_4</a> <a href="Javascript:showPT('FB3_17_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_5</a> <a href="Javascript:showPT('FB3_18_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_1</a> <a href="Javascript:showPT('FB3_18_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_2</a> <a href="Javascript:showPT('FB3_18_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_3</a> <a href="Javascript:showPT('FB3_18_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_4</a> <a href="Javascript:showPT('FB3_18_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_5</a> <a href="Javascript:showPT('FB3_1_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_1</a> <a href="Javascript:showPT('FB3_1_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_2</a> <a href="Javascript:showPT('FB3_1_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_3</a> <a href="Javascript:showPT('FB3_1_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_4</a> <a href="Javascript:showPT('FB3_1_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_5</a> <a href="Javascript:showPT('FB3_2_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_2</a> <a href="Javascript:showPT('FB3_2_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_3</a> <a href="Javascript:showPT('FB3_2_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_4</a> <a href="Javascript:showPT('FB3_2_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_5</a>
</td>
<td align="center" width="10%">MC1</td>
<td align="center" width="10%">STD</td>
@ -38,9 +38,9 @@
<td align="center" width="10%">(b)</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('cntLTimerTC_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimerTC</a></td>
<td align="center" width="10%">2</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB3_2_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_1</a> <a href="Javascript:showPT('FB3_2_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_2</a>
<td align="center" width="10%"><a href="Javascript:showEqn('IONPReady')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">IONPReady</a></td>
<td align="center" width="10%">5</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB3_2_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_1</a> <a href="Javascript:showPT('FB3_3_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_2</a> <a href="Javascript:showPT('FB3_3_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_3</a> <a href="Javascript:showPT('FB3_3_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_4</a> <a href="Javascript:showPT('FB3_3_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_5</a>
</td>
<td align="center" width="10%">MC2</td>
<td align="center" width="10%">STD</td>
@ -49,9 +49,9 @@
<td align="center" width="10%">GCK/I</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('cntLTimer9_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;9&gt;</a></td>
<td align="center" width="10%">2</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB3_3_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_1</a> <a href="Javascript:showPT('FB3_3_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_2</a>
<td align="center" width="10%"><a href="Javascript:showEqn('iobsClear1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/Clear1</a></td>
<td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB3_3_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_1</a>
</td>
<td align="center" width="10%">MC3</td>
<td align="center" width="10%">STD</td>
@ -60,9 +60,9 @@
<td align="center" width="10%">(b)</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('cntLTimer8_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;8&gt;</a></td>
<td align="center" width="10%">2</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB3_4_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">4_1</a> <a href="Javascript:showPT('FB3_4_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">4_2</a>
<td align="center" width="10%"><a href="Javascript:showEqn('ALE0S')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ALE0S</a></td>
<td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB3_4_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">4_1</a>
</td>
<td align="center" width="10%">MC4</td>
<td align="center" width="10%">STD</td>
@ -71,7 +71,7 @@
<td align="center" width="10%">(b)</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('cntLTimer7_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;7&gt;</a></td>
<td align="center" width="10%"><a href="Javascript:showEqn('iobsTS_FSM_FFd1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/TS_FSM_FFd1</a></td>
<td align="center" width="10%">2</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB3_5_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">5_1</a> <a href="Javascript:showPT('FB3_5_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">5_2</a>
</td>
@ -82,9 +82,9 @@
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB&lt;23&gt;'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('cntLTimer6_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;6&gt;</a></td>
<td align="center" width="10%">2</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB3_6_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">6_1</a> <a href="Javascript:showPT('FB3_6_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">6_2</a>
<td align="center" width="10%"><a href="Javascript:showEqn('csnOverlay_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cs/nOverlay</a></td>
<td align="center" width="10%">3</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB3_6_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">6_1</a> <a href="Javascript:showPT('FB3_6_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">6_2</a> <a href="Javascript:showPT('FB3_6_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">6_3</a>
</td>
<td align="center" width="10%">MC6</td>
<td align="center" width="10%">STD</td>
@ -93,9 +93,9 @@
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'E'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('cntLTimer5_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;5&gt;</a></td>
<td align="center" width="10%">2</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB3_7_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_1</a> <a href="Javascript:showPT('FB3_7_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_2</a>
<td align="center" width="10%"><a href="Javascript:showEqn('cntWS3_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/WS&lt;3&gt;</a></td>
<td align="center" width="10%">3</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB3_6_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">6_4</a> <a href="Javascript:showPT('FB3_6_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">6_5</a> <a href="Javascript:showPT('FB3_7_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_1</a>
</td>
<td align="center" width="10%">MC7</td>
<td align="center" width="10%">STD</td>
@ -104,9 +104,9 @@
<td align="center" width="10%">(b)</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('cntLTimer4_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;4&gt;</a></td>
<td align="center" width="10%">2</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB3_8_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_1</a> <a href="Javascript:showPT('FB3_8_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_2</a>
<td align="center" width="10%"><a href="Javascript:showEqn('cntWS2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/WS&lt;2&gt;</a></td>
<td align="center" width="10%">3</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB3_9_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_1</a> <a href="Javascript:showPT('FB3_9_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_2</a> <a href="Javascript:showPT('FB3_9_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_3</a>
</td>
<td align="center" width="10%">MC8</td>
<td align="center" width="10%">STD</td>
@ -116,8 +116,8 @@
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('nDTACK_FSB')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nDTACK_FSB</a></td>
<td align="center" width="10%">7</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB3_10_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_3</a> <a href="Javascript:showPT('FB3_8_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_3</a> <a href="Javascript:showPT('FB3_9_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_1</a> <a href="Javascript:showPT('FB3_9_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_2</a> <a href="Javascript:showPT('FB3_9_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_3</a> <a href="Javascript:showPT('FB3_9_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_4</a> <a href="Javascript:showPT('FB3_9_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_5</a>
<td align="center" width="10%">9</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB3_7_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_2</a> <a href="Javascript:showPT('FB3_7_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_3</a> <a href="Javascript:showPT('FB3_7_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_4</a> <a href="Javascript:showPT('FB3_7_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_5</a> <a href="Javascript:showPT('FB3_8_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_1</a> <a href="Javascript:showPT('FB3_8_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_2</a> <a href="Javascript:showPT('FB3_8_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_3</a> <a href="Javascript:showPT('FB3_8_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_4</a> <a href="Javascript:showPT('FB3_8_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_5</a>
</td>
<td align="center" width="10%">MC9</td>
<td align="center" width="10%">STD</td>
@ -126,9 +126,9 @@
<td align="center" width="10%">O</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('cntLTimer3_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;3&gt;</a></td>
<td align="center" width="10%">2</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB3_10_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_1</a> <a href="Javascript:showPT('FB3_10_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_2</a>
<td align="center" width="10%"><a href="Javascript:showEqn('cntWS1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/WS&lt;1&gt;</a></td>
<td align="center" width="10%">3</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB3_10_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_1</a> <a href="Javascript:showPT('FB3_10_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_2</a> <a href="Javascript:showPT('FB3_10_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_3</a>
</td>
<td align="center" width="10%">MC10</td>
<td align="center" width="10%">STD</td>
@ -137,9 +137,9 @@
<td align="center" width="10%">(b)</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('cntLTimer2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;2&gt;</a></td>
<td align="center" width="10%"><a href="Javascript:showEqn('ramRS_FSM_FFd5_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RS_FSM_FFd5</a></td>
<td align="center" width="10%">2</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB3_11_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">11_1</a> <a href="Javascript:showPT('FB3_11_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">11_2</a>
<td align="center" width="30%"> <a href="Javascript:showPT('FB3_10_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_4</a> <a href="Javascript:showPT('FB3_10_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_5</a>
</td>
<td align="center" width="10%">MC11</td>
<td align="center" width="10%">STD</td>
@ -148,9 +148,9 @@
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'nWE_FSB'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('cntLTimer1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;1&gt;</a></td>
<td align="center" width="10%">2</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB3_12_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_1</a> <a href="Javascript:showPT('FB3_12_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_2</a>
<td align="center" width="10%"><a href="Javascript:showEqn('iobsLoad1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/Load1</a></td>
<td align="center" width="10%">8</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB3_11_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">11_1</a> <a href="Javascript:showPT('FB3_11_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">11_2</a> <a href="Javascript:showPT('FB3_11_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">11_3</a> <a href="Javascript:showPT('FB3_11_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">11_4</a> <a href="Javascript:showPT('FB3_11_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">11_5</a> <a href="Javascript:showPT('FB3_12_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_1</a> <a href="Javascript:showPT('FB3_12_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_2</a> <a href="Javascript:showPT('FB3_12_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_3</a>
</td>
<td align="center" width="10%">MC12</td>
<td align="center" width="10%">STD</td>
@ -159,20 +159,19 @@
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'nLDS_FSB'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('cntLTimer11_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;11&gt;</a></td>
<td align="center" width="10%">2</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB3_13_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_1</a> <a href="Javascript:showPT('FB3_13_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_2</a>
</td>
<td align="center" width="10%">(unused)</td>
<td align="center" width="10%">0</td>
<td align="center" width="30%"> </td>
<td align="center" width="10%">MC13</td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">(b)</td>
<td align="center" width="10%">(b)</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('cntLTimer10_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;10&gt;</a></td>
<td align="center" width="10%">2</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB3_14_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_1</a> <a href="Javascript:showPT('FB3_14_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_2</a>
<td align="center" width="10%"><a href="Javascript:showEqn('IORDREQ')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">IORDREQ</a></td>
<td align="center" width="10%">9</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB3_12_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_4</a> <a href="Javascript:showPT('FB3_12_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_5</a> <a href="Javascript:showPT('FB3_13_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_1</a> <a href="Javascript:showPT('FB3_13_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_2</a> <a href="Javascript:showPT('FB3_13_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_3</a> <a href="Javascript:showPT('FB3_13_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_4</a> <a href="Javascript:showPT('FB3_13_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_5</a> <a href="Javascript:showPT('FB3_14_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_1</a> <a href="Javascript:showPT('FB3_14_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_2</a>
</td>
<td align="center" width="10%">MC14</td>
<td align="center" width="10%">STD</td>
@ -181,9 +180,9 @@
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'nAS_FSB'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('cntTimer1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/Timer&lt;1&gt;</a></td>
<td align="center" width="10%">4</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB3_15_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_1</a> <a href="Javascript:showPT('FB3_15_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_2</a> <a href="Javascript:showPT('FB3_15_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_3</a> <a href="Javascript:showPT('FB3_15_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_4</a>
<td align="center" width="10%"><a href="Javascript:showEqn('iobsSent_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/Sent</a></td>
<td align="center" width="10%">13</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB3_14_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_3</a> <a href="Javascript:showPT('FB3_14_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_4</a> <a href="Javascript:showPT('FB3_14_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_5</a> <a href="Javascript:showPT('FB3_15_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_1</a> <a href="Javascript:showPT('FB3_15_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_2</a> <a href="Javascript:showPT('FB3_15_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_3</a> <a href="Javascript:showPT('FB3_15_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_4</a> <a href="Javascript:showPT('FB3_15_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_5</a> <a href="Javascript:showPT('FB3_16_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_1</a> <a href="Javascript:showPT('FB3_16_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_2</a> <a href="Javascript:showPT('FB3_16_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_3</a> <a href="Javascript:showPT('FB3_16_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_4</a> <a href="Javascript:showPT('FB3_16_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_5</a>
</td>
<td align="center" width="10%">MC15</td>
<td align="center" width="10%">STD</td>
@ -192,12 +191,11 @@
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'nUDS_FSB'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('cntTimer2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/Timer&lt;2&gt;</a></td>
<td align="center" width="10%">5</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB3_16_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_1</a> <a href="Javascript:showPT('FB3_16_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_2</a> <a href="Javascript:showPT('FB3_16_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_3</a> <a href="Javascript:showPT('FB3_16_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_4</a> <a href="Javascript:showPT('FB3_16_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_5</a>
</td>
<td align="center" width="10%">(unused)</td>
<td align="center" width="10%">0</td>
<td align="center" width="30%"> </td>
<td align="center" width="10%">MC16</td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">(b)</td>
<td align="center" width="10%">(b)</td>
@ -214,12 +212,11 @@
<td align="center" width="10%">O</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('RefClk')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">RefClk</a></td>
<td align="center" width="10%">5</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB3_18_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_1</a> <a href="Javascript:showPT('FB3_18_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_2</a> <a href="Javascript:showPT('FB3_18_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_3</a> <a href="Javascript:showPT('FB3_18_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_4</a> <a href="Javascript:showPT('FB3_18_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_5</a>
</td>
<td align="center" width="10%">(unused)</td>
<td align="center" width="10%">0</td>
<td align="center" width="30%"> </td>
<td align="center" width="10%">MC18</td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">(b)</td>
<td align="center" width="10%">(b)</td>
@ -227,6 +224,13 @@
</table></span></div>
<div id="tipBox"></div>
<br><span id="fbsiguse" class="pgRef"><b>Signals Used By Logic in Function Block</b><br><ol>
<li><a href="Javascript:showEqn('OpTxOpTxFX_DC346_INV533_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">$OpTx$$OpTx$FX_DC$346_INV$533</a></li>
<li>A_FSB&lt;10&gt;</li>
<li>A_FSB&lt;11&gt;</li>
<li>A_FSB&lt;12&gt;</li>
<li>A_FSB&lt;13&gt;</li>
<li>A_FSB&lt;14&gt;</li>
<li>A_FSB&lt;15&gt;</li>
<li>A_FSB&lt;16&gt;</li>
<li>A_FSB&lt;17&gt;</li>
<li>A_FSB&lt;18&gt;</li>
@ -235,31 +239,32 @@
<li>A_FSB&lt;21&gt;</li>
<li>A_FSB&lt;22&gt;</li>
<li>A_FSB&lt;23&gt;</li>
<li><a href="Javascript:showEqn('IOReady')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">IOReady</a></li>
<li>A_FSB&lt;8&gt;</li>
<li>A_FSB&lt;9&gt;</li>
<li><a href="Javascript:showEqn('IONPReady')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">IONPReady</a></li>
<li><a href="Javascript:showEqn('IORDREQ')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">IORDREQ</a></li>
<li>nRES.PIN</li>
<li><a href="Javascript:showEqn('QoSReady')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">QoSReady</a></li>
<li><a href="Javascript:showEqn('RAMReady')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">RAMReady</a></li>
<li><a href="Javascript:showEqn('RefClk')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">RefClk</a></li>
<li><a href="Javascript:showEqn('cntEr0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/Er&lt;0&gt;</a></li>
<li><a href="Javascript:showEqn('cntEr1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/Er&lt;1&gt;</a></li>
<li><a href="Javascript:showEqn('cntLTimer0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;0&gt;</a></li>
<li><a href="Javascript:showEqn('cntLTimer10_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;10&gt;</a></li>
<li><a href="Javascript:showEqn('cntLTimer11_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;11&gt;</a></li>
<li><a href="Javascript:showEqn('cntLTimer1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;1&gt;</a></li>
<li><a href="Javascript:showEqn('cntLTimer2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;2&gt;</a></li>
<li><a href="Javascript:showEqn('cntLTimer3_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;3&gt;</a></li>
<li><a href="Javascript:showEqn('cntLTimer4_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;4&gt;</a></li>
<li><a href="Javascript:showEqn('cntLTimer5_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;5&gt;</a></li>
<li><a href="Javascript:showEqn('cntLTimer6_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;6&gt;</a></li>
<li><a href="Javascript:showEqn('cntLTimer7_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;7&gt;</a></li>
<li><a href="Javascript:showEqn('cntLTimer8_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;8&gt;</a></li>
<li><a href="Javascript:showEqn('cntLTimer9_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;9&gt;</a></li>
<li><a href="Javascript:showEqn('cntTimer0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/Timer&lt;0&gt;</a></li>
<li><a href="Javascript:showEqn('cntTimer1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/Timer&lt;1&gt;</a></li>
<li><a href="Javascript:showEqn('cntTimer2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/Timer&lt;2&gt;</a></li>
<li><a href="Javascript:showEqn('cntTimerTC_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/TimerTC</a></li>
<li><a href="Javascript:showEqn('cntWS0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/WS&lt;0&gt;</a></li>
<li><a href="Javascript:showEqn('cntWS1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/WS&lt;1&gt;</a></li>
<li><a href="Javascript:showEqn('cntWS2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/WS&lt;2&gt;</a></li>
<li><a href="Javascript:showEqn('cntWS3_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/WS&lt;3&gt;</a></li>
<li><a href="Javascript:showEqn('csnOverlay_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cs/nOverlay</a></li>
<li><a href="Javascript:showEqn('fsbASrf_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">fsb/ASrf</a></li>
<li><a href="Javascript:showEqn('iobsIOACTr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/IOACTr</a></li>
<li><a href="Javascript:showEqn('iobsIODONEr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/IODONEr</a></li>
<li><a href="Javascript:showEqn('iobsIORW1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/IORW1</a></li>
<li><a href="Javascript:showEqn('iobsSent_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/Sent</a></li>
<li><a href="Javascript:showEqn('iobsTS_FSM_FFd1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/TS_FSM_FFd1</a></li>
<li><a href="Javascript:showEqn('iobsTS_FSM_FFd2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/TS_FSM_FFd2</a></li>
<li><a href="Javascript:showEqn('nADoutLE1')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nADoutLE1</a></li>
<li>nAS_FSB</li>
<li>nWE_FSB</li>
<li><a href="Javascript:showEqn('ramRASEN_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RASEN</a></li>
<li><a href="Javascript:showEqn('ramRS_FSM_FFd7_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RS_FSM_FFd7</a></li>
</ol></span><form><span class="pgRef"><table width="90%" align="center"><tr>
<td align="left"><input type="button" onclick="javascript:parent.leftnav.showTop()" onmouseover="window.status='goto top of page'; return true;" onmouseout="window.status=''" value="back to top"></td>
<td align="center"><table align="center" width="90%" border="0" cellpadding="0" cellspacing="0"><tr><td width="100%" align="center">

View File

@ -27,12 +27,11 @@
<th width="10%">Pin Use</th>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('iobsClear1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/Clear1</a></td>
<td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB4_1_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_1</a>
</td>
<td align="center" width="10%">(unused)</td>
<td align="center" width="10%">0</td>
<td align="center" width="30%"> </td>
<td align="center" width="10%">MC1</td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">(b)</td>
<td align="center" width="10%">(b)</td>
@ -40,7 +39,7 @@
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('nAoutOE')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nAoutOE</a></td>
<td align="center" width="10%">2</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB4_2_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_1</a> <a href="Javascript:showPT('FB4_2_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_2</a>
<td align="center" width="30%"> <a href="Javascript:showPT('FB4_3_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_1</a> <a href="Javascript:showPT('FB4_3_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_2</a>
</td>
<td align="center" width="10%">MC2</td>
<td align="center" width="10%">STD</td>
@ -59,15 +58,14 @@
<td align="center" width="10%">(b)</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('iobsTS_FSM_FFd2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/TS_FSM_FFd2</a></td>
<td align="center" width="10%">12</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB4_3_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_1</a> <a href="Javascript:showPT('FB4_3_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_2</a> <a href="Javascript:showPT('FB4_3_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_3</a> <a href="Javascript:showPT('FB4_3_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_4</a> <a href="Javascript:showPT('FB4_3_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_5</a> <a href="Javascript:showPT('FB4_4_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">4_1</a> <a href="Javascript:showPT('FB4_4_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">4_2</a> <a href="Javascript:showPT('FB4_4_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">4_3</a> <a href="Javascript:showPT('FB4_4_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">4_4</a> <a href="Javascript:showPT('FB4_4_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">4_5</a> <a href="Javascript:showPT('FB4_5_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">5_3</a> <a href="Javascript:showPT('FB4_5_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">5_4</a>
</td>
<td align="center" width="10%">(unused)</td>
<td align="center" width="10%">0</td>
<td align="center" width="30%"> </td>
<td align="center" width="10%">MC4</td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">(b)</td>
<td align="center" width="10%">(b)</td>
<td align="center" width="10%"> </td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('nDoutOE')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nDoutOE</a></td>
@ -92,9 +90,9 @@
<td align="center" width="10%">O</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('iobsSent_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/Sent</a></td>
<td align="center" width="10%">11</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB4_6_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">6_4</a> <a href="Javascript:showPT('FB4_6_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">6_5</a> <a href="Javascript:showPT('FB4_7_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_1</a> <a href="Javascript:showPT('FB4_7_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_2</a> <a href="Javascript:showPT('FB4_7_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_3</a> <a href="Javascript:showPT('FB4_7_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_4</a> <a href="Javascript:showPT('FB4_7_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_5</a> <a href="Javascript:showPT('FB4_8_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_2</a> <a href="Javascript:showPT('FB4_8_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_3</a> <a href="Javascript:showPT('FB4_8_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_4</a> <a href="Javascript:showPT('FB4_8_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_5</a>
<td align="center" width="10%"><a href="Javascript:showEqn('ramBACTr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/BACTr</a></td>
<td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB4_7_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_1</a>
</td>
<td align="center" width="10%">MC7</td>
<td align="center" width="10%">STD</td>
@ -114,19 +112,20 @@
<td align="center" width="10%">I/O</td>
</tr>
<tr>
<td align="center" width="10%">(unused)</td>
<td align="center" width="10%">0</td>
<td align="center" width="30%"> </td>
<td align="center" width="10%"><a href="Javascript:showEqn('nRESout')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nRESout</a></td>
<td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB4_9_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_1</a>
</td>
<td align="center" width="10%">MC9</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%">92</td>
<td width="8%" align="center">I/O</td>
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'nIPL2'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('IOWRREQ')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">IOWRREQ</a></td>
<td align="center" width="10%">13</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB4_10_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_1</a> <a href="Javascript:showPT('FB4_10_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_2</a> <a href="Javascript:showPT('FB4_10_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_3</a> <a href="Javascript:showPT('FB4_10_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_4</a> <a href="Javascript:showPT('FB4_10_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_5</a> <a href="Javascript:showPT('FB4_11_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">11_3</a> <a href="Javascript:showPT('FB4_11_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">11_4</a> <a href="Javascript:showPT('FB4_11_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">11_5</a> <a href="Javascript:showPT('FB4_9_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_1</a> <a href="Javascript:showPT('FB4_9_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_2</a> <a href="Javascript:showPT('FB4_9_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_3</a> <a href="Javascript:showPT('FB4_9_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_4</a> <a href="Javascript:showPT('FB4_9_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_5</a>
<td align="center" width="10%"><a href="Javascript:showEqn('fsbASrf_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">fsb/ASrf</a></td>
<td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB4_10_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_1</a>
</td>
<td align="center" width="10%">MC10</td>
<td align="center" width="10%">STD</td>
@ -137,7 +136,7 @@
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('nVPA_FSB')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nVPA_FSB</a></td>
<td align="center" width="10%">3</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB4_11_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">11_1</a> <a href="Javascript:showPT('FB4_11_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">11_2</a> <a href="Javascript:showPT('FB4_12_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_5</a>
<td align="center" width="30%"> <a href="Javascript:showPT('FB4_11_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">11_1</a> <a href="Javascript:showPT('FB4_11_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">11_2</a> <a href="Javascript:showPT('FB4_11_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">11_3</a>
</td>
<td align="center" width="10%">MC11</td>
<td align="center" width="10%">STD</td>
@ -146,9 +145,9 @@
<td align="center" width="10%">O</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('iobsIORW1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/IORW1</a></td>
<td align="center" width="10%">4</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB4_12_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_1</a> <a href="Javascript:showPT('FB4_12_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_2</a> <a href="Javascript:showPT('FB4_12_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_3</a> <a href="Javascript:showPT('FB4_12_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_4</a>
<td align="center" width="10%"><a href="Javascript:showEqn('cntWS0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/WS&lt;0&gt;</a></td>
<td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB4_12_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_1</a>
</td>
<td align="center" width="10%">MC12</td>
<td align="center" width="10%">STD</td>
@ -157,19 +156,20 @@
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB&lt;1&gt;'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
</tr>
<tr>
<td align="center" width="10%">(unused)</td>
<td align="center" width="10%">0</td>
<td align="center" width="30%"> </td>
<td align="center" width="10%"><a href="Javascript:showEqn('cntLTimerTC_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimerTC</a></td>
<td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB4_13_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_1</a>
</td>
<td align="center" width="10%">MC13</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">(b)</td>
<td align="center" width="10%">(b)</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('IOU0')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">IOU0</a></td>
<td align="center" width="10%">15</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB4_13_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_1</a> <a href="Javascript:showPT('FB4_13_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_2</a> <a href="Javascript:showPT('FB4_13_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_3</a> <a href="Javascript:showPT('FB4_13_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_4</a> <a href="Javascript:showPT('FB4_13_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_5</a> <a href="Javascript:showPT('FB4_14_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_1</a> <a href="Javascript:showPT('FB4_14_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_2</a> <a href="Javascript:showPT('FB4_14_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_3</a> <a href="Javascript:showPT('FB4_14_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_4</a> <a href="Javascript:showPT('FB4_14_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_5</a> <a href="Javascript:showPT('FB4_15_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_1</a> <a href="Javascript:showPT('FB4_15_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_2</a> <a href="Javascript:showPT('FB4_15_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_3</a> <a href="Javascript:showPT('FB4_15_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_4</a> <a href="Javascript:showPT('FB4_15_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_5</a>
<td align="center" width="10%"><a href="Javascript:showEqn('OpTxOpTxFX_DC346_INV533_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">$OpTx$$OpTx$FX_DC$346_INV$533</a></td>
<td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB4_14_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_1</a>
</td>
<td align="center" width="10%">MC14</td>
<td align="center" width="10%">STD</td>
@ -188,32 +188,33 @@
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB&lt;3&gt;'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
</tr>
<tr>
<td align="center" width="10%">(unused)</td>
<td align="center" width="10%">0</td>
<td align="center" width="30%"> </td>
<td align="center" width="10%"><a href="Javascript:showEqn('cntLTimer1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;1&gt;</a></td>
<td align="center" width="10%">11</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB4_14_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_2</a> <a href="Javascript:showPT('FB4_14_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_3</a> <a href="Javascript:showPT('FB4_14_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_4</a> <a href="Javascript:showPT('FB4_15_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_1</a> <a href="Javascript:showPT('FB4_15_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_2</a> <a href="Javascript:showPT('FB4_15_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_3</a> <a href="Javascript:showPT('FB4_15_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_4</a> <a href="Javascript:showPT('FB4_15_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_5</a> <a href="Javascript:showPT('FB4_16_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_1</a> <a href="Javascript:showPT('FB4_16_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_2</a> <a href="Javascript:showPT('FB4_16_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_3</a>
</td>
<td align="center" width="10%">MC16</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">(b)</td>
<td align="center" width="10%">(b)</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('IOL0')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">IOL0</a></td>
<td align="center" width="10%">15</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB4_16_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_1</a> <a href="Javascript:showPT('FB4_16_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_2</a> <a href="Javascript:showPT('FB4_16_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_3</a> <a href="Javascript:showPT('FB4_16_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_4</a> <a href="Javascript:showPT('FB4_16_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_5</a> <a href="Javascript:showPT('FB4_17_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_1</a> <a href="Javascript:showPT('FB4_17_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_2</a> <a href="Javascript:showPT('FB4_17_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_3</a> <a href="Javascript:showPT('FB4_17_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_4</a> <a href="Javascript:showPT('FB4_17_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_5</a> <a href="Javascript:showPT('FB4_18_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_1</a> <a href="Javascript:showPT('FB4_18_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_2</a> <a href="Javascript:showPT('FB4_18_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_3</a> <a href="Javascript:showPT('FB4_18_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_4</a> <a href="Javascript:showPT('FB4_18_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_5</a>
</td>
<td align="center" width="10%">(unused)</td>
<td align="center" width="10%">0</td>
<td align="center" width="30%"> </td>
<td align="center" width="10%">MC17</td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">97</td>
<td width="8%" align="center">I/O</td>
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB&lt;4&gt;'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
</tr>
<tr>
<td align="center" width="10%">(unused)</td>
<td align="center" width="10%">0</td>
<td align="center" width="30%"> </td>
<td align="center" width="10%"><a href="Javascript:showEqn('cntLTimer0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;0&gt;</a></td>
<td align="center" width="10%">22</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB4_16_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_4</a> <a href="Javascript:showPT('FB4_16_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_5</a> <a href="Javascript:showPT('FB4_17_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_1</a> <a href="Javascript:showPT('FB4_17_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_2</a> <a href="Javascript:showPT('FB4_17_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_3</a> <a href="Javascript:showPT('FB4_17_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_4</a> <a href="Javascript:showPT('FB4_17_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_5</a> <a href="Javascript:showPT('FB4_18_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_1</a> <a href="Javascript:showPT('FB4_18_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_2</a> <a href="Javascript:showPT('FB4_18_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_3</a> <a href="Javascript:showPT('FB4_18_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_4</a> <a href="Javascript:showPT('FB4_18_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_5</a> <a href="Javascript:showPT('FB4_1_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_1</a> <a href="Javascript:showPT('FB4_1_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_2</a> <a href="Javascript:showPT('FB4_1_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_3</a> <a href="Javascript:showPT('FB4_1_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_4</a> <a href="Javascript:showPT('FB4_1_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_5</a> <a href="Javascript:showPT('FB4_2_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_1</a> <a href="Javascript:showPT('FB4_2_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_2</a> <a href="Javascript:showPT('FB4_2_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_3</a> <a href="Javascript:showPT('FB4_2_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_4</a> <a href="Javascript:showPT('FB4_2_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_5</a>
</td>
<td align="center" width="10%">MC18</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">(b)</td>
<td align="center" width="10%">(b)</td>
@ -221,6 +222,12 @@
</table></span></div>
<div id="tipBox"></div>
<br><span id="fbsiguse" class="pgRef"><b>Signals Used By Logic in Function Block</b><br><ol>
<li>A_FSB&lt;10&gt;</li>
<li>A_FSB&lt;11&gt;</li>
<li>A_FSB&lt;12&gt;</li>
<li>A_FSB&lt;13&gt;</li>
<li>A_FSB&lt;14&gt;</li>
<li>A_FSB&lt;15&gt;</li>
<li>A_FSB&lt;16&gt;</li>
<li>A_FSB&lt;17&gt;</li>
<li>A_FSB&lt;18&gt;</li>
@ -229,32 +236,34 @@
<li>A_FSB&lt;21&gt;</li>
<li>A_FSB&lt;22&gt;</li>
<li>A_FSB&lt;23&gt;</li>
<li><a href="Javascript:showEqn('IOL0')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">IOL0</a></li>
<li><a href="Javascript:showEqn('IOReady')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">IOReady</a></li>
<li><a href="Javascript:showEqn('IOU0')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">IOU0</a></li>
<li><a href="Javascript:showEqn('IOWRREQ')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">IOWRREQ</a></li>
<li>A_FSB&lt;8&gt;</li>
<li>A_FSB&lt;9&gt;</li>
<li><a href="Javascript:showEqn('IONPReady')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">IONPReady</a></li>
<li><a href="Javascript:showEqn('cntIS_FSM_FFd1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/IS_FSM_FFd1</a></li>
<li><a href="Javascript:showEqn('cntIS_FSM_FFd2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/IS_FSM_FFd2</a></li>
<li><a href="Javascript:showEqn('csnOverlay_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cs/nOverlay</a></li>
<li><a href="Javascript:showEqn('cntLTimer0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;0&gt;</a></li>
<li><a href="Javascript:showEqn('cntLTimer10_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;10&gt;</a></li>
<li><a href="Javascript:showEqn('cntLTimer11_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;11&gt;</a></li>
<li><a href="Javascript:showEqn('cntLTimer1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;1&gt;</a></li>
<li><a href="Javascript:showEqn('cntLTimer2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;2&gt;</a></li>
<li><a href="Javascript:showEqn('cntLTimer3_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;3&gt;</a></li>
<li><a href="Javascript:showEqn('cntLTimer4_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;4&gt;</a></li>
<li><a href="Javascript:showEqn('cntLTimer5_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;5&gt;</a></li>
<li><a href="Javascript:showEqn('cntLTimer6_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;6&gt;</a></li>
<li><a href="Javascript:showEqn('cntLTimer7_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;7&gt;</a></li>
<li><a href="Javascript:showEqn('cntLTimer8_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;8&gt;</a></li>
<li><a href="Javascript:showEqn('cntLTimer9_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;9&gt;</a></li>
<li><a href="Javascript:showEqn('cntLTimer_1_not0001cntLTimer_1_not0001_D2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2</a></li>
<li><a href="Javascript:showEqn('cntWS0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/WS&lt;0&gt;</a></li>
<li><a href="Javascript:showEqn('fsbASrf_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">fsb/ASrf</a></li>
<li><a href="Javascript:showEqn('iobmDoutOE_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/DoutOE</a></li>
<li><a href="Javascript:showEqn('iobmIORDREQr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IORDREQr</a></li>
<li><a href="Javascript:showEqn('iobmIOS0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOS0</a></li>
<li><a href="Javascript:showEqn('iobmIOWRREQr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOWRREQr</a></li>
<li><a href="Javascript:showEqn('iobsIOACTr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/IOACTr</a></li>
<li><a href="Javascript:showEqn('iobsIOL1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/IOL1</a></li>
<li><a href="Javascript:showEqn('iobsIORW1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/IORW1</a></li>
<li><a href="Javascript:showEqn('iobsIOU1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/IOU1</a></li>
<li><a href="Javascript:showEqn('iobsSent_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/Sent</a></li>
<li><a href="Javascript:showEqn('iobsTS_FSM_FFd1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/TS_FSM_FFd1</a></li>
<li><a href="Javascript:showEqn('iobsTS_FSM_FFd2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/TS_FSM_FFd2</a></li>
<li><a href="Javascript:showEqn('nADoutLE1')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nADoutLE1</a></li>
<li>nAS_FSB</li>
<li><a href="Javascript:showEqn('nAoutOE')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nAoutOE</a></li>
<li><a href="Javascript:showEqn('nBR_IOB')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nBR_IOB</a></li>
<li>nLDS_FSB</li>
<li><a href="Javascript:showEqn('nRESout')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nRESout</a></li>
<li>nUDS_FSB</li>
<li>nWE_FSB</li>
</ol></span><form><span class="pgRef"><table width="90%" align="center"><tr>
<td align="left"><input type="button" onclick="javascript:parent.leftnav.showTop()" onmouseover="window.status='goto top of page'; return true;" onmouseout="window.status=''" value="back to top"></td>

View File

@ -27,9 +27,9 @@
<th width="10%">Pin Use</th>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('ramRASEN_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RASEN</a></td>
<td align="center" width="10%">8</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB5_1_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_1</a> <a href="Javascript:showPT('FB5_1_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_2</a> <a href="Javascript:showPT('FB5_1_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_3</a> <a href="Javascript:showPT('FB5_1_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_4</a> <a href="Javascript:showPT('FB5_2_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_2</a> <a href="Javascript:showPT('FB5_2_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_3</a> <a href="Javascript:showPT('FB5_2_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_4</a> <a href="Javascript:showPT('FB5_2_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_5</a>
<td align="center" width="10%"><a href="Javascript:showEqn('ramRS_FSM_FFd7_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RS_FSM_FFd7</a></td>
<td align="center" width="10%">13</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB5_18_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_3</a> <a href="Javascript:showPT('FB5_18_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_4</a> <a href="Javascript:showPT('FB5_18_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_5</a> <a href="Javascript:showPT('FB5_1_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_1</a> <a href="Javascript:showPT('FB5_1_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_2</a> <a href="Javascript:showPT('FB5_1_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_3</a> <a href="Javascript:showPT('FB5_1_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_4</a> <a href="Javascript:showPT('FB5_1_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_5</a> <a href="Javascript:showPT('FB5_2_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_1</a> <a href="Javascript:showPT('FB5_2_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_2</a> <a href="Javascript:showPT('FB5_2_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_3</a> <a href="Javascript:showPT('FB5_2_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_4</a> <a href="Javascript:showPT('FB5_2_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_5</a>
</td>
<td align="center" width="10%">MC1</td>
<td align="center" width="10%">STD</td>
@ -40,7 +40,7 @@
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('nROMCS')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nROMCS</a></td>
<td align="center" width="10%">2</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB5_2_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_1</a> <a href="Javascript:showPT('FB5_3_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_1</a>
<td align="center" width="30%"> <a href="Javascript:showPT('FB5_3_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_1</a> <a href="Javascript:showPT('FB5_3_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_2</a>
</td>
<td align="center" width="10%">MC2</td>
<td align="center" width="10%">STD</td>
@ -59,20 +59,19 @@
<td align="center" width="10%">(b)</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('BACTr1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">BACTr&lt;1&gt;</a></td>
<td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB5_4_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">4_1</a>
</td>
<td align="center" width="10%">(unused)</td>
<td align="center" width="10%">0</td>
<td align="center" width="30%"> </td>
<td align="center" width="10%">MC4</td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">(b)</td>
<td align="center" width="10%">(b)</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('nCAS')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nCAS</a></td>
<td align="center" width="10%">12</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB5_4_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">4_2</a> <a href="Javascript:showPT('FB5_4_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">4_3</a> <a href="Javascript:showPT('FB5_4_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">4_4</a> <a href="Javascript:showPT('FB5_4_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">4_5</a> <a href="Javascript:showPT('FB5_5_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">5_1</a> <a href="Javascript:showPT('FB5_5_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">5_2</a> <a href="Javascript:showPT('FB5_5_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">5_3</a> <a href="Javascript:showPT('FB5_5_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">5_4</a> <a href="Javascript:showPT('FB5_5_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">5_5</a> <a href="Javascript:showPT('FB5_6_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">6_3</a> <a href="Javascript:showPT('FB5_6_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">6_4</a> <a href="Javascript:showPT('FB5_6_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">6_5</a>
<td align="center" width="10%">14</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB5_4_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">4_1</a> <a href="Javascript:showPT('FB5_4_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">4_2</a> <a href="Javascript:showPT('FB5_4_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">4_3</a> <a href="Javascript:showPT('FB5_4_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">4_4</a> <a href="Javascript:showPT('FB5_4_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">4_5</a> <a href="Javascript:showPT('FB5_5_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">5_1</a> <a href="Javascript:showPT('FB5_5_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">5_2</a> <a href="Javascript:showPT('FB5_5_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">5_3</a> <a href="Javascript:showPT('FB5_5_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">5_4</a> <a href="Javascript:showPT('FB5_5_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">5_5</a> <a href="Javascript:showPT('FB5_6_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">6_2</a> <a href="Javascript:showPT('FB5_6_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">6_3</a> <a href="Javascript:showPT('FB5_6_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">6_4</a> <a href="Javascript:showPT('FB5_6_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">6_5</a>
</td>
<td align="center" width="10%">MC5</td>
<td align="center" width="10%">STD</td>
@ -83,7 +82,7 @@
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('nOE')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nOE</a></td>
<td align="center" width="10%">3</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB5_6_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">6_1</a> <a href="Javascript:showPT('FB5_6_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">6_2</a> <a href="Javascript:showPT('FB5_7_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_4</a>
<td align="center" width="30%"> <a href="Javascript:showPT('FB5_6_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">6_1</a> <a href="Javascript:showPT('FB5_7_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_4</a> <a href="Javascript:showPT('FB5_7_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_5</a>
</td>
<td align="center" width="10%">MC6</td>
<td align="center" width="10%">STD</td>
@ -92,9 +91,9 @@
<td align="center" width="10%">O</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('ramRASEL_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RASEL</a></td>
<td align="center" width="10%">3</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB5_7_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_1</a> <a href="Javascript:showPT('FB5_7_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_2</a> <a href="Javascript:showPT('FB5_7_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_3</a>
<td align="center" width="10%"><a href="Javascript:showEqn('ramRASEN_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RASEN</a></td>
<td align="center" width="10%">11</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB5_7_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_1</a> <a href="Javascript:showPT('FB5_7_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_2</a> <a href="Javascript:showPT('FB5_7_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_3</a> <a href="Javascript:showPT('FB5_8_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_1</a> <a href="Javascript:showPT('FB5_8_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_2</a> <a href="Javascript:showPT('FB5_8_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_3</a> <a href="Javascript:showPT('FB5_8_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_4</a> <a href="Javascript:showPT('FB5_8_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_5</a> <a href="Javascript:showPT('FB5_9_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_3</a> <a href="Javascript:showPT('FB5_9_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_4</a> <a href="Javascript:showPT('FB5_9_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_5</a>
</td>
<td align="center" width="10%">MC7</td>
<td align="center" width="10%">STD</td>
@ -103,12 +102,11 @@
<td align="center" width="10%">(b)</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('csnOverlay_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cs/nOverlay</a></td>
<td align="center" width="10%">3</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB5_8_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_1</a> <a href="Javascript:showPT('FB5_8_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_2</a> <a href="Javascript:showPT('FB5_8_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_3</a>
</td>
<td align="center" width="10%">(unused)</td>
<td align="center" width="10%">0</td>
<td align="center" width="30%"> </td>
<td align="center" width="10%">MC8</td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">39</td>
<td width="8%" align="center">I/O</td>
<td align="center" width="10%">(b)</td>
@ -125,9 +123,9 @@
<td align="center" width="10%">O</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('ramRS_FSM_FFd7_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RS_FSM_FFd7</a></td>
<td align="center" width="10%">8</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB5_10_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_1</a> <a href="Javascript:showPT('FB5_10_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_2</a> <a href="Javascript:showPT('FB5_10_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_3</a> <a href="Javascript:showPT('FB5_10_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_4</a> <a href="Javascript:showPT('FB5_10_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_5</a> <a href="Javascript:showPT('FB5_9_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_3</a> <a href="Javascript:showPT('FB5_9_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_4</a> <a href="Javascript:showPT('FB5_9_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_5</a>
<td align="center" width="10%"><a href="Javascript:showEqn('ramRASEL_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RASEL</a></td>
<td align="center" width="10%">4</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB5_10_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_1</a> <a href="Javascript:showPT('FB5_10_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_2</a> <a href="Javascript:showPT('FB5_10_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_3</a> <a href="Javascript:showPT('FB5_10_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_4</a>
</td>
<td align="center" width="10%">MC10</td>
<td align="center" width="10%">STD</td>
@ -149,7 +147,7 @@
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('RA5_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">RA&lt;5&gt;</a></td>
<td align="center" width="10%">2</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB5_11_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">11_3</a> <a href="Javascript:showPT('FB5_11_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">11_4</a>
<td align="center" width="30%"> <a href="Javascript:showPT('FB5_12_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_1</a> <a href="Javascript:showPT('FB5_12_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_2</a>
</td>
<td align="center" width="10%">MC12</td>
<td align="center" width="10%">STD</td>
@ -158,9 +156,9 @@
<td align="center" width="10%">O</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('ramRS_FSM_FFd8_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RS_FSM_FFd8</a></td>
<td align="center" width="10%">10</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB5_12_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_1</a> <a href="Javascript:showPT('FB5_12_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_2</a> <a href="Javascript:showPT('FB5_12_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_3</a> <a href="Javascript:showPT('FB5_12_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_4</a> <a href="Javascript:showPT('FB5_12_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_5</a> <a href="Javascript:showPT('FB5_13_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_1</a> <a href="Javascript:showPT('FB5_13_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_2</a> <a href="Javascript:showPT('FB5_13_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_3</a> <a href="Javascript:showPT('FB5_13_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_4</a> <a href="Javascript:showPT('FB5_13_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_5</a>
<td align="center" width="10%"><a href="Javascript:showEqn('ramRASrr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RASrr</a></td>
<td align="center" width="10%">12</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB5_12_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_3</a> <a href="Javascript:showPT('FB5_12_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_4</a> <a href="Javascript:showPT('FB5_12_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_5</a> <a href="Javascript:showPT('FB5_13_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_1</a> <a href="Javascript:showPT('FB5_13_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_2</a> <a href="Javascript:showPT('FB5_13_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_3</a> <a href="Javascript:showPT('FB5_13_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_4</a> <a href="Javascript:showPT('FB5_13_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_5</a> <a href="Javascript:showPT('FB5_14_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_2</a> <a href="Javascript:showPT('FB5_14_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_3</a> <a href="Javascript:showPT('FB5_14_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_4</a> <a href="Javascript:showPT('FB5_14_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_5</a>
</td>
<td align="center" width="10%">MC13</td>
<td align="center" width="10%">STD</td>
@ -171,7 +169,7 @@
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('RA2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">RA&lt;2&gt;</a></td>
<td align="center" width="10%">2</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB5_14_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_1</a> <a href="Javascript:showPT('FB5_14_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_2</a>
<td align="center" width="30%"> <a href="Javascript:showPT('FB5_14_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_1</a> <a href="Javascript:showPT('FB5_15_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_3</a>
</td>
<td align="center" width="10%">MC14</td>
<td align="center" width="10%">STD</td>
@ -182,7 +180,7 @@
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('RA6_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">RA&lt;6&gt;</a></td>
<td align="center" width="10%">2</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB5_14_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_3</a> <a href="Javascript:showPT('FB5_14_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_4</a>
<td align="center" width="30%"> <a href="Javascript:showPT('FB5_15_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_1</a> <a href="Javascript:showPT('FB5_15_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_2</a>
</td>
<td align="center" width="10%">MC15</td>
<td align="center" width="10%">STD</td>
@ -191,12 +189,11 @@
<td align="center" width="10%">O</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('RAMReady')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">RAMReady</a></td>
<td align="center" width="10%">10</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB5_15_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_1</a> <a href="Javascript:showPT('FB5_15_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_2</a> <a href="Javascript:showPT('FB5_15_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_3</a> <a href="Javascript:showPT('FB5_15_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_4</a> <a href="Javascript:showPT('FB5_15_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_5</a> <a href="Javascript:showPT('FB5_16_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_1</a> <a href="Javascript:showPT('FB5_16_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_2</a> <a href="Javascript:showPT('FB5_16_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_3</a> <a href="Javascript:showPT('FB5_16_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_4</a> <a href="Javascript:showPT('FB5_16_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_5</a>
</td>
<td align="center" width="10%">(unused)</td>
<td align="center" width="10%">0</td>
<td align="center" width="30%"> </td>
<td align="center" width="10%">MC16</td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">(b)</td>
<td align="center" width="10%">(b)</td>
@ -212,9 +209,9 @@
<td align="center" width="10%">(b)</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('ramRASrr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RASrr</a></td>
<td align="center" width="10%">11</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB5_17_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_1</a> <a href="Javascript:showPT('FB5_17_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_2</a> <a href="Javascript:showPT('FB5_17_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_3</a> <a href="Javascript:showPT('FB5_17_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_4</a> <a href="Javascript:showPT('FB5_17_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_5</a> <a href="Javascript:showPT('FB5_18_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_1</a> <a href="Javascript:showPT('FB5_18_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_2</a> <a href="Javascript:showPT('FB5_18_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_3</a> <a href="Javascript:showPT('FB5_18_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_4</a> <a href="Javascript:showPT('FB5_18_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_5</a> <a href="Javascript:showPT('FB5_1_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_5</a>
<td align="center" width="10%"><a href="Javascript:showEqn('RAMReady')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">RAMReady</a></td>
<td align="center" width="10%">12</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB5_16_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_1</a> <a href="Javascript:showPT('FB5_16_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_2</a> <a href="Javascript:showPT('FB5_16_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_3</a> <a href="Javascript:showPT('FB5_16_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_4</a> <a href="Javascript:showPT('FB5_16_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_5</a> <a href="Javascript:showPT('FB5_17_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_1</a> <a href="Javascript:showPT('FB5_17_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_2</a> <a href="Javascript:showPT('FB5_17_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_3</a> <a href="Javascript:showPT('FB5_17_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_4</a> <a href="Javascript:showPT('FB5_17_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_5</a> <a href="Javascript:showPT('FB5_18_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_1</a> <a href="Javascript:showPT('FB5_18_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_2</a>
</td>
<td align="center" width="10%">MC18</td>
<td align="center" width="10%">STD</td>
@ -238,15 +235,18 @@
<li>A_FSB&lt;4&gt;</li>
<li>A_FSB&lt;5&gt;</li>
<li>A_FSB&lt;7&gt;</li>
<li><a href="Javascript:showEqn('BACTr1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">BACTr&lt;1&gt;</a></li>
<li>nRES.PIN</li>
<li><a href="Javascript:showEqn('RAMReady')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">RAMReady</a></li>
<li><a href="Javascript:showEqn('RefReq')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">RefReq</a></li>
<li><a href="Javascript:showEqn('RefUrg')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">RefUrg</a></li>
<li><a href="Javascript:showEqn('csnOverlay_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cs/nOverlay</a></li>
<li><a href="Javascript:showEqn('fsbASrf_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">fsb/ASrf</a></li>
<li>nAS_FSB</li>
<li>nWE_FSB</li>
<li><a href="Javascript:showEqn('ramBACTr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/BACTr</a></li>
<li><a href="Javascript:showEqn('ramDTACKr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/DTACKr</a></li>
<li><a href="Javascript:showEqn('ramRASEL_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RASEL</a></li>
<li><a href="Javascript:showEqn('ramRASEN_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RASEN</a></li>
<li><a href="Javascript:showEqn('ramRASrr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RASrr</a></li>
<li><a href="Javascript:showEqn('ramRS_FSM_FFd1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RS_FSM_FFd1</a></li>
<li><a href="Javascript:showEqn('ramRS_FSM_FFd2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RS_FSM_FFd2</a></li>
<li><a href="Javascript:showEqn('ramRS_FSM_FFd3_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RS_FSM_FFd3</a></li>
@ -254,9 +254,7 @@
<li><a href="Javascript:showEqn('ramRS_FSM_FFd5_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RS_FSM_FFd5</a></li>
<li><a href="Javascript:showEqn('ramRS_FSM_FFd6_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RS_FSM_FFd6</a></li>
<li><a href="Javascript:showEqn('ramRS_FSM_FFd7_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RS_FSM_FFd7</a></li>
<li><a href="Javascript:showEqn('ramRS_FSM_FFd8_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RS_FSM_FFd8</a></li>
<li><a href="Javascript:showEqn('ramRefReq_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RefReq</a></li>
<li><a href="Javascript:showEqn('ramRefUrg_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RefUrg</a></li>
<li><a href="Javascript:showEqn('ramRefDone_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RefDone</a></li>
</ol></span><form><span class="pgRef"><table width="90%" align="center"><tr>
<td align="left"><input type="button" onclick="javascript:parent.leftnav.showTop()" onmouseover="window.status='goto top of page'; return true;" onmouseout="window.status=''" value="back to top"></td>
<td align="center"><table align="center" width="90%" border="0" cellpadding="0" cellspacing="0"><tr><td width="100%" align="center">

View File

@ -27,9 +27,9 @@
<th width="10%">Pin Use</th>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('iobmIOS_FSM_FFd1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOS_FSM_FFd1</a></td>
<td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB6_1_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_1</a>
<td align="center" width="10%"><a href="Javascript:showEqn('iobmIOS_FSM_FFd6_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOS_FSM_FFd6</a></td>
<td align="center" width="10%">2</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB6_1_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_1</a> <a href="Javascript:showPT('FB6_1_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_2</a>
</td>
<td align="center" width="10%">MC1</td>
<td align="center" width="10%">STD</td>
@ -49,9 +49,9 @@
<td align="center" width="10%">O</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('iobmC8Mr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/C8Mr</a></td>
<td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB6_3_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_1</a>
<td align="center" width="10%"><a href="Javascript:showEqn('iobmIOS_FSM_FFd7_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOS_FSM_FFd7</a></td>
<td align="center" width="10%">3</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB6_3_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_1</a> <a href="Javascript:showPT('FB6_3_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_2</a> <a href="Javascript:showPT('FB6_3_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_3</a>
</td>
<td align="center" width="10%">MC3</td>
<td align="center" width="10%">STD</td>
@ -60,9 +60,9 @@
<td align="center" width="10%">(b)</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('iobmIOS_FSM_FFd6_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOS_FSM_FFd6</a></td>
<td align="center" width="10%">2</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB6_4_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">4_1</a> <a href="Javascript:showPT('FB6_4_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">4_2</a>
<td align="center" width="10%"><a href="Javascript:showEqn('iobmIOS_FSM_FFd3_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOS_FSM_FFd3</a></td>
<td align="center" width="10%">3</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB6_4_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">4_1</a> <a href="Javascript:showPT('FB6_4_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">4_2</a> <a href="Javascript:showPT('FB6_4_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">4_3</a>
</td>
<td align="center" width="10%">MC4</td>
<td align="center" width="10%">STD</td>
@ -71,9 +71,9 @@
<td align="center" width="10%">(b)</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('iobmIOS_FSM_FFd2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOS_FSM_FFd2</a></td>
<td align="center" width="10%">2</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB6_5_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">5_1</a> <a href="Javascript:showPT('FB6_5_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">5_2</a>
<td align="center" width="10%"><a href="Javascript:showEqn('iobmES0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/ES&lt;0&gt;</a></td>
<td align="center" width="10%">3</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB6_5_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">5_1</a> <a href="Javascript:showPT('FB6_5_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">5_2</a> <a href="Javascript:showPT('FB6_5_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">5_3</a>
</td>
<td align="center" width="10%">MC5</td>
<td align="center" width="10%">STD</td>
@ -82,9 +82,9 @@
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'nBERR_IOB'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('iobmIOS_FSM_FFd7_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOS_FSM_FFd7</a></td>
<td align="center" width="10%">3</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB6_6_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">6_1</a> <a href="Javascript:showPT('FB6_6_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">6_2</a> <a href="Javascript:showPT('FB6_6_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">6_3</a>
<td align="center" width="10%"><a href="Javascript:showEqn('iobmES3_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/ES&lt;3&gt;</a></td>
<td align="center" width="10%">4</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB6_6_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">6_1</a> <a href="Javascript:showPT('FB6_6_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">6_2</a> <a href="Javascript:showPT('FB6_6_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">6_3</a> <a href="Javascript:showPT('FB6_6_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">6_4</a>
</td>
<td align="center" width="10%">MC6</td>
<td align="center" width="10%">STD</td>
@ -93,9 +93,9 @@
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'nVPA_IOB'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('iobmIOS_FSM_FFd3_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOS_FSM_FFd3</a></td>
<td align="center" width="10%">3</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB6_7_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_1</a> <a href="Javascript:showPT('FB6_7_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_2</a> <a href="Javascript:showPT('FB6_7_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_3</a>
<td align="center" width="10%"><a href="Javascript:showEqn('iobmES1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/ES&lt;1&gt;</a></td>
<td align="center" width="10%">4</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB6_7_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_1</a> <a href="Javascript:showPT('FB6_7_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_2</a> <a href="Javascript:showPT('FB6_7_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_3</a> <a href="Javascript:showPT('FB6_7_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_4</a>
</td>
<td align="center" width="10%">MC7</td>
<td align="center" width="10%">STD</td>
@ -229,7 +229,7 @@
<br><span id="fbsiguse" class="pgRef"><b>Signals Used By Logic in Function Block</b><br><ol>
<li><a href="Javascript:showEqn('ALE0M')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ALE0M</a></li>
<li><a href="Javascript:showEqn('ALE0S')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ALE0S</a></li>
<li>C8M</li>
<li>E</li>
<li><a href="Javascript:showEqn('IOACT')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">IOACT</a></li>
<li><a href="Javascript:showEqn('IOBERR')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">IOBERR</a></li>
<li><a href="Javascript:showEqn('IODONE')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">IODONE</a></li>
@ -242,6 +242,7 @@
<li><a href="Javascript:showEqn('iobmES1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/ES&lt;1&gt;</a></li>
<li><a href="Javascript:showEqn('iobmES2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/ES&lt;2&gt;</a></li>
<li><a href="Javascript:showEqn('iobmES3_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/ES&lt;3&gt;</a></li>
<li><a href="Javascript:showEqn('iobmEr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/Er</a></li>
<li><a href="Javascript:showEqn('iobmIORDREQr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IORDREQr</a></li>
<li><a href="Javascript:showEqn('iobmIOS0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOS0</a></li>
<li><a href="Javascript:showEqn('iobmIOS_FSM_FFd1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOS_FSM_FFd1</a></li>

View File

@ -27,9 +27,9 @@
<th width="10%">Pin Use</th>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('ramnRefClkR_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/nRefClkR</a></td>
<td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB7_1_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_1</a>
<td align="center" width="10%"><a href="Javascript:showEqn('cntLTimer9_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;9&gt;</a></td>
<td align="center" width="10%">3</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB7_1_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_1</a> <a href="Javascript:showPT('FB7_1_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_2</a> <a href="Javascript:showPT('FB7_2_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_3</a>
</td>
<td align="center" width="10%">MC1</td>
<td align="center" width="10%">STD</td>
@ -49,9 +49,9 @@
<td align="center" width="10%">O</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('ramRS_FSM_FFd3_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RS_FSM_FFd3</a></td>
<td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB7_3_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_1</a>
<td align="center" width="10%"><a href="Javascript:showEqn('cntLTimer8_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;8&gt;</a></td>
<td align="center" width="10%">3</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB7_3_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_1</a> <a href="Javascript:showPT('FB7_3_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_2</a> <a href="Javascript:showPT('FB7_3_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_3</a>
</td>
<td align="center" width="10%">MC3</td>
<td align="center" width="10%">STD</td>
@ -60,9 +60,9 @@
<td align="center" width="10%">(b)</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('ramRS_FSM_FFd2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RS_FSM_FFd2</a></td>
<td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB7_4_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">4_1</a>
<td align="center" width="10%"><a href="Javascript:showEqn('cntLTimer7_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;7&gt;</a></td>
<td align="center" width="10%">3</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB7_4_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">4_1</a> <a href="Javascript:showPT('FB7_4_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">4_2</a> <a href="Javascript:showPT('FB7_4_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">4_3</a>
</td>
<td align="center" width="10%">MC4</td>
<td align="center" width="10%">STD</td>
@ -93,9 +93,9 @@
<td align="center" width="10%">O</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('ramRS_FSM_FFd1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RS_FSM_FFd1</a></td>
<td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB7_7_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_1</a>
<td align="center" width="10%"><a href="Javascript:showEqn('cntLTimer6_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;6&gt;</a></td>
<td align="center" width="10%">3</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB7_7_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_1</a> <a href="Javascript:showPT('FB7_7_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_2</a> <a href="Javascript:showPT('FB7_7_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_3</a>
</td>
<td align="center" width="10%">MC7</td>
<td align="center" width="10%">STD</td>
@ -126,9 +126,9 @@
<td align="center" width="10%">O</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('cntLTimer0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;0&gt;</a></td>
<td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB7_10_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_1</a> <a href="Javascript:showPT('VCC')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''"></a>
<td align="center" width="10%"><a href="Javascript:showEqn('cntLTimer5_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;5&gt;</a></td>
<td align="center" width="10%">3</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB7_10_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_1</a> <a href="Javascript:showPT('FB7_10_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_2</a> <a href="Javascript:showPT('FB7_10_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_3</a>
</td>
<td align="center" width="10%">MC10</td>
<td align="center" width="10%">STD</td>
@ -159,9 +159,9 @@
<td align="center" width="10%">O</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('cntIS_FSM_FFd1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/IS_FSM_FFd1</a></td>
<td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB7_13_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_1</a>
<td align="center" width="10%"><a href="Javascript:showEqn('cntLTimer4_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;4&gt;</a></td>
<td align="center" width="10%">3</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB7_13_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_1</a> <a href="Javascript:showPT('FB7_13_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_2</a> <a href="Javascript:showPT('FB7_13_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_3</a>
</td>
<td align="center" width="10%">MC13</td>
<td align="center" width="10%">STD</td>
@ -170,9 +170,9 @@
<td align="center" width="10%">(b)</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('cntEr1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/Er&lt;1&gt;</a></td>
<td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB7_14_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_1</a>
<td align="center" width="10%"><a href="Javascript:showEqn('cntLTimer3_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;3&gt;</a></td>
<td align="center" width="10%">3</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB7_14_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_1</a> <a href="Javascript:showPT('FB7_14_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_2</a> <a href="Javascript:showPT('FB7_14_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_3</a>
</td>
<td align="center" width="10%">MC14</td>
<td align="center" width="10%">STD</td>
@ -181,9 +181,9 @@
<td align="center" width="10%">(b)</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('cntTimer0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/Timer&lt;0&gt;</a></td>
<td align="center" width="10%">2</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB7_15_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_1</a> <a href="Javascript:showPT('FB7_15_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_2</a>
<td align="center" width="10%"><a href="Javascript:showEqn('cntLTimer2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;2&gt;</a></td>
<td align="center" width="10%">3</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB7_15_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_1</a> <a href="Javascript:showPT('FB7_15_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_2</a> <a href="Javascript:showPT('FB7_15_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_3</a>
</td>
<td align="center" width="10%">MC15</td>
<td align="center" width="10%">STD</td>
@ -192,9 +192,9 @@
<td align="center" width="10%">(b)</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('cntIS_FSM_FFd2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/IS_FSM_FFd2</a></td>
<td align="center" width="10%">2</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB7_16_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_1</a> <a href="Javascript:showPT('FB7_16_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_2</a>
<td align="center" width="10%"><a href="Javascript:showEqn('cntLTimer11_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;11&gt;</a></td>
<td align="center" width="10%">3</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB7_16_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_1</a> <a href="Javascript:showPT('FB7_16_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_2</a> <a href="Javascript:showPT('FB7_16_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_3</a>
</td>
<td align="center" width="10%">MC16</td>
<td align="center" width="10%">STD</td>
@ -203,7 +203,7 @@
<td align="center" width="10%">(b)</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('ramRefReq_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RefReq</a></td>
<td align="center" width="10%"><a href="Javascript:showEqn('cntLTimer10_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;10&gt;</a></td>
<td align="center" width="10%">3</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB7_17_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_1</a> <a href="Javascript:showPT('FB7_17_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_2</a> <a href="Javascript:showPT('FB7_17_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_3</a>
</td>
@ -214,9 +214,9 @@
<td align="center" width="10%">(b)</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('ramRefUrg_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RefUrg</a></td>
<td align="center" width="10%">4</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB7_18_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_1</a> <a href="Javascript:showPT('FB7_18_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_2</a> <a href="Javascript:showPT('FB7_18_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_3</a> <a href="Javascript:showPT('FB7_18_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_4</a>
<td align="center" width="10%"><a href="Javascript:showEqn('cntLTimer_1_not0001cntLTimer_1_not0001_D2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2</a></td>
<td align="center" width="10%">10</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB7_17_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_4</a> <a href="Javascript:showPT('FB7_17_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_5</a> <a href="Javascript:showPT('FB7_18_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_1</a> <a href="Javascript:showPT('FB7_18_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_2</a> <a href="Javascript:showPT('FB7_18_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_3</a> <a href="Javascript:showPT('FB7_18_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_4</a> <a href="Javascript:showPT('FB7_18_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_5</a> <a href="Javascript:showPT('FB7_1_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_3</a> <a href="Javascript:showPT('FB7_1_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_4</a> <a href="Javascript:showPT('FB7_1_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_5</a>
</td>
<td align="center" width="10%">MC18</td>
<td align="center" width="10%">STD</td>
@ -228,34 +228,46 @@
<div id="tipBox"></div>
<br><span id="fbsiguse" class="pgRef"><b>Signals Used By Logic in Function Block</b><br><ol>
<li>A_FSB&lt;10&gt;</li>
<li>A_FSB&lt;11&gt;</li>
<li>A_FSB&lt;12&gt;</li>
<li>A_FSB&lt;13&gt;</li>
<li>A_FSB&lt;14&gt;</li>
<li>A_FSB&lt;15&gt;</li>
<li>A_FSB&lt;16&gt;</li>
<li>A_FSB&lt;17&gt;</li>
<li>A_FSB&lt;18&gt;</li>
<li>A_FSB&lt;19&gt;</li>
<li>A_FSB&lt;1&gt;</li>
<li>A_FSB&lt;20&gt;</li>
<li>A_FSB&lt;21&gt;</li>
<li>A_FSB&lt;22&gt;</li>
<li>A_FSB&lt;23&gt;</li>
<li>A_FSB&lt;2&gt;</li>
<li>A_FSB&lt;6&gt;</li>
<li>A_FSB&lt;7&gt;</li>
<li>A_FSB&lt;8&gt;</li>
<li>A_FSB&lt;9&gt;</li>
<li><a href="Javascript:showEqn('RefClk')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">RefClk</a></li>
<li><a href="Javascript:showEqn('cntEr0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/Er&lt;0&gt;</a></li>
<li><a href="Javascript:showEqn('cntEr1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/Er&lt;1&gt;</a></li>
<li><a href="Javascript:showEqn('cntIS_FSM_FFd1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/IS_FSM_FFd1</a></li>
<li><a href="Javascript:showEqn('cntIS_FSM_FFd2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/IS_FSM_FFd2</a></li>
<li><a href="Javascript:showEqn('cntLTimerTC_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimerTC</a></li>
<li><a href="Javascript:showEqn('cntTimer0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/Timer&lt;0&gt;</a></li>
<li><a href="Javascript:showEqn('cntLTimer0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;0&gt;</a></li>
<li><a href="Javascript:showEqn('cntLTimer10_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;10&gt;</a></li>
<li><a href="Javascript:showEqn('cntLTimer11_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;11&gt;</a></li>
<li><a href="Javascript:showEqn('cntLTimer1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;1&gt;</a></li>
<li><a href="Javascript:showEqn('cntLTimer2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;2&gt;</a></li>
<li><a href="Javascript:showEqn('cntLTimer3_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;3&gt;</a></li>
<li><a href="Javascript:showEqn('cntLTimer4_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;4&gt;</a></li>
<li><a href="Javascript:showEqn('cntLTimer5_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;5&gt;</a></li>
<li><a href="Javascript:showEqn('cntLTimer6_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;6&gt;</a></li>
<li><a href="Javascript:showEqn('cntLTimer7_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;7&gt;</a></li>
<li><a href="Javascript:showEqn('cntLTimer8_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;8&gt;</a></li>
<li><a href="Javascript:showEqn('cntLTimer9_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;9&gt;</a></li>
<li><a href="Javascript:showEqn('cntTimerTC_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/TimerTC</a></li>
<li><a href="Javascript:showEqn('cntnIPL2r_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/nIPL2r</a></li>
<li><a href="Javascript:showEqn('fsbASrf_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">fsb/ASrf</a></li>
<li>nAS_FSB</li>
<li>nWE_FSB</li>
<li><a href="Javascript:showEqn('ramRASEL_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RASEL</a></li>
<li><a href="Javascript:showEqn('ramRS_FSM_FFd1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RS_FSM_FFd1</a></li>
<li><a href="Javascript:showEqn('ramRS_FSM_FFd2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RS_FSM_FFd2</a></li>
<li><a href="Javascript:showEqn('ramRS_FSM_FFd3_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RS_FSM_FFd3</a></li>
<li><a href="Javascript:showEqn('ramRS_FSM_FFd7_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RS_FSM_FFd7</a></li>
<li><a href="Javascript:showEqn('ramRefReq_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RefReq</a></li>
<li><a href="Javascript:showEqn('ramRefUrg_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RefUrg</a></li>
<li><a href="Javascript:showEqn('ramnRefClkR_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/nRefClkR</a></li>
</ol></span><form><span class="pgRef"><table width="90%" align="center"><tr>
<td align="left"><input type="button" onclick="javascript:parent.leftnav.showTop()" onmouseover="window.status='goto top of page'; return true;" onmouseout="window.status=''" value="back to top"></td>
<td align="center"><table align="center" width="90%" border="0" cellpadding="0" cellspacing="0"><tr><td width="100%" align="center">

View File

@ -27,11 +27,12 @@
<th width="10%">Pin Use</th>
</tr>
<tr>
<td align="center" width="10%">(unused)</td>
<td align="center" width="10%">0</td>
<td align="center" width="30%"> </td>
<td align="center" width="10%"><a href="Javascript:showEqn('IOL0')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">IOL0</a></td>
<td align="center" width="10%">16</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB8_17_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_5</a> <a href="Javascript:showPT('FB8_18_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_1</a> <a href="Javascript:showPT('FB8_18_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_2</a> <a href="Javascript:showPT('FB8_18_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_3</a> <a href="Javascript:showPT('FB8_18_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_4</a> <a href="Javascript:showPT('FB8_18_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_5</a> <a href="Javascript:showPT('FB8_1_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_1</a> <a href="Javascript:showPT('FB8_1_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_2</a> <a href="Javascript:showPT('FB8_1_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_3</a> <a href="Javascript:showPT('FB8_1_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_4</a> <a href="Javascript:showPT('FB8_1_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_5</a> <a href="Javascript:showPT('FB8_2_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_1</a> <a href="Javascript:showPT('FB8_2_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_2</a> <a href="Javascript:showPT('FB8_2_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_3</a> <a href="Javascript:showPT('FB8_2_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_4</a> <a href="Javascript:showPT('FB8_2_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_5</a>
</td>
<td align="center" width="10%">MC1</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">(b)</td>
<td align="center" width="10%">(b)</td>
@ -39,7 +40,7 @@
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('RA11_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">RA&lt;11&gt;</a></td>
<td align="center" width="10%">2</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB8_2_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_1</a> <a href="Javascript:showPT('FB8_2_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_2</a>
<td align="center" width="30%"> <a href="Javascript:showPT('FB8_3_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_4</a> <a href="Javascript:showPT('FB8_3_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_5</a>
</td>
<td align="center" width="10%">MC2</td>
<td align="center" width="10%">STD</td>
@ -48,9 +49,9 @@
<td align="center" width="10%">O</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('nRESout')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nRESout</a></td>
<td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB8_3_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_1</a>
<td align="center" width="10%"><a href="Javascript:showEqn('iobsTS_FSM_FFd2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/TS_FSM_FFd2</a></td>
<td align="center" width="10%">13</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB8_3_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_1</a> <a href="Javascript:showPT('FB8_3_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_2</a> <a href="Javascript:showPT('FB8_3_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_3</a> <a href="Javascript:showPT('FB8_4_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">4_1</a> <a href="Javascript:showPT('FB8_4_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">4_2</a> <a href="Javascript:showPT('FB8_4_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">4_3</a> <a href="Javascript:showPT('FB8_4_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">4_4</a> <a href="Javascript:showPT('FB8_4_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">4_5</a> <a href="Javascript:showPT('FB8_5_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">5_1</a> <a href="Javascript:showPT('FB8_5_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">5_2</a> <a href="Javascript:showPT('FB8_5_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">5_3</a> <a href="Javascript:showPT('FB8_5_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">5_4</a> <a href="Javascript:showPT('FB8_5_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">5_5</a>
</td>
<td align="center" width="10%">MC3</td>
<td align="center" width="10%">STD</td>
@ -59,12 +60,11 @@
<td align="center" width="10%">(b)</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('fsbASrf_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">fsb/ASrf</a></td>
<td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB8_4_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">4_1</a>
</td>
<td align="center" width="10%">(unused)</td>
<td align="center" width="10%">0</td>
<td align="center" width="30%"> </td>
<td align="center" width="10%">MC4</td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">(b)</td>
<td align="center" width="10%">(b)</td>
@ -72,7 +72,7 @@
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('nRAS')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nRAS</a></td>
<td align="center" width="10%">3</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB8_5_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">5_1</a> <a href="Javascript:showPT('FB8_5_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">5_2</a> <a href="Javascript:showPT('FB8_5_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">5_3</a>
<td align="center" width="30%"> <a href="Javascript:showPT('FB8_6_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">6_2</a> <a href="Javascript:showPT('FB8_6_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">6_3</a> <a href="Javascript:showPT('FB8_6_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">6_4</a>
</td>
<td align="center" width="10%">MC5</td>
<td align="center" width="10%">STD</td>
@ -92,12 +92,11 @@
<td align="center" width="10%">O</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('cntEr0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/Er&lt;0&gt;</a></td>
<td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB8_7_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_1</a>
</td>
<td align="center" width="10%">(unused)</td>
<td align="center" width="10%">0</td>
<td align="center" width="30%"> </td>
<td align="center" width="10%">MC7</td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">(b)</td>
<td align="center" width="10%">(b)</td>
@ -105,7 +104,7 @@
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('nRAMUWE')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nRAMUWE</a></td>
<td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB8_8_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_1</a>
<td align="center" width="30%"> <a href="Javascript:showPT('FB8_7_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_1</a>
</td>
<td align="center" width="10%">MC8</td>
<td align="center" width="10%">STD</td>
@ -114,9 +113,9 @@
<td align="center" width="10%">O</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('ALE0S')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ALE0S</a></td>
<td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB8_9_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_1</a>
<td align="center" width="10%"><a href="Javascript:showEqn('IOWRREQ')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">IOWRREQ</a></td>
<td align="center" width="10%">15</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB8_10_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_1</a> <a href="Javascript:showPT('FB8_10_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_2</a> <a href="Javascript:showPT('FB8_10_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_3</a> <a href="Javascript:showPT('FB8_10_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_4</a> <a href="Javascript:showPT('FB8_10_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_5</a> <a href="Javascript:showPT('FB8_8_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_1</a> <a href="Javascript:showPT('FB8_8_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_2</a> <a href="Javascript:showPT('FB8_8_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_3</a> <a href="Javascript:showPT('FB8_8_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_4</a> <a href="Javascript:showPT('FB8_8_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_5</a> <a href="Javascript:showPT('FB8_9_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_1</a> <a href="Javascript:showPT('FB8_9_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_2</a> <a href="Javascript:showPT('FB8_9_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_3</a> <a href="Javascript:showPT('FB8_9_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_4</a> <a href="Javascript:showPT('FB8_9_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_5</a>
</td>
<td align="center" width="10%">MC9</td>
<td align="center" width="10%">STD</td>
@ -125,23 +124,21 @@
<td align="center" width="10%">(b)</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('ramRS_FSM_FFd6_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RS_FSM_FFd6</a></td>
<td align="center" width="10%">2</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB8_10_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_1</a> <a href="Javascript:showPT('FB8_10_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_2</a>
</td>
<td align="center" width="10%">(unused)</td>
<td align="center" width="10%">0</td>
<td align="center" width="30%"> </td>
<td align="center" width="10%">MC10</td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">(b)</td>
<td align="center" width="10%">(b)</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('iobsTS_FSM_FFd1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/TS_FSM_FFd1</a></td>
<td align="center" width="10%">2</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB8_11_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">11_1</a> <a href="Javascript:showPT('FB8_11_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">11_2</a>
</td>
<td align="center" width="10%">(unused)</td>
<td align="center" width="10%">0</td>
<td align="center" width="30%"> </td>
<td align="center" width="10%">MC11</td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">68</td>
<td width="8%" align="center">I/O</td>
<td align="center" width="10%">(b)</td>
@ -149,7 +146,7 @@
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('nBERR_FSB')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nBERR_FSB</a></td>
<td align="center" width="10%">3</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB8_12_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_1</a> <a href="Javascript:showPT('FB8_12_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_2</a> <a href="Javascript:showPT('FB8_12_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_3</a>
<td align="center" width="30%"> <a href="Javascript:showPT('FB8_11_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">11_1</a> <a href="Javascript:showPT('FB8_12_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_1</a> <a href="Javascript:showPT('FB8_12_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_2</a>
</td>
<td align="center" width="10%">MC12</td>
<td align="center" width="10%">STD</td>
@ -158,20 +155,19 @@
<td align="center" width="10%">O</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('iobsIOU1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/IOU1</a></td>
<td align="center" width="10%">2</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB8_13_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_1</a> <a href="Javascript:showPT('FB8_13_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_2</a>
</td>
<td align="center" width="10%">(unused)</td>
<td align="center" width="10%">0</td>
<td align="center" width="30%"> </td>
<td align="center" width="10%">MC13</td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">(b)</td>
<td align="center" width="10%">(b)</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('iobsIOL1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/IOL1</a></td>
<td align="center" width="10%">2</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB8_14_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_1</a> <a href="Javascript:showPT('FB8_14_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_2</a>
<td align="center" width="10%"><a href="Javascript:showEqn('IOU0')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">IOU0</a></td>
<td align="center" width="10%">16</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB8_12_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_3</a> <a href="Javascript:showPT('FB8_12_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_4</a> <a href="Javascript:showPT('FB8_12_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_5</a> <a href="Javascript:showPT('FB8_13_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_1</a> <a href="Javascript:showPT('FB8_13_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_2</a> <a href="Javascript:showPT('FB8_13_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_3</a> <a href="Javascript:showPT('FB8_13_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_4</a> <a href="Javascript:showPT('FB8_13_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_5</a> <a href="Javascript:showPT('FB8_14_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_1</a> <a href="Javascript:showPT('FB8_14_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_2</a> <a href="Javascript:showPT('FB8_14_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_3</a> <a href="Javascript:showPT('FB8_14_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_4</a> <a href="Javascript:showPT('FB8_14_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_5</a> <a href="Javascript:showPT('FB8_15_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_3</a> <a href="Javascript:showPT('FB8_15_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_4</a> <a href="Javascript:showPT('FB8_15_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_5</a>
</td>
<td align="center" width="10%">MC14</td>
<td align="center" width="10%">STD</td>
@ -191,9 +187,9 @@
<td align="center" width="10%">O</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('iobsLoad1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/Load1</a></td>
<td align="center" width="10%">4</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB8_16_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_1</a> <a href="Javascript:showPT('FB8_16_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_2</a> <a href="Javascript:showPT('FB8_16_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_3</a> <a href="Javascript:showPT('FB8_16_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_4</a>
<td align="center" width="10%"><a href="Javascript:showEqn('cntIS_FSM_FFd1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/IS_FSM_FFd1</a></td>
<td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB8_16_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_1</a>
</td>
<td align="center" width="10%">MC16</td>
<td align="center" width="10%">STD</td>
@ -202,9 +198,9 @@
<td align="center" width="10%">(b)</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('IOReady')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">IOReady</a></td>
<td align="center" width="10%">5</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB8_17_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_1</a> <a href="Javascript:showPT('FB8_17_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_2</a> <a href="Javascript:showPT('FB8_17_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_3</a> <a href="Javascript:showPT('FB8_17_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_4</a> <a href="Javascript:showPT('FB8_17_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_5</a>
<td align="center" width="10%"><a href="Javascript:showEqn('iobsIORW1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/IORW1</a></td>
<td align="center" width="10%">8</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB8_16_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_2</a> <a href="Javascript:showPT('FB8_16_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_3</a> <a href="Javascript:showPT('FB8_16_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_4</a> <a href="Javascript:showPT('FB8_16_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_5</a> <a href="Javascript:showPT('FB8_17_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_1</a> <a href="Javascript:showPT('FB8_17_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_2</a> <a href="Javascript:showPT('FB8_17_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_3</a> <a href="Javascript:showPT('FB8_17_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_4</a>
</td>
<td align="center" width="10%">MC17</td>
<td align="center" width="10%">STD</td>
@ -213,12 +209,11 @@
<td align="center" width="10%">(b)</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('IORDREQ')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">IORDREQ</a></td>
<td align="center" width="10%">9</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB8_18_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_1</a> <a href="Javascript:showPT('FB8_18_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_2</a> <a href="Javascript:showPT('FB8_18_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_3</a> <a href="Javascript:showPT('FB8_18_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_4</a> <a href="Javascript:showPT('FB8_18_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_5</a> <a href="Javascript:showPT('FB8_1_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_1</a> <a href="Javascript:showPT('FB8_1_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_2</a> <a href="Javascript:showPT('FB8_1_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_3</a> <a href="Javascript:showPT('FB8_1_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_4</a>
</td>
<td align="center" width="10%">(unused)</td>
<td align="center" width="10%">0</td>
<td align="center" width="30%"> </td>
<td align="center" width="10%">MC18</td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">(b)</td>
<td align="center" width="10%">(b)</td>
@ -226,6 +221,8 @@
</table></span></div>
<div id="tipBox"></div>
<br><span id="fbsiguse" class="pgRef"><b>Signals Used By Logic in Function Block</b><br><ol>
<li>A_FSB&lt;13&gt;</li>
<li>A_FSB&lt;14&gt;</li>
<li>A_FSB&lt;16&gt;</li>
<li>A_FSB&lt;17&gt;</li>
<li>A_FSB&lt;18&gt;</li>
@ -234,19 +231,23 @@
<li>A_FSB&lt;21&gt;</li>
<li>A_FSB&lt;22&gt;</li>
<li>A_FSB&lt;23&gt;</li>
<li>E</li>
<li><a href="Javascript:showEqn('IOBERR')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">IOBERR</a></li>
<li><a href="Javascript:showEqn('IORDREQ')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">IORDREQ</a></li>
<li><a href="Javascript:showEqn('IOReady')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">IOReady</a></li>
<li><a href="Javascript:showEqn('IOL0')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">IOL0</a></li>
<li><a href="Javascript:showEqn('IOU0')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">IOU0</a></li>
<li><a href="Javascript:showEqn('IOWRREQ')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">IOWRREQ</a></li>
<li><a href="Javascript:showEqn('cntEr0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/Er&lt;0&gt;</a></li>
<li><a href="Javascript:showEqn('cntEr1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/Er&lt;1&gt;</a></li>
<li><a href="Javascript:showEqn('cntIS_FSM_FFd1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/IS_FSM_FFd1</a></li>
<li><a href="Javascript:showEqn('cntIS_FSM_FFd2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/IS_FSM_FFd2</a></li>
<li><a href="Javascript:showEqn('cntLTimerTC_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimerTC</a></li>
<li><a href="Javascript:showEqn('cntTimerTC_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/TimerTC</a></li>
<li><a href="Javascript:showEqn('cntnIPL2r_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/nIPL2r</a></li>
<li><a href="Javascript:showEqn('csnOverlay_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cs/nOverlay</a></li>
<li><a href="Javascript:showEqn('fsbASrf_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">fsb/ASrf</a></li>
<li><a href="Javascript:showEqn('iobsIOACTr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/IOACTr</a></li>
<li><a href="Javascript:showEqn('iobsIODONEr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/IODONEr</a></li>
<li><a href="Javascript:showEqn('iobsIOL1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/IOL1</a></li>
<li><a href="Javascript:showEqn('iobsIORW1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/IORW1</a></li>
<li><a href="Javascript:showEqn('iobsLoad1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/Load1</a></li>
<li><a href="Javascript:showEqn('iobsIOU1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/IOU1</a></li>
<li><a href="Javascript:showEqn('iobsSent_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/Sent</a></li>
<li><a href="Javascript:showEqn('iobsTS_FSM_FFd1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/TS_FSM_FFd1</a></li>
<li><a href="Javascript:showEqn('iobsTS_FSM_FFd2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/TS_FSM_FFd2</a></li>
@ -261,7 +262,6 @@
<li><a href="Javascript:showEqn('ramRASEN_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RASEN</a></li>
<li><a href="Javascript:showEqn('ramRASrf_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RASrf</a></li>
<li><a href="Javascript:showEqn('ramRASrr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RASrr</a></li>
<li><a href="Javascript:showEqn('ramRS_FSM_FFd8_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RS_FSM_FFd8</a></li>
</ol></span><form><span class="pgRef"><table width="90%" align="center"><tr>
<td align="left"><input type="button" onclick="javascript:parent.leftnav.showTop()" onmouseover="window.status='goto top of page'; return true;" onmouseout="window.status=''" value="back to top"></td>
<td align="center"><table align="center" width="90%" border="0" cellpadding="0" cellspacing="0"><tr><td width="100%" align="center"><input type="button" onclick="javascript:showFB('FB7')" onmouseover="window.status='show previous Function Block'; return true;" onmouseout="window.status=''" value="prev"></td></tr></table></td>

File diff suppressed because it is too large Load Diff

File diff suppressed because it is too large Load Diff

File diff suppressed because it is too large Load Diff

View File

@ -30,7 +30,7 @@
<tr>
<td width="40%"> <b>Date</b>
</td>
<td width="60%">  7-15-2023, 10:47PM</td>
<td width="60%">  4-17-2023, 2:26AM</td>
</tr>
</table></span><br><span id="sumres" class="pgRef"><h5 align="center">RESOURCES SUMMARY</h5>
<table align="center" width="90%" border="1" cellspacing="0" cellpadding="0">
@ -42,11 +42,11 @@
<th width="20%">Function Block Inputs Used</th>
</tr>
<tr>
<td width="20%" align="center">117/144  (82%)</td>
<td width="20%" align="center">374/720  (52%)</td>
<td width="20%" align="center">95/144  (66%)</td>
<td width="20%" align="center">123/144  (86%)</td>
<td width="20%" align="center">479/720  (67%)</td>
<td width="20%" align="center">99/144  (69%)</td>
<td width="20%" align="center">70/81  (87%)</td>
<td width="20%" align="center">220/432  (51%)</td>
<td width="20%" align="center">286/432  (67%)</td>
</tr>
</table></span><br><span id="pinres" class="pgRef"><h5 align="center">PIN RESOURCES</h5>
<table align="center" width="90%" border="0" cellspacing="0" cellpadding="0"><tr>
@ -133,7 +133,7 @@
<table align="center" width="90%" border="1" cellspacing="0" cellpadding="0">
<tr>
<td width="50%"> Macrocells in high performance mode (MCHP)</td>
<td width="50%"> 117</td>
<td width="50%"> 123</td>
</tr>
<tr>
<td width="50%"> Macrocells in low power mode (MCLP)</td>
@ -141,7 +141,7 @@
</tr>
<tr>
<td width="50%"> Total macrocells used (MC)</td>
<td width="50%"> 117</td>
<td width="50%"> 123</td>
</tr>
</table></span><form><span class="pgRef"><table width="90%" align="center"><tr>
<td align="left"><input type="button" onclick="javascript:parent.leftnav.showTop()" onmouseover="window.status='goto top of page'; return true;" onmouseout="window.status=''" value="back to top"></td>

View File

@ -5,14 +5,14 @@
The structure and the elements are likely to change over the next few releases.
This means code written to parse this file will need to be revisited each subsequent release.-->
<application stringID="NgdBuild" timeStamp="Sat Jul 15 23:14:29 2023">
<application stringID="NgdBuild" timeStamp="Thu Jul 13 16:02:59 2023">
<section stringID="User_Env">
<table stringID="User_EnvVar">
<column stringID="variable"/>
<column stringID="value"/>
<row stringID="row" value="0">
<item stringID="variable" value="Path"/>
<item stringID="value" value="C:\Xilinx\14.7\ISE_DS\ISE\\lib\nt64;C:\Xilinx\14.7\ISE_DS\ISE\\bin\nt64;C:\Xilinx\14.7\ISE_DS\ISE\bin\nt64;C:\Xilinx\14.7\ISE_DS\ISE\lib\nt64;C:\Xilinx\14.7\ISE_DS\ISE\..\..\..\DocNav;C:\Xilinx\14.7\ISE_DS\PlanAhead\bin;C:\Xilinx\14.7\ISE_DS\EDK\bin\nt64;C:\Xilinx\14.7\ISE_DS\EDK\lib\nt64;C:\Xilinx\14.7\ISE_DS\EDK\gnu\microblaze\nt\bin;C:\Xilinx\14.7\ISE_DS\EDK\gnu\powerpc-eabi\nt\bin;C:\Xilinx\14.7\ISE_DS\EDK\gnuwin\bin;C:\Xilinx\14.7\ISE_DS\EDK\gnu\arm\nt\bin;C:\Xilinx\14.7\ISE_DS\EDK\gnu\microblaze\linux_toolchain\nt64_be\bin;C:\Xilinx\14.7\ISE_DS\EDK\gnu\microblaze\linux_toolchain\nt64_le\bin;C:\Xilinx\14.7\ISE_DS\common\bin\nt64;C:\Xilinx\14.7\ISE_DS\common\lib\nt64;C:\Program Files (x86)\NVIDIA Corporation\PhysX\Common;C:\Windows\system32;C:\Windows;C:\Windows\System32\Wbem;C:\Windows\System32\WindowsPowerShell\v1.0\;C:\altera\13.0sp1\modelsim_ase\win32aloem;C:\intelFPGA_lite\19.1\modelsim_ase\win32aloem"/>
<item stringID="value" value="C:\Xilinx\14.7\ISE_DS\ISE\\lib\nt64;C:\Xilinx\14.7\ISE_DS\ISE\\bin\nt64;C:\Xilinx\14.7\ISE_DS\ISE\bin\nt64;C:\Xilinx\14.7\ISE_DS\ISE\lib\nt64;C:\Xilinx\14.7\ISE_DS\ISE\..\..\..\DocNav;C:\Xilinx\14.7\ISE_DS\PlanAhead\bin;C:\Xilinx\14.7\ISE_DS\EDK\bin\nt64;C:\Xilinx\14.7\ISE_DS\EDK\lib\nt64;C:\Xilinx\14.7\ISE_DS\EDK\gnu\microblaze\nt\bin;C:\Xilinx\14.7\ISE_DS\EDK\gnu\powerpc-eabi\nt\bin;C:\Xilinx\14.7\ISE_DS\EDK\gnuwin\bin;C:\Xilinx\14.7\ISE_DS\EDK\gnu\arm\nt\bin;C:\Xilinx\14.7\ISE_DS\EDK\gnu\microblaze\linux_toolchain\nt64_be\bin;C:\Xilinx\14.7\ISE_DS\EDK\gnu\microblaze\linux_toolchain\nt64_le\bin;C:\Xilinx\14.7\ISE_DS\common\bin\nt64;C:\Xilinx\14.7\ISE_DS\common\lib\nt64;C:\Program Files (x86)\AMD APP\bin\x86_64;C:\Program Files (x86)\AMD APP\bin\x86;C:\Windows\system32;C:\Windows;C:\Windows\System32\Wbem;C:\Windows\System32\WindowsPowerShell\v1.0\;C:\intelFPGA_lite\19.1\modelsim_ase\win32aloem;C:\altera\13.0sp1\modelsim_ase\win32aloem;C:\Users\Wolf\AppData\Local\GitHubDesktop\bin"/>
</row>
<row stringID="row" value="1">
<item stringID="variable" value="PATHEXT"/>
@ -45,7 +45,7 @@
<column stringID="speed"/>
<row stringID="row" value="0">
<item stringID="arch" value="AMD FX(tm)-8320 Eight-Core Processor "/>
<item stringID="speed" value="3511 MHz"/>
<item stringID="speed" value="3792 MHz"/>
</row>
</table>
</section>
@ -54,7 +54,7 @@
<item DEFAULT="None" label="-intstyle" stringID="NGDBUILD_intstyle" value="ise"/>
<item DEFAULT="None" label="-dd" stringID="NGDBUILD_output_dir" value="_ngo"/>
<item DEFAULT="None" label="-p" stringID="NGDBUILD_partname" value="xc95144xl-TQ100-10"/>
<item DEFAULT="None" label="-uc" stringID="NGDBUILD_ucf_file" value="C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf"/>
<item DEFAULT="None" label="-uc" stringID="NGDBUILD_ucf_file" value="C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf"/>
</section>
</task>
<task stringID="NGDBUILD_REPORT">
@ -66,35 +66,35 @@
<item dataType="int" stringID="NGDBUILD_NUM_INFOS" value="0"/>
</section>
<section stringID="NGDBUILD_PRE_UNISIM_SUMMARY">
<item dataType="int" stringID="NGDBUILD_NUM_AND2" value="207"/>
<item dataType="int" stringID="NGDBUILD_NUM_AND3" value="26"/>
<item dataType="int" stringID="NGDBUILD_NUM_AND2" value="205"/>
<item dataType="int" stringID="NGDBUILD_NUM_AND3" value="25"/>
<item dataType="int" stringID="NGDBUILD_NUM_AND4" value="13"/>
<item dataType="int" stringID="NGDBUILD_NUM_AND5" value="2"/>
<item dataType="int" stringID="NGDBUILD_NUM_FD" value="68"/>
<item dataType="int" stringID="NGDBUILD_NUM_FD" value="69"/>
<item dataType="int" stringID="NGDBUILD_NUM_FDC" value="2"/>
<item dataType="int" stringID="NGDBUILD_NUM_FDCE" value="40"/>
<item dataType="int" stringID="NGDBUILD_NUM_FDCE" value="38"/>
<item dataType="int" stringID="NGDBUILD_NUM_FDP" value="1"/>
<item dataType="int" stringID="NGDBUILD_NUM_GND" value="6"/>
<item dataType="int" stringID="NGDBUILD_NUM_IBUF" value="35"/>
<item dataType="int" stringID="NGDBUILD_NUM_INV" value="257"/>
<item dataType="int" stringID="NGDBUILD_NUM_INV" value="250"/>
<item dataType="int" stringID="NGDBUILD_NUM_OBUF" value="30"/>
<item dataType="int" stringID="NGDBUILD_NUM_OR2" value="105"/>
<item dataType="int" stringID="NGDBUILD_NUM_OR3" value="19"/>
<item dataType="int" stringID="NGDBUILD_NUM_OR2" value="104"/>
<item dataType="int" stringID="NGDBUILD_NUM_OR3" value="18"/>
<item dataType="int" stringID="NGDBUILD_NUM_OR4" value="3"/>
<item dataType="int" stringID="NGDBUILD_NUM_VCC" value="1"/>
<item dataType="int" stringID="NGDBUILD_NUM_XOR2" value="29"/>
</section>
<section stringID="NGDBUILD_POST_UNISIM_SUMMARY">
<item dataType="int" stringID="NGDBUILD_NUM_AND2" value="207"/>
<item dataType="int" stringID="NGDBUILD_NUM_AND3" value="26"/>
<item dataType="int" stringID="NGDBUILD_NUM_AND2" value="205"/>
<item dataType="int" stringID="NGDBUILD_NUM_AND3" value="25"/>
<item dataType="int" stringID="NGDBUILD_NUM_AND4" value="13"/>
<item dataType="int" stringID="NGDBUILD_NUM_AND5" value="2"/>
<item dataType="int" stringID="NGDBUILD_NUM_GND" value="77"/>
<item dataType="int" stringID="NGDBUILD_NUM_GND" value="78"/>
<item dataType="int" stringID="NGDBUILD_NUM_IBUF" value="41"/>
<item dataType="int" stringID="NGDBUILD_NUM_INV" value="257"/>
<item dataType="int" stringID="NGDBUILD_NUM_INV" value="250"/>
<item dataType="int" stringID="NGDBUILD_NUM_OBUF" value="30"/>
<item dataType="int" stringID="NGDBUILD_NUM_OR2" value="105"/>
<item dataType="int" stringID="NGDBUILD_NUM_OR3" value="19"/>
<item dataType="int" stringID="NGDBUILD_NUM_OR2" value="104"/>
<item dataType="int" stringID="NGDBUILD_NUM_OR3" value="18"/>
<item dataType="int" stringID="NGDBUILD_NUM_OR4" value="3"/>
<item dataType="int" stringID="NGDBUILD_NUM_VCC" value="1"/>
<item dataType="int" stringID="NGDBUILD_NUM_XOR2" value="29"/>

View File

@ -1,129 +0,0 @@
Release 8.1i - Fit P.20131013
Copyright(c) 1995-2003 Xilinx Inc. All rights reserved
7-15-2023 11:14PM
NOTE: This file is designed to be imported into a spreadsheet program
such as Microsoft Excel for viewing, printing and sorting. The comma ','
character is used as the data field separator.
This file is also designed to support parsing.
Input file: WarpSE.ngd
output file: WarpSE_pad.csv
Part type: xc95144xl
Speed grade: -10
Package: tq100
Pinout by Pin Number:
-----,-----,-----,-----,-----,-----,-----,-----,-----,-----,
Pin Number,Signal Name,Pin Usage,Pin Name,Direction,IO Standard,IO Bank Number,{blank},Slew Rate,Termination,{blank},Voltage,Constraint,
P1,TIE,,I/O/GTS3,,,,,,,,,,
P2,A_FSB<5>,I,I/O/GTS4,INPUT,,,,,,,,,
P3,A_FSB<6>,I,I/O/GTS1,INPUT,,,,,,,,,
P4,A_FSB<7>,I,I/O/GTS2,INPUT,,,,,,,,,
P5,VCC,,VCCINT,,,,,,,,,,
P6,A_FSB<8>,I,I/O,INPUT,,,,,,,,,
P7,A_FSB<9>,I,I/O,INPUT,,,,,,,,,
P8,A_FSB<10>,I,I/O,INPUT,,,,,,,,,
P9,A_FSB<11>,I,I/O,INPUT,,,,,,,,,
P10,A_FSB<12>,I,I/O,INPUT,,,,,,,,,
P11,A_FSB<13>,I,I/O,INPUT,,,,,,,,,
P12,A_FSB<14>,I,I/O,INPUT,,,,,,,,,
P13,A_FSB<15>,I,I/O,INPUT,,,,,,,,,
P14,A_FSB<16>,I,I/O,INPUT,,,,,,,,,
P15,A_FSB<17>,I,I/O,INPUT,,,,,,,,,
P16,A_FSB<18>,I,I/O,INPUT,,,,,,,,,
P17,A_FSB<19>,I,I/O,INPUT,,,,,,,,,
P18,A_FSB<20>,I,I/O,INPUT,,,,,,,,,
P19,A_FSB<21>,I,I/O,INPUT,,,,,,,,,
P20,A_FSB<22>,I,I/O,INPUT,,,,,,,,,
P21,GND,,GND,,,,,,,,,,
P22,C16M,GCK,I/O/GCK1,,,,,,,,,,
P23,C8M,GCK/I,I/O/GCK2,,,,,,,,,,
P24,A_FSB<23>,I,I/O,INPUT,,,,,,,,,
P25,E,I,I/O,INPUT,,,,,,,,,
P26,VCC,,VCCIO,,,,,,,,,,
P27,FCLK,GCK,I/O/GCK3,,,,,,,,,,
P28,nDTACK_FSB,O,I/O,OUTPUT,,,,,,,,,
P29,nWE_FSB,I,I/O,INPUT,,,,,,,,,
P30,nLDS_FSB,I,I/O,INPUT,,,,,,,,,
P31,GND,,GND,,,,,,,,,,
P32,nAS_FSB,I,I/O,INPUT,,,,,,,,,
P33,nUDS_FSB,I,I/O,INPUT,,,,,,,,,
P34,nROMWE,O,I/O,OUTPUT,,,,,,,,,
P35,nROMCS,O,I/O,OUTPUT,,,,,,,,,
P36,nCAS,O,I/O,OUTPUT,,,,,,,,,
P37,nOE,O,I/O,OUTPUT,,,,,,,,,
P38,VCC,,VCCIO,,,,,,,,,,
P39,TIE,,I/O,,,,,,,,,,
P40,RA<4>,O,I/O,OUTPUT,,,,,,,,,
P41,RA<3>,O,I/O,OUTPUT,,,,,,,,,
P42,RA<5>,O,I/O,OUTPUT,,,,,,,,,
P43,RA<2>,O,I/O,OUTPUT,,,,,,,,,
P44,GND,,GND,,,,,,,,,,
P45,TDI,,TDI,,,,,,,,,,
P46,RA<6>,O,I/O,OUTPUT,,,,,,,,,
P47,TMS,,TMS,,,,,,,,,,
P48,TCK,,TCK,,,,,,,,,,
P49,TIE,,I/O,,,,,,,,,,
P50,RA<1>,O,I/O,OUTPUT,,,,,,,,,
P51,VCC,,VCCIO,,,,,,,,,,
P52,RA<7>,O,I/O,OUTPUT,,,,,,,,,
P53,RA<0>,O,I/O,OUTPUT,,,,,,,,,
P54,RA<8>,O,I/O,OUTPUT,,,,,,,,,
P55,RA<10>,O,I/O,OUTPUT,,,,,,,,,
P56,RA<9>,O,I/O,OUTPUT,,,,,,,,,
P57,VCC,,VCCINT,,,,,,,,,,
P58,C25MEN,O,I/O,OUTPUT,,,,,,,,,
P59,TIE,,I/O,,,,,,,,,,
P60,TIE,,I/O,,,,,,,,,,
P61,TIE,,I/O,,,,,,,,,,
P62,GND,,GND,,,,,,,,,,
P63,RA<11>,O,I/O,OUTPUT,,,,,,,,,
P64,nRAS,O,I/O,OUTPUT,,,,,,,,,
P65,nRAMLWE,O,I/O,OUTPUT,,,,,,,,,
P66,nRAMUWE,O,I/O,OUTPUT,,,,,,,,,
P67,TIE,,I/O,,,,,,,,,,
P68,TIE,,I/O,,,,,,,,,,
P69,GND,,GND,,,,,,,,,,
P70,nBERR_FSB,O,I/O,OUTPUT,,,,,,,,,
P71,TIE,,I/O,,,,,,,,,,
P72,nBR_IOB,O,I/O,OUTPUT,,,,,,,,,
P73,TIE,,I/O,,,,,,,,,,
P74,nVMA_IOB,O,I/O,OUTPUT,,,,,,,,,
P75,GND,,GND,,,,,,,,,,
P76,nBERR_IOB,I,I/O,INPUT,,,,,,,,,
P77,nVPA_IOB,I,I/O,INPUT,,,,,,,,,
P78,nDTACK_IOB,I,I/O,INPUT,,,,,,,,,
P79,nLDS_IOB,O,I/O,OUTPUT,,,,,,,,,
P80,nUDS_IOB,O,I/O,OUTPUT,,,,,,,,,
P81,nAS_IOB,O,I/O,OUTPUT,,,,,,,,,
P82,nADoutLE1,O,I/O,OUTPUT,,,,,,,,,
P83,TDO,,TDO,,,,,,,,,,
P84,GND,,GND,,,,,,,,,,
P85,nADoutLE0,O,I/O,OUTPUT,,,,,,,,,
P86,nDinLE,O,I/O,OUTPUT,,,,,,,,,
P87,nAoutOE,O,I/O,OUTPUT,,,,,,,,,
P88,VCC,,VCCIO,,,,,,,,,,
P89,nDoutOE,O,I/O,OUTPUT,,,,,,,,,
P90,nDinOE,O,I/O,OUTPUT,,,,,,,,,
P91,nRES,I/O,I/O,BIDIR,,,,,,,,,
P92,nIPL2,I,I/O,INPUT,,,,,,,,,
P93,nVPA_FSB,O,I/O,OUTPUT,,,,,,,,,
P94,A_FSB<1>,I,I/O,INPUT,,,,,,,,,
P95,A_FSB<2>,I,I/O,INPUT,,,,,,,,,
P96,A_FSB<3>,I,I/O,INPUT,,,,,,,,,
P97,A_FSB<4>,I,I/O,INPUT,,,,,,,,,
P98,VCC,,VCCINT,,,,,,,,,,
P99,TIE,,I/O/GSR,,,,,,,,,,
P100,GND,,GND,,,,,,,,,,
To preserve the pinout above for future design iterations in
Project Navigator simply execute the (Lock Pins) process
located under the (Implement Design) process in a toolbox named
(Optional Implementation Tools) or invoke PIN2UCF from the
command line. The location constraints will be written into your
specified UCF file
1 Release 8.1i - Fit P.20131013
2 Copyright(c) 1995-2003 Xilinx Inc. All rights reserved
3 7-15-2023 11:14PM
4 NOTE: This file is designed to be imported into a spreadsheet program
5 such as Microsoft Excel for viewing, printing and sorting. The comma ','
6 character is used as the data field separator.
7 This file is also designed to support parsing.
8 Input file: WarpSE.ngd
9 output file: WarpSE_pad.csv
10 Part type: xc95144xl
11 Speed grade: -10
12 Package: tq100
13 Pinout by Pin Number:
14 -----,-----,-----,-----,-----,-----,-----,-----,-----,-----,
15 Pin Number,Signal Name,Pin Usage,Pin Name,Direction,IO Standard,IO Bank Number,{blank},Slew Rate,Termination,{blank},Voltage,Constraint,
16 P1,TIE,,I/O/GTS3,,,,,,,,,,
17 P2,A_FSB<5>,I,I/O/GTS4,INPUT,,,,,,,,,
18 P3,A_FSB<6>,I,I/O/GTS1,INPUT,,,,,,,,,
19 P4,A_FSB<7>,I,I/O/GTS2,INPUT,,,,,,,,,
20 P5,VCC,,VCCINT,,,,,,,,,,
21 P6,A_FSB<8>,I,I/O,INPUT,,,,,,,,,
22 P7,A_FSB<9>,I,I/O,INPUT,,,,,,,,,
23 P8,A_FSB<10>,I,I/O,INPUT,,,,,,,,,
24 P9,A_FSB<11>,I,I/O,INPUT,,,,,,,,,
25 P10,A_FSB<12>,I,I/O,INPUT,,,,,,,,,
26 P11,A_FSB<13>,I,I/O,INPUT,,,,,,,,,
27 P12,A_FSB<14>,I,I/O,INPUT,,,,,,,,,
28 P13,A_FSB<15>,I,I/O,INPUT,,,,,,,,,
29 P14,A_FSB<16>,I,I/O,INPUT,,,,,,,,,
30 P15,A_FSB<17>,I,I/O,INPUT,,,,,,,,,
31 P16,A_FSB<18>,I,I/O,INPUT,,,,,,,,,
32 P17,A_FSB<19>,I,I/O,INPUT,,,,,,,,,
33 P18,A_FSB<20>,I,I/O,INPUT,,,,,,,,,
34 P19,A_FSB<21>,I,I/O,INPUT,,,,,,,,,
35 P20,A_FSB<22>,I,I/O,INPUT,,,,,,,,,
36 P21,GND,,GND,,,,,,,,,,
37 P22,C16M,GCK,I/O/GCK1,,,,,,,,,,
38 P23,C8M,GCK/I,I/O/GCK2,,,,,,,,,,
39 P24,A_FSB<23>,I,I/O,INPUT,,,,,,,,,
40 P25,E,I,I/O,INPUT,,,,,,,,,
41 P26,VCC,,VCCIO,,,,,,,,,,
42 P27,FCLK,GCK,I/O/GCK3,,,,,,,,,,
43 P28,nDTACK_FSB,O,I/O,OUTPUT,,,,,,,,,
44 P29,nWE_FSB,I,I/O,INPUT,,,,,,,,,
45 P30,nLDS_FSB,I,I/O,INPUT,,,,,,,,,
46 P31,GND,,GND,,,,,,,,,,
47 P32,nAS_FSB,I,I/O,INPUT,,,,,,,,,
48 P33,nUDS_FSB,I,I/O,INPUT,,,,,,,,,
49 P34,nROMWE,O,I/O,OUTPUT,,,,,,,,,
50 P35,nROMCS,O,I/O,OUTPUT,,,,,,,,,
51 P36,nCAS,O,I/O,OUTPUT,,,,,,,,,
52 P37,nOE,O,I/O,OUTPUT,,,,,,,,,
53 P38,VCC,,VCCIO,,,,,,,,,,
54 P39,TIE,,I/O,,,,,,,,,,
55 P40,RA<4>,O,I/O,OUTPUT,,,,,,,,,
56 P41,RA<3>,O,I/O,OUTPUT,,,,,,,,,
57 P42,RA<5>,O,I/O,OUTPUT,,,,,,,,,
58 P43,RA<2>,O,I/O,OUTPUT,,,,,,,,,
59 P44,GND,,GND,,,,,,,,,,
60 P45,TDI,,TDI,,,,,,,,,,
61 P46,RA<6>,O,I/O,OUTPUT,,,,,,,,,
62 P47,TMS,,TMS,,,,,,,,,,
63 P48,TCK,,TCK,,,,,,,,,,
64 P49,TIE,,I/O,,,,,,,,,,
65 P50,RA<1>,O,I/O,OUTPUT,,,,,,,,,
66 P51,VCC,,VCCIO,,,,,,,,,,
67 P52,RA<7>,O,I/O,OUTPUT,,,,,,,,,
68 P53,RA<0>,O,I/O,OUTPUT,,,,,,,,,
69 P54,RA<8>,O,I/O,OUTPUT,,,,,,,,,
70 P55,RA<10>,O,I/O,OUTPUT,,,,,,,,,
71 P56,RA<9>,O,I/O,OUTPUT,,,,,,,,,
72 P57,VCC,,VCCINT,,,,,,,,,,
73 P58,C25MEN,O,I/O,OUTPUT,,,,,,,,,
74 P59,TIE,,I/O,,,,,,,,,,
75 P60,TIE,,I/O,,,,,,,,,,
76 P61,TIE,,I/O,,,,,,,,,,
77 P62,GND,,GND,,,,,,,,,,
78 P63,RA<11>,O,I/O,OUTPUT,,,,,,,,,
79 P64,nRAS,O,I/O,OUTPUT,,,,,,,,,
80 P65,nRAMLWE,O,I/O,OUTPUT,,,,,,,,,
81 P66,nRAMUWE,O,I/O,OUTPUT,,,,,,,,,
82 P67,TIE,,I/O,,,,,,,,,,
83 P68,TIE,,I/O,,,,,,,,,,
84 P69,GND,,GND,,,,,,,,,,
85 P70,nBERR_FSB,O,I/O,OUTPUT,,,,,,,,,
86 P71,TIE,,I/O,,,,,,,,,,
87 P72,nBR_IOB,O,I/O,OUTPUT,,,,,,,,,
88 P73,TIE,,I/O,,,,,,,,,,
89 P74,nVMA_IOB,O,I/O,OUTPUT,,,,,,,,,
90 P75,GND,,GND,,,,,,,,,,
91 P76,nBERR_IOB,I,I/O,INPUT,,,,,,,,,
92 P77,nVPA_IOB,I,I/O,INPUT,,,,,,,,,
93 P78,nDTACK_IOB,I,I/O,INPUT,,,,,,,,,
94 P79,nLDS_IOB,O,I/O,OUTPUT,,,,,,,,,
95 P80,nUDS_IOB,O,I/O,OUTPUT,,,,,,,,,
96 P81,nAS_IOB,O,I/O,OUTPUT,,,,,,,,,
97 P82,nADoutLE1,O,I/O,OUTPUT,,,,,,,,,
98 P83,TDO,,TDO,,,,,,,,,,
99 P84,GND,,GND,,,,,,,,,,
100 P85,nADoutLE0,O,I/O,OUTPUT,,,,,,,,,
101 P86,nDinLE,O,I/O,OUTPUT,,,,,,,,,
102 P87,nAoutOE,O,I/O,OUTPUT,,,,,,,,,
103 P88,VCC,,VCCIO,,,,,,,,,,
104 P89,nDoutOE,O,I/O,OUTPUT,,,,,,,,,
105 P90,nDinOE,O,I/O,OUTPUT,,,,,,,,,
106 P91,nRES,I/O,I/O,BIDIR,,,,,,,,,
107 P92,nIPL2,I,I/O,INPUT,,,,,,,,,
108 P93,nVPA_FSB,O,I/O,OUTPUT,,,,,,,,,
109 P94,A_FSB<1>,I,I/O,INPUT,,,,,,,,,
110 P95,A_FSB<2>,I,I/O,INPUT,,,,,,,,,
111 P96,A_FSB<3>,I,I/O,INPUT,,,,,,,,,
112 P97,A_FSB<4>,I,I/O,INPUT,,,,,,,,,
113 P98,VCC,,VCCINT,,,,,,,,,,
114 P99,TIE,,I/O/GSR,,,,,,,,,,
115 P100,GND,,GND,,,,,,,,,,
116 To preserve the pinout above for future design iterations in
117 Project Navigator simply execute the (Lock Pins) process
118 located under the (Implement Design) process in a toolbox named
119 (Optional Implementation Tools) or invoke PIN2UCF from the
120 command line. The location constraints will be written into your
121 specified UCF file

View File

@ -2,7 +2,7 @@
<BODY TEXT='#000000' BGCOLOR='#FFFFFF' LINK='#0000EE' VLINK='#551A8B' ALINK='#FF0000'>
<TABLE BORDER CELLSPACING=0 CELLPADDING=3 WIDTH='100%'>
<TR ALIGN=CENTER BGCOLOR='#99CCFF'>
<TD ALIGN=CENTER COLSPAN='4'><B>WarpSE Project Status (07/15/2023 - 22:47:28)</B></TD></TR>
<TD ALIGN=CENTER COLSPAN='4'><B>WarpSE Project Status (07/13/2023 - 16:03:00)</B></TD></TR>
<TR ALIGN=LEFT>
<TD BGCOLOR='#FFFF99'><B>Project File:</B></TD>
<TD>WarpSE.xise</TD>
@ -13,7 +13,7 @@
<TD BGCOLOR='#FFFF99'><B>Module Name:</B></TD>
<TD>WarpSE</TD>
<TD BGCOLOR='#FFFF99'><B>Implementation State:</B></TD>
<TD>Fitted</TD>
<TD>Translated</TD>
</TR>
<TR ALIGN=LEFT>
<TD BGCOLOR='#FFFF99'><B>Target Device:</B></TD>
@ -25,7 +25,7 @@ No Errors</TD>
<TR ALIGN=LEFT>
<TD BGCOLOR='#FFFF99'><B>Product Version:</B></TD><TD>ISE 14.7</TD>
<TD BGCOLOR='#FFFF99'><UL><LI><B>Warnings:</B></LI></UL></TD>
<TD ALIGN=LEFT><A HREF_DISABLED='C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/XC95144XL\_xmsgs/*.xmsgs?&DataKey=Warning'>5 Warnings (0 new)</A></TD>
<TD ALIGN=LEFT><A HREF_DISABLED='C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL\_xmsgs/*.xmsgs?&DataKey=Warning'>8 Warnings (8 new)</A></TD>
</TR>
<TR ALIGN=LEFT>
<TD BGCOLOR='#FFFF99'><B>Design Goal:</B></dif></TD>
@ -43,7 +43,7 @@ No Errors</TD>
<TR ALIGN=LEFT>
<TD BGCOLOR='#FFFF99'><B>Environment:</B></dif></TD>
<TD>
<A HREF_DISABLED='C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/XC95144XL\WarpSE_envsettings.html'>
<A HREF_DISABLED='C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL\WarpSE_envsettings.html'>
System Settings</A>
</TD>
<TD BGCOLOR='#FFFF99'><UL><LI><B>Final Timing Score:</B></LI></UL></TD>
@ -65,9 +65,9 @@ System Settings</A>
<TR ALIGN=CENTER BGCOLOR='#99CCFF'><TD ALIGN=CENTER COLSPAN='6'><B>Detailed Reports</B></TD><TD ALIGN=RIGHT WIDTH='10%'COLSPAN=1> <A HREF_DISABLED="?&ExpandedTable=DetailedReports"><B>[-]</B></a></TD></TR>
<TR BGCOLOR='#FFFF99'><TD><B>Report Name</B></TD><TD><B>Status</B></TD><TD><B>Generated</B></TD>
<TD ALIGN=LEFT><B>Errors</B></TD><TD ALIGN=LEFT><B>Warnings</B></TD><TD ALIGN=LEFT COLSPAN='2'><B>Infos</B></TD></TR>
<TR ALIGN=LEFT><TD><A HREF_DISABLED='C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/XC95144XL\WarpSE.syr'>Synthesis Report</A></TD><TD>Current</TD><TD>Sat Jul 15 23:04:39 2023</TD><TD ALIGN=LEFT>0</TD><TD ALIGN=LEFT><A HREF_DISABLED='C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/XC95144XL\_xmsgs/xst.xmsgs?&DataKey=Warning'>5 Warnings (0 new)</A></TD><TD ALIGN=LEFT COLSPAN='2'>0</TD></TR>
<TR ALIGN=LEFT><TD><A HREF_DISABLED='C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/XC95144XL\WarpSE.bld'>Translation Report</A></TD><TD>Current</TD><TD>Sat Jul 15 23:04:44 2023</TD><TD ALIGN=LEFT>0</TD><TD ALIGN=LEFT>0</TD><TD ALIGN=LEFT COLSPAN='2'>0</TD></TR>
<TR ALIGN=LEFT><TD><A HREF_DISABLED='C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/XC95144XL\WarpSE.rpt'>CPLD Fitter Report (Text)</A></TD><TD>Current</TD><TD>Sat Jul 15 23:04:58 2023</TD><TD ALIGN=LEFT>0</TD><TD ALIGN=LEFT><A HREF_DISABLED='C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/XC95144XL\_xmsgs/cpldfit.xmsgs?&DataKey=Warning'>6 Warnings (1 new)</A></TD><TD ALIGN=LEFT COLSPAN='2'><A HREF_DISABLED='C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/XC95144XL\_xmsgs/cpldfit.xmsgs?&DataKey=Info'>3 Infos (3 new)</A></TD></TR>
<TR ALIGN=LEFT><TD><A HREF_DISABLED='C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL\WarpSE.syr'>Synthesis Report</A></TD><TD>Current</TD><TD>Thu Jul 13 16:02:53 2023</TD><TD ALIGN=LEFT>0</TD><TD ALIGN=LEFT><A HREF_DISABLED='C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL\_xmsgs/xst.xmsgs?&DataKey=Warning'>8 Warnings (8 new)</A></TD><TD ALIGN=LEFT COLSPAN='2'>0</TD></TR>
<TR ALIGN=LEFT><TD><A HREF_DISABLED='C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL\WarpSE.bld'>Translation Report</A></TD><TD>Current</TD><TD>Thu Jul 13 16:02:59 2023</TD><TD ALIGN=LEFT>0</TD><TD ALIGN=LEFT>0</TD><TD ALIGN=LEFT COLSPAN='2'>0</TD></TR>
<TR ALIGN=LEFT><TD><A HREF_DISABLED='C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL\WarpSE.rpt'>CPLD Fitter Report (Text)</A></TD><TD>Out of Date</TD><TD>Mon Apr 17 02:26:54 2023</TD><TD ALIGN=LEFT>0</TD><TD ALIGN=LEFT><A HREF_DISABLED='C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL\_xmsgs/cpldfit.xmsgs?&DataKey=Warning'>6 Warnings (1 new)</A></TD><TD ALIGN=LEFT COLSPAN='2'><A HREF_DISABLED='C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL\_xmsgs/cpldfit.xmsgs?&DataKey=Info'>3 Infos (3 new)</A></TD></TR>
<TR ALIGN=LEFT><TD>Power Report</TD><TD>&nbsp;</TD><TD>&nbsp;</TD><TD>&nbsp;</TD><TD>&nbsp;</TD><TD COLSPAN='2'>&nbsp;</TD></TR>
</TABLE>
&nbsp;<BR><TABLE BORDER CELLSPACING=0 CELLPADDING=3 WIDTH='100%'>
@ -77,5 +77,5 @@ System Settings</A>
</TABLE>
<br><center><b>Date Generated:</b> 07/15/2023 - 23:05:15</center>
<br><center><b>Date Generated:</b> 07/13/2023 - 16:03:00</center>
</BODY></HTML>

View File

@ -5,14 +5,14 @@
The structure and the elements are likely to change over the next few releases.
This means code written to parse this file will need to be revisited each subsequent release.-->
<application stringID="Xst" timeStamp="Sat Jul 15 23:14:18 2023">
<application stringID="Xst" timeStamp="Thu Jul 13 16:02:48 2023">
<section stringID="User_Env">
<table stringID="User_EnvVar">
<column stringID="variable"/>
<column stringID="value"/>
<row stringID="row" value="0">
<item stringID="variable" value="Path"/>
<item stringID="value" value="C:\Xilinx\14.7\ISE_DS\ISE\\lib\nt64;C:\Xilinx\14.7\ISE_DS\ISE\\bin\nt64;C:\Xilinx\14.7\ISE_DS\ISE\bin\nt64;C:\Xilinx\14.7\ISE_DS\ISE\lib\nt64;C:\Xilinx\14.7\ISE_DS\ISE\..\..\..\DocNav;C:\Xilinx\14.7\ISE_DS\PlanAhead\bin;C:\Xilinx\14.7\ISE_DS\EDK\bin\nt64;C:\Xilinx\14.7\ISE_DS\EDK\lib\nt64;C:\Xilinx\14.7\ISE_DS\EDK\gnu\microblaze\nt\bin;C:\Xilinx\14.7\ISE_DS\EDK\gnu\powerpc-eabi\nt\bin;C:\Xilinx\14.7\ISE_DS\EDK\gnuwin\bin;C:\Xilinx\14.7\ISE_DS\EDK\gnu\arm\nt\bin;C:\Xilinx\14.7\ISE_DS\EDK\gnu\microblaze\linux_toolchain\nt64_be\bin;C:\Xilinx\14.7\ISE_DS\EDK\gnu\microblaze\linux_toolchain\nt64_le\bin;C:\Xilinx\14.7\ISE_DS\common\bin\nt64;C:\Xilinx\14.7\ISE_DS\common\lib\nt64;C:\Program Files (x86)\NVIDIA Corporation\PhysX\Common;C:\Windows\system32;C:\Windows;C:\Windows\System32\Wbem;C:\Windows\System32\WindowsPowerShell\v1.0\;C:\altera\13.0sp1\modelsim_ase\win32aloem;C:\intelFPGA_lite\19.1\modelsim_ase\win32aloem"/>
<item stringID="value" value="C:\Xilinx\14.7\ISE_DS\ISE\\lib\nt64;C:\Xilinx\14.7\ISE_DS\ISE\\bin\nt64;C:\Xilinx\14.7\ISE_DS\ISE\bin\nt64;C:\Xilinx\14.7\ISE_DS\ISE\lib\nt64;C:\Xilinx\14.7\ISE_DS\ISE\..\..\..\DocNav;C:\Xilinx\14.7\ISE_DS\PlanAhead\bin;C:\Xilinx\14.7\ISE_DS\EDK\bin\nt64;C:\Xilinx\14.7\ISE_DS\EDK\lib\nt64;C:\Xilinx\14.7\ISE_DS\EDK\gnu\microblaze\nt\bin;C:\Xilinx\14.7\ISE_DS\EDK\gnu\powerpc-eabi\nt\bin;C:\Xilinx\14.7\ISE_DS\EDK\gnuwin\bin;C:\Xilinx\14.7\ISE_DS\EDK\gnu\arm\nt\bin;C:\Xilinx\14.7\ISE_DS\EDK\gnu\microblaze\linux_toolchain\nt64_be\bin;C:\Xilinx\14.7\ISE_DS\EDK\gnu\microblaze\linux_toolchain\nt64_le\bin;C:\Xilinx\14.7\ISE_DS\common\bin\nt64;C:\Xilinx\14.7\ISE_DS\common\lib\nt64;C:\Program Files (x86)\AMD APP\bin\x86_64;C:\Program Files (x86)\AMD APP\bin\x86;C:\Windows\system32;C:\Windows;C:\Windows\System32\Wbem;C:\Windows\System32\WindowsPowerShell\v1.0\;C:\intelFPGA_lite\19.1\modelsim_ase\win32aloem;C:\altera\13.0sp1\modelsim_ase\win32aloem;C:\Users\Wolf\AppData\Local\GitHubDesktop\bin"/>
</row>
<row stringID="row" value="1">
<item stringID="variable" value="PATHEXT"/>
@ -45,7 +45,7 @@
<column stringID="speed"/>
<row stringID="row" value="0">
<item stringID="arch" value="AMD FX(tm)-8320 Eight-Core Processor "/>
<item stringID="speed" value="3511 MHz"/>
<item stringID="speed" value="3792 MHz"/>
</row>
</table>
</section>
@ -75,7 +75,6 @@
<item DEFAULT="YES" label="-equivalent_register_removal" stringID="XST_EQUIVALENTREGISTERREMOVAL" value="YES"/>
</section>
<section stringID="XST_HDL_SYNTHESIS_REPORT">
<item dataType="int" stringID="XST_ADDERSSUBTRACTORS" value="1"></item>
<item dataType="int" stringID="XST_COUNTERS" value="4">
<item dataType="int" stringID="XST_4BIT_UP_COUNTER" value="2"/>
</item>
@ -90,7 +89,6 @@
</section>
<section stringID="XST_ADVANCED_HDL_SYNTHESIS_REPORT">
<item dataType="int" stringID="XST_FSMS" value="4"/>
<item dataType="int" stringID="XST_ADDERSSUBTRACTORS" value="1"></item>
<item dataType="int" stringID="XST_COUNTERS" value="4">
<item dataType="int" stringID="XST_4BIT_UP_COUNTER" value="2"/>
</item>
@ -115,20 +113,20 @@
<item stringID="XST_IOS" value="75"/>
</section>
<section stringID="XST_CELL_USAGE">
<item dataType="int" stringID="XST_BELS" value="671">
<item dataType="int" stringID="XST_AND2" value="207"/>
<item dataType="int" stringID="XST_AND3" value="26"/>
<item dataType="int" stringID="XST_BELS" value="659">
<item dataType="int" stringID="XST_AND2" value="205"/>
<item dataType="int" stringID="XST_AND3" value="25"/>
<item dataType="int" stringID="XST_AND4" value="13"/>
<item dataType="int" stringID="XST_GND" value="6"/>
<item dataType="int" stringID="XST_INV" value="257"/>
<item dataType="int" stringID="XST_OR2" value="105"/>
<item dataType="int" stringID="XST_INV" value="250"/>
<item dataType="int" stringID="XST_OR2" value="104"/>
<item dataType="int" stringID="XST_VCC" value="1"/>
<item dataType="int" stringID="XST_XOR2" value="29"/>
</item>
<item dataType="int" stringID="XST_FLIPFLOPSLATCHES" value="111">
<item dataType="int" stringID="XST_FD" value="68"/>
<item dataType="int" stringID="XST_FLIPFLOPSLATCHES" value="110">
<item dataType="int" stringID="XST_FD" value="69"/>
<item dataType="int" stringID="XST_FDC" value="2"/>
<item dataType="int" stringID="XST_FDCE" value="40"/>
<item dataType="int" stringID="XST_FDCE" value="38"/>
<item dataType="int" stringID="XST_FDP" value="1"/>
</item>
<item dataType="int" stringID="XST_IO_BUFFERS" value="70">
@ -139,7 +137,7 @@
</section>
<section stringID="XST_ERRORS_STATISTICS">
<item dataType="int" filtered="0" stringID="XST_NUMBER_OF_ERRORS" value="0"/>
<item dataType="int" filtered="0" stringID="XST_NUMBER_OF_WARNINGS" value="5"/>
<item dataType="int" filtered="0" stringID="XST_NUMBER_OF_WARNINGS" value="8"/>
<item dataType="int" filtered="0" stringID="XST_NUMBER_OF_INFOS" value="0"/>
</section>
</application>

Binary file not shown.

View File

@ -1,176 +0,0 @@
iMPACT Version: 14.7
iMPACT log file Started on Sat Jul 15 23:11:05 2023
Welcome to iMPACT
iMPACT Version: 14.7
// *** BATCH CMD : setMode -bs
// *** BATCH CMD : setMode -ss
// *** BATCH CMD : setMode -sm
// *** BATCH CMD : setMode -hw140
// *** BATCH CMD : setMode -spi
// *** BATCH CMD : setMode -acecf
// *** BATCH CMD : setMode -acempm
// *** BATCH CMD : setMode -pff
// *** BATCH CMD : loadProjectFile -file"C:\Users\GWolf\Documents\GitHub\Warp-SE\cpld\XC95144XL\XC95144XL.ipf"
INFO:iMPACT - Digilent Plugin: Plugin Version: 2.4.4
INFO:iMPACT - Digilent Plugin: Opening device : "SN:210251A08870".
INFO:iMPACT - Digilent Plugin: User Name: JtagSmt2
INFO:iMPACT - Digilent Plugin: Product Name: Digilent JTAG-SMT2
INFO:iMPACT - Digilent Plugin: Serial Number: 210251A08870
INFO:iMPACT - Digilent Plugin: Product ID: 31000154
INFO:iMPACT - Digilent Plugin: Firmware Version: 0108
INFO:iMPACT - Digilent Plugin: JTAG Port Number: 0
INFO:iMPACT - Digilent Plugin: JTAG Clock Frequency: 10000000 Hz
'1': Loading file'C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.jed' ...
done.
INFO:iMPACT:1777 -
Reading C:/Xilinx/14.7/ISE_DS/ISE/xc9500xl/data/xc95144xl.bsd...
INFO:iMPACT:501 - '1': Added Device xc95144xl successfully.
----------------------------------------------------------------------
Active mode is BS
// *** BATCH CMD : setMode -ss
// *** BATCH CMD : setMode -sm
// *** BATCH CMD : setMode -hw140
// *** BATCH CMD : setMode -spi
// *** BATCH CMD : setMode -acecf
// *** BATCH CMD : setMode -acempm
// *** BATCH CMD : setMode -pff
// *** BATCH CMD : setMode -bs
// *** BATCH CMD : cutDevice -p 1
// *** BATCH CMD : addDevice -p 1 -file"C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.jed"
'1': Loading file'C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.jed' ...
done.
INFO:iMPACT:501 - '1': Added Device xc95144xl successfully.
----------------------------------------------------------------------
// *** BATCH CMD : setMode -bs
// *** BATCH CMD : deleteDevice -position 1
// *** BATCH CMD : setMode -bs
// *** BATCH CMD : setMode -ss
// *** BATCH CMD : setMode -sm
// *** BATCH CMD : setMode -hw140
// *** BATCH CMD : setMode -spi
// *** BATCH CMD : setMode -acecf
// *** BATCH CMD : setMode -acempm
// *** BATCH CMD : setMode -pff
Welcome to iMPACT
iMPACT Version: 14.7
// *** BATCH CMD : setMode -bs
// *** BATCH CMD : addDevice -p 1 -file"C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.jed"
'1': Loading file'C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.jed' ...
done.
INFO:iMPACT:501 - '1': Added Device xc95144xl successfully.
----------------------------------------------------------------------
GUI --- Auto connect to cable...
// *** BATCH CMD : setCable -port auto
INFO:iMPACT - Digilent Plugin: Plugin Version: 2.4.4
INFO:iMPACT - Digilent Plugin: found 1 device(s).
INFO:iMPACT - Digilent Plugin: opening device: "JtagSmt2", SN:210251A08870
INFO:iMPACT - Digilent Plugin: User Name: JtagSmt2
INFO:iMPACT - Digilent Plugin: Product Name: Digilent JTAG-SMT2
INFO:iMPACT - Digilent Plugin: Serial Number: 210251A08870
INFO:iMPACT - Digilent Plugin: Product ID: 31000154
INFO:iMPACT - Digilent Plugin: Firmware Version: 0108
INFO:iMPACT - Digilent Plugin: JTAG Port Number: 0
INFO:iMPACT - Digilent Plugin: JTAG Clock Frequency: 10000000 Hz
INFO:iMPACT - Current time: 7/15/2023 11:11:40 PM
// *** BATCH CMD : Program -p 1 -e
Maximum TCK operating frequency for this device chain: 10000000.
Validating chain...
Boundary-scan chain validated successfully.
'1': Erasing device...
PROGRESS_START - Starting Operation.
'1': Erasure completed successfully.
'1': Programming device...
done.
'1': Putting device in ISP mode...done.
'1': Putting device in ISP mode...done.
'1': Programming completed successfully.
PROGRESS_END - End Operation.
Elapsed time = 3 sec.
INFO:iMPACT - Current time: 7/15/2023 11:11:57 PM
// *** BATCH CMD : Verify -p 1
INFO:iMPACT:583 - '1': The idcode read from the device does not match the idcode in the bsdl File.
INFO:iMPACT:1578 - '1': Device IDCODE : 00001111111111111111111111111111
INFO:iMPACT:1579 - '1': Expected IDCODE: 00001001011000001000000010010011
PROGRESS_START - Starting Operation.
PROGRESS_END - End Operation.
Elapsed time = 0 sec.
INFO:iMPACT - Current time: 7/15/2023 11:12:01 PM
// *** BATCH CMD : Verify -p 1
Maximum TCK operating frequency for this device chain: 10000000.
Validating chain...
Boundary-scan chain validated successfully.
'1': Putting device in ISP mode...done.
'1': Putting device in ISP mode...done.
'1': Verifying device...'1': Verification failed'1': Verification terminated
PROGRESS_START - Starting Operation.
PROGRESS_END - End Operation.
Elapsed time = 0 sec.
INFO:iMPACT - Current time: 7/15/2023 11:12:02 PM
// *** BATCH CMD : Verify -p 1
Maximum TCK operating frequency for this device chain: 10000000.
Validating chain...
Boundary-scan chain validated successfully.
'1': Putting device in ISP mode...done.
'1': Putting device in ISP mode...done.
'1': Verifying device...'1': Verification failed'1': Verification terminated
PROGRESS_START - Starting Operation.
PROGRESS_END - End Operation.
Elapsed time = 0 sec.
INFO:iMPACT - Current time: 7/15/2023 11:12:03 PM
// *** BATCH CMD : Verify -p 1
Maximum TCK operating frequency for this device chain: 10000000.
Validating chain...
Boundary-scan chain validated successfully.
'1': Putting device in ISP mode...done.
'1': Putting device in ISP mode...done.
'1': Verifying device...'1': Verification failed'1': Verification terminated
PROGRESS_START - Starting Operation.
PROGRESS_END - End Operation.
Elapsed time = 0 sec.
INFO:iMPACT - Current time: 7/15/2023 11:12:04 PM
// *** BATCH CMD : Erase -p 1
Maximum TCK operating frequency for this device chain: 10000000.
Validating chain...
Boundary-scan chain validated successfully.
'1': Erasing device...
PROGRESS_START - Starting Operation.
'1': Erasure completed successfully.
PROGRESS_END - End Operation.
Elapsed time = 0 sec.
INFO:iMPACT - Current time: 7/15/2023 11:12:07 PM
// *** BATCH CMD : Program -p 1
Maximum TCK operating frequency for this device chain: 10000000.
Validating chain...
Boundary-scan chain validated successfully.
'1': Programming device...
PROGRESS_START - Starting Operation.
done.
'1': Putting device in ISP mode...done.
'1': Putting device in ISP mode...done.
'1': Programming completed successfully.
PROGRESS_END - End Operation.
Elapsed time = 5 sec.
INFO:iMPACT - Current time: 7/15/2023 11:12:13 PM
// *** BATCH CMD : Verify -p 1
Maximum TCK operating frequency for this device chain: 10000000.
Validating chain...
Boundary-scan chain validated successfully.
'1': Putting device in ISP mode...done.
'1': Putting device in ISP mode...done.
'1': Verifying device...'1': Verification failed'1': Verification terminated
PROGRESS_START - Starting Operation.
PROGRESS_END - End Operation.
Elapsed time = 0 sec.
INFO:iMPACT - Current time: 7/15/2023 11:12:14 PM
// *** BATCH CMD : Verify -p 1
Maximum TCK operating frequency for this device chain: 10000000.
Validating chain...
Boundary-scan chain validated successfully.
'1': Putting device in ISP mode...done.
'1': Putting device in ISP mode...done.
'1': Verifying device...'1': Verification failed'1': Verification terminated
PROGRESS_START - Starting Operation.
PROGRESS_END - End Operation.
Elapsed time = 0 sec.

View File

@ -1,2 +1,2 @@
C:\Users\GWolf\Documents\GitHub\Warp-SE\cpld\XC95144XL\WarpSE.ngc 1689477263
C:\Users\Wolf\Documents\GitHub\Warp-SE\cpld\XC95144XL\WarpSE.ngc 1689278573
OK

View File

@ -32,5 +32,10 @@
<msg type="warning" file="Cpld" num="1007" delta="old" >Removing unused input(s) &apos;<arg fmt="%s" index="1">nBG_IOB</arg>&apos;. The input(s) are unused after optimization. Please verify functionality via simulation.
</msg>
<msg type="error" file="Cpld" num="892" delta="new" >Cannot place signal <arg fmt="%s" index="1">iobm/IOS_FSM_FFd4</arg>. Consider reducing the collapsing input limit or the product term limit to prevent the fitter from creating high input and/or high product term functions.
</msg>
<msg type="error" file="Cpld" num="868" delta="new" >Cannot fit the design into any of the specified devices with the selected implementation options.
</msg>
</messages>

View File

@ -8,7 +8,7 @@
<!-- Copyright (c) 1995-2013 Xilinx, Inc. All rights reserved. -->
<messages>
<msg type="info" file="ProjectMgmt" num="1845" ><arg fmt="%s" index="1">Analyzing Verilog file &quot;C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/CNT.v&quot; into library work</arg>
<msg type="info" file="ProjectMgmt" num="1845" ><arg fmt="%s" index="1">Analyzing Verilog file &quot;C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/CNT.v&quot; into library work</arg>
</msg>
</messages>

View File

@ -5,19 +5,28 @@
behavior or data corruption. It is strongly advised that
users do not edit the contents of this file. -->
<messages>
<msg type="warning" file="Xst" num="646" delta="old" >Signal &lt;<arg fmt="%s" index="1">VidRAMCSWR</arg>&gt; is assigned but never used. This unconnected signal will be trimmed during the optimization process.
<msg type="warning" file="Xst" num="646" delta="new" >Signal &lt;<arg fmt="%s" index="1">VidRAMCSWR</arg>&gt; is assigned but never used. This unconnected signal will be trimmed during the optimization process.
</msg>
<msg type="warning" file="Xst" num="647" delta="old" >Input &lt;<arg fmt="%s" index="1">C20MEN</arg>&gt; is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
<msg type="warning" file="Xst" num="647" delta="new" >Input &lt;<arg fmt="%s" index="1">BACTr&lt;3:2&gt;</arg>&gt; is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
</msg>
<msg type="warning" file="Xst" num="647" delta="old" >Input &lt;<arg fmt="%s" index="1">SW</arg>&gt; is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
<msg type="warning" file="Xst" num="647" delta="new" >Input &lt;<arg fmt="%s" index="1">C20MEN</arg>&gt; is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
</msg>
<msg type="warning" file="Xst" num="647" delta="old" >Input &lt;<arg fmt="%s" index="1">nBG_IOB</arg>&gt; is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
<msg type="warning" file="Xst" num="647" delta="new" >Input &lt;<arg fmt="%s" index="1">SW</arg>&gt; is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
</msg>
<msg type="warning" file="Xst" num="1780" delta="old" >Signal &lt;<arg fmt="%s" index="1">SndROMCS</arg>&gt; is never used or assigned. This unconnected signal will be trimmed during the optimization process.
<msg type="warning" file="Xst" num="647" delta="new" >Input &lt;<arg fmt="%s" index="1">nBG_IOB</arg>&gt; is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
</msg>
<msg type="warning" file="Xst" num="1780" delta="new" >Signal &lt;<arg fmt="%s" index="1">SndROMCS</arg>&gt; is never used or assigned. This unconnected signal will be trimmed during the optimization process.
</msg>
<msg type="warning" file="Xst" num="1710" delta="new" >FF/Latch &lt;<arg fmt="%s" index="1">0</arg>&gt; (without init value) has a constant value of <arg fmt="%d" index="2">0</arg> in block &lt;<arg fmt="%s" index="3">0</arg>&gt;. This FF/Latch will be trimmed during the optimization process.
</msg>
<msg type="warning" file="Xst" num="1710" delta="new" >FF/Latch &lt;<arg fmt="%s" index="1">STimer_1</arg>&gt; (without init value) has a constant value of <arg fmt="%d" index="2">0</arg> in block &lt;<arg fmt="%s" index="3">CNT</arg>&gt;. This FF/Latch will be trimmed during the optimization process.
</msg>
</messages>

32
cpld/XC95144XL/errors.xml Normal file
View File

@ -0,0 +1,32 @@
WARNING:Cpld - Unable to retrieve the path to the iSE Project Repository. Will
use the default filename of 'WarpSE.ise'.
INFO:Cpld - Inferring BUFG constraint for signal 'C16M' based upon the LOC
constraint 'P22'. It is recommended that you declare this BUFG explicitedly
in your design. Note that for certain device families the output of a BUFG
constraint can not drive a gated clock, and the BUFG constraint will be
ignored.
INFO:Cpld - Inferring BUFG constraint for signal 'C8M' based upon the LOC
constraint 'P23'. It is recommended that you declare this BUFG explicitedly
in your design. Note that for certain device families the output of a BUFG
constraint can not drive a gated clock, and the BUFG constraint will be
ignored.
INFO:Cpld - Inferring BUFG constraint for signal 'FCLK' based upon the LOC
constraint 'P27'. It is recommended that you declare this BUFG explicitedly
in your design. Note that for certain device families the output of a BUFG
constraint can not drive a gated clock, and the BUFG constraint will be
ignored.
WARNING:Cpld:1007 - Removing unused input(s) 'C20MEN'. The input(s) are unused
after optimization. Please verify functionality via simulation.
WARNING:Cpld:1007 - Removing unused input(s) 'SW<1>'. The input(s) are unused
after optimization. Please verify functionality via simulation.
WARNING:Cpld:1007 - Removing unused input(s) 'SW<2>'. The input(s) are unused
after optimization. Please verify functionality via simulation.
WARNING:Cpld:1007 - Removing unused input(s) 'SW<3>'. The input(s) are unused
after optimization. Please verify functionality via simulation.
WARNING:Cpld:1007 - Removing unused input(s) 'nBG_IOB'. The input(s) are unused
after optimization. Please verify functionality via simulation.
ERROR:Cpld:892 - Cannot place signal iobm/IOS_FSM_FFd4. Consider reducing the
collapsing input limit or the product term limit to prevent the fitter from
creating high input and/or high product term functions.
ERROR:Cpld:868 - Cannot fit the design into any of the specified devices with
the selected implementation options.

View File

@ -1,55 +0,0 @@
<xsl:stylesheet
xmlns:xsl="http://www.w3.org/1999/XSL/Transform"
version="1.0">
<xsl:output method="html"/>
<xsl:template match="/">
<b>
<xsl:text>Current iMPACT Usage Statistics.</xsl:text>
<br></br>
<xsl:text>Copyright (c) 1995-2010 Xilinx, Inc. All rights reserved.</xsl:text>
</b>
<br></br>
<br></br>
<xsl:text>This page displays the current iMPACT device usage statistics that will be sent to Xilinx using WebTalk.</xsl:text>
<table width = "100%" border="1" CELLSPACING="0" cols="50% 50%">
<xsl:for-each select="document/application/section">
<tr>
<th COLSPAN="2" BGCOLOR="#99CCFF"><xsl:value-of select="@name"/></th>
</tr>
<xsl:for-each select="property">
<tr>
<td><xsl:value-of select="@name"/></td>
<td><xsl:value-of select="@value"/></td>
</tr>
</xsl:for-each>
<xsl:for-each select="item">
<tr>
<td COLSPAN="2" BGCOLOR="#FFFF99"><b><xsl:value-of select="@name"/></b></td>
</tr>
<xsl:value-of select="@value"/>
<xsl:for-each select="property">
<tr>
<td><xsl:value-of select="@name"/></td>
<td><xsl:value-of select="@value"/>&#x20;</td>
</tr>
</xsl:for-each>
</xsl:for-each>
</xsl:for-each>
</table>
</xsl:template>
</xsl:stylesheet>
<!--
<xsl:if test="position() != last()"> <h1><xsl:text> </xsl:text></h1></xsl:if>
-->

View File

@ -1,8 +0,0 @@
INTSTYLE=impact
INFILE=C:\Users\GWolf\Documents\GitHub\Warp-SE\cpld\XC95144XL\impact.xsl
OUTFILE=C:\Users\GWolf\Documents\GitHub\Warp-SE\cpld\XC95144XL\impact.xsl
FAMILY=Single
PART=Single
WORKINGDIR=C:\Users\GWolf\Documents\GitHub\Warp-SE\cpld\XC95144XL
LICENSE=iMPACT
USER_INFO=iMPACT

View File

@ -9,13 +9,13 @@
<ClosedNodesVersion>2</ClosedNodesVersion>
</ClosedNodes>
<SelectedItems>
<SelectedItem>WarpSE (C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/WarpSE.v)</SelectedItem>
<SelectedItem>WarpSE (C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE.v)</SelectedItem>
</SelectedItems>
<ScrollbarPosition orientation="vertical" >0</ScrollbarPosition>
<ScrollbarPosition orientation="horizontal" >0</ScrollbarPosition>
<ViewHeaderState orientation="horizontal" >000000ff000000000000000100000001000000000000000000000000000000000202000000010000000100000064000000d2000000020000000000000000000000000200000064ffffffff000000810000000300000002000000d20000000100000003000000000000000100000003</ViewHeaderState>
<UserChangedColumnWidths orientation="horizontal" >true</UserChangedColumnWidths>
<CurrentItem>WarpSE (C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/WarpSE.v)</CurrentItem>
<CurrentItem>WarpSE (C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE.v)</CurrentItem>
</ItemView>
<ItemView engineview="SynthesisOnly" sourcetype="" guiview="Process" >
<ClosedNodes>
@ -68,7 +68,7 @@
</SelectedItems>
<ScrollbarPosition orientation="vertical" >0</ScrollbarPosition>
<ScrollbarPosition orientation="horizontal" >0</ScrollbarPosition>
<ViewHeaderState orientation="horizontal" >000000ff000000000000000100000001000000000000000000000000000000000000000000000000e7000000010000000100000000000000000000000064ffffffff000000810000000000000001000000e70000000100000000</ViewHeaderState>
<ViewHeaderState orientation="horizontal" >000000ff000000000000000100000001000000000000000000000000000000000000000000000000f8000000010000000100000000000000000000000064ffffffff000000810000000000000001000000f80000000100000000</ViewHeaderState>
<UserChangedColumnWidths orientation="horizontal" >false</UserChangedColumnWidths>
<CurrentItem></CurrentItem>
</ItemView>

View File

@ -1,11 +1,11 @@
<?xml version='1.0' encoding='UTF-8'?>
<report-views version="2.0" >
<header>
<DateModified>2023-07-15T22:57:08</DateModified>
<DateModified>2023-07-13T15:51:01</DateModified>
<ModuleName>WarpSE</ModuleName>
<SummaryTimeStamp>2023-07-15T22:47:28</SummaryTimeStamp>
<SavedFilePath>C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/iseconfig/WarpSE.xreport</SavedFilePath>
<ImplementationReportsDirectory>C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/XC95144XL\</ImplementationReportsDirectory>
<SummaryTimeStamp>2023-04-10T19:23:46</SummaryTimeStamp>
<SavedFilePath>C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/iseconfig/WarpSE.xreport</SavedFilePath>
<ImplementationReportsDirectory>C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL\</ImplementationReportsDirectory>
<DateInitialized>2023-04-07T01:51:28</DateInitialized>
<EnableMessageFiltering>false</EnableMessageFiltering>
</header>

View File

@ -0,0 +1,32 @@
WARNING:Cpld - Unable to retrieve the path to the iSE Project Repository. Will
use the default filename of 'WarpSE.ise'.
INFO:Cpld - Inferring BUFG constraint for signal 'C16M' based upon the LOC
constraint 'P22'. It is recommended that you declare this BUFG explicitedly
in your design. Note that for certain device families the output of a BUFG
constraint can not drive a gated clock, and the BUFG constraint will be
ignored.
INFO:Cpld - Inferring BUFG constraint for signal 'C8M' based upon the LOC
constraint 'P23'. It is recommended that you declare this BUFG explicitedly
in your design. Note that for certain device families the output of a BUFG
constraint can not drive a gated clock, and the BUFG constraint will be
ignored.
INFO:Cpld - Inferring BUFG constraint for signal 'FCLK' based upon the LOC
constraint 'P27'. It is recommended that you declare this BUFG explicitedly
in your design. Note that for certain device families the output of a BUFG
constraint can not drive a gated clock, and the BUFG constraint will be
ignored.
WARNING:Cpld:1007 - Removing unused input(s) 'C20MEN'. The input(s) are unused
after optimization. Please verify functionality via simulation.
WARNING:Cpld:1007 - Removing unused input(s) 'SW<1>'. The input(s) are unused
after optimization. Please verify functionality via simulation.
WARNING:Cpld:1007 - Removing unused input(s) 'SW<2>'. The input(s) are unused
after optimization. Please verify functionality via simulation.
WARNING:Cpld:1007 - Removing unused input(s) 'SW<3>'. The input(s) are unused
after optimization. Please verify functionality via simulation.
WARNING:Cpld:1007 - Removing unused input(s) 'nBG_IOB'. The input(s) are unused
after optimization. Please verify functionality via simulation.
ERROR:Cpld:892 - Cannot place signal iobm/IOS_FSM_FFd4. Consider reducing the
collapsing input limit or the product term limit to prevent the fitter from
creating high input and/or high product term functions.
ERROR:Cpld:868 - Cannot fit the design into any of the specified devices with
the selected implementation options.

View File

@ -1,71 +0,0 @@
<HTML><HEAD><TITLE>Device Usage Statistics Report</TITLE></HEAD>
<BODY TEXT='#000000' BGCOLOR='#FFFFFF' LINK='#0000EE' VLINK='#551A8B' ALINK='#FF0000'>
<H3>Device Usage Page (usage_statistics_webtalk.html)</H3>This HTML page displays the device usage statistics that will be sent to Xilinx.<BR>&nbsp;<BR><HR>&nbsp;<BR>
<TABLE BORDER CELLSPACING=0 WIDTH='100%'>
<TR ALIGN=CENTER BGCOLOR='#99CCFF'><TD COLSPAN='4'><B>Software Version and Target Device</B></TD></TR>
<TR ALIGN=LEFT>
<TD BGCOLOR='#FFFF99'><B>Product Version:</B></TD>
<TD><xtag-property name="ProductVersion">ISE:14.7</xtag-property><xtag-property name="ProductConfiguration"> (iMPACT)</xtag-property><xtag-property name="BuildVersion"> - P.20131013</xtag-property></TD>
<TD BGCOLOR='#FFFF99'><B>Target Family:</B></TD>
<TD><xtag-property name="TargetFamily">Single</xtag-property></TD>
</TR>
<TR ALIGN=LEFT>
<TD BGCOLOR='#FFFF99'><B>OS Platform:</B></TD>
<TD><xtag-property name="OSPlatform">NT64</xtag-property></TD>
<TD BGCOLOR='#FFFF99'><B>Target Device:</B></TD>
<TD><xtag-property name="TargetDevice">Single</xtag-property></TD>
</TR>
<TR ALIGN=LEFT>
<TD BGCOLOR='#FFFF99'><B>Project ID (random number)</B></TD>
<TD><xtag-property name="RandomID">b3a7c111c3094ca7bbfba225dd37199f</xtag-property>.<xtag-property name="ProjectID">4e36b7dcff8a4b288d59f8a1c6a7d065</xtag-property>.<xtag-property name="ProjectIteration">1</xtag-property></TD>
<TD BGCOLOR='#FFFF99'><B>Target Package:</B></TD>
<TD><xtag-property name="TargetPackage"></xtag-property></TD>
</TR>
<TR ALIGN=LEFT>
<TD BGCOLOR='#FFFF99'><B>Registration ID</B></TD>
<TD><xtag-property name="RegistrationID">iMPACT</xtag-property></TD>
<TD BGCOLOR='#FFFF99'><B>Target Speed:</B></TD>
<TD><xtag-property name="TargetSpeed"></xtag-property></TD>
</TR>
<TR ALIGN=LEFT>
<TD BGCOLOR='#FFFF99'><B>Date Generated</B></TD>
<TD><xtag-property name="Date Generated">2023-07-15T23:10:55</xtag-property></TD>
<TD BGCOLOR='#FFFF99'><B>Tool Flow</B></TD>
<TD><xtag-property name="ToolFlow">IMPACT</xtag-property></TD>
</TR>
</TABLE>
&nbsp;<BR><TABLE BORDER CELLSPACING=0 WIDTH='100%'>
<xtag-section name="iMPACT Boundary Scan Mode">
<TR ALIGN=CENTER BGCOLOR='#99CCFF'><TD COLSPAN=4><B>iMPACT Boundary Scan Mode</B></TD></TR>
<TR ALIGN=CENTER><TD COLSPAN=4><B>Chain Summary</B></TD></TR>
<TR>
<TD><xtag-property-name>Number of device</xtag-property-name>=<xtag-property-value>1</xtag-property-value></TD>
<TD><xtag-property-name>Number of Xilinx device</xtag-property-name>=<xtag-property-value>1</xtag-property-value></TD>
<TD><xtag-property-name>Number of Non-Xilinx device</xtag-property-name>=<xtag-property-value>0</xtag-property-value></TD>
<TR ALIGN=CENTER><TD COLSPAN=4><B>Chain Description</B></TD></TR>
<TR>
<TD><xtag-property-name>Device1</xtag-property-name>=<xtag-property-value>xc9500xl</xtag-property-value></TD>
<TD><xtag-property-name>Part1</xtag-property-name>=<xtag-property-value>xc95144xl</xtag-property-value></TD>
<TR ALIGN=CENTER><TD COLSPAN=4><B>Boundary Scan Operations Statistics</B></TD></TR>
<TR>
<TR ALIGN=CENTER><TD COLSPAN=4><B>Cable Summary</B></TD></TR>
<TR>
<TD><xtag-property-name>Cable Type</xtag-property-name>=<xtag-property-value>Digilent JTAG-SMT2</xtag-property-value></TD>
<TD><xtag-property-name>Cable Speed</xtag-property-name>=<xtag-property-value>10000000</xtag-property-value></TD>
<TD><xtag-property-name>Port</xtag-property-name>=<xtag-property-value>Plugin Cable</xtag-property-value></TD>
<TD><xtag-property-name>Local_Server_Mode</xtag-property-name>=<xtag-property-value>Local</xtag-property-value></TD>
<TR>
</TR></xtag-section></TABLE>
&nbsp;<BR><TABLE BORDER CELLSPACING=0 WIDTH='100%'>
<xtag-section name="iMPACT Project Info">
<TR ALIGN=CENTER BGCOLOR='#99CCFF'><TD COLSPAN=2><B>iMPACT Project Info</B></TD></TR>
<TR>
<TD><xtag-property-name>Use Project File</xtag-property-name>=<xtag-property-value>Yes</xtag-property-value></TD>
<TD><xtag-property-name>Project Entry</xtag-property-name>=<xtag-property-value>ise</xtag-property-value></TD>
</TR><TR><TD><xtag-property-name>OS Name</xtag-property-name>=<xtag-property-value>Microsoft Windows 7 (64 Bit)</xtag-property-value></TD>
<TD><xtag-property-name>User Lic. Info</xtag-property-name>=<xtag-property-value>212767203_0_0_530</xtag-property-value></TD>
</TR></xtag-section></TABLE>
</BODY></HTML>

View File

@ -1,16 +0,0 @@
Release 14.7 - WebTalk (P.20131013)
Copyright (c) 1995-2010 Xilinx, Inc. All rights reserved.
Project Information
--------------------
ProjectID=4e36b7dcff8a4b288d59f8a1c6a7d065
ProjectIteration=1
WebTalk Summary
----------------
INFO:WebTalk:2 - WebTalk is enabled.
INFO:WebTalk:8 - WebTalk Install setting is ON.
INFO:WebTalk:6 - WebTalk User setting is ON.
INFO:WebTalk:5 - C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/usage_statistics_webtalk.html WebTalk report has not been sent to Xilinx. Please check your network and proxy settings. For additional details about this file, please refer to the WebTalk help file at C:/Xilinx/14.7/ISE_DS/ISE/data/reports/webtalk_introduction.html

View File

@ -1,37 +0,0 @@
<?xml version="1.0" encoding="UTF-8" ?>
<document>
<!--The data in this file is primarily intended for consumption by Xilinx tools.
The structure and the elements are likely to change over the next few releases.
This means code written to parse this file will need to be revisited each subsequent release.-->
<application name="impact" timeStamp="Sat Jul 15 23:10:54 2023">
<section name="Project Information" visible="false">
<property name="ProjectID" value="4e36b7dcff8a4b288d59f8a1c6a7d065"/>
<property name="ProjectIteration" value="1"/>
</section>
<section name="iMPACT Project Info" visible="true">
<property name="Use Project File" value="Yes"/>
<property name="Project Entry" value="ise"/>
<property name="OS Name" value="Microsoft Windows 7 (64 Bit)"/>
<property name="User Lic. Info" value="212767203_0_0_530"/>
</section>
<section name="iMPACT Boundary Scan Mode" visible="true">
<item name="Chain Summary">
<property name="Number of device" value="1"/>
<property name="Number of Xilinx device" value="1"/>
<property name="Number of Non-Xilinx device" value="0"/>
</item>
<item name="Chain Description">
<property name="Device1" value="xc9500xl"/>
<property name="Part1" value="xc95144xl"/>
</item>
<item name="Boundary Scan Operations Statistics">
</item>
<item name="Cable Summary">
<property name="Cable Type" value="Digilent JTAG-SMT2"/>
<property name="Cable Speed" value="10000000"/>
<property name="Port" value="Plugin Cable"/>
<property name="Local_Server_Mode" value="Local"/>
</item>
</section>
</application>
</document>

View File

@ -3,15 +3,16 @@
<!--The data in this file is primarily intended for consumption by Xilinx tools.
The structure and the elements are likely to change over the next few releases.
This means code written to parse this file will need to be revisited each subsequent release.-->
<application name="pn" timeStamp="Sat Jul 15 23:14:17 2023">
<application name="pn" timeStamp="Thu Jul 13 16:02:47 2023">
<section name="Project Information" visible="false">
<property name="ProjectID" value="7132971001B64D51887D7F260ADC77C3" type="project"/>
<property name="ProjectIteration" value="0" type="project"/>
<property name="ProjectFile" value="C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xise" type="project"/>
<property name="ProjectFile" value="C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xise" type="project"/>
<property name="ProjectCreationTimestamp" value="2023-04-07T01:50:52" type="project"/>
</section>
<section name="Project Statistics" visible="true">
<property name="PROP_Enable_Message_Filtering" value="false" type="design"/>
<property name="PROP_FitterReportFormat" value="HTML" type="process"/>
<property name="PROP_LastAppliedGoal" value="Balanced" type="design"/>
<property name="PROP_LastAppliedStrategy" value="Xilinx Default (unlocked)" type="design"/>
<property name="PROP_ManualCompileOrderImp" value="false" type="design"/>
@ -20,6 +21,7 @@ This means code written to parse this file will need to be revisited each subseq
<property name="PROP_SynthTopFile" value="changed" type="process"/>
<property name="PROP_Top_Level_Module_Type" value="HDL" type="design"/>
<property name="PROP_UseSmartGuide" value="false" type="design"/>
<property name="PROP_UserConstraintEditorPreference" value="Text Editor" type="process"/>
<property name="PROP_intProjectCreationTimestamp" value="2023-04-07T01:50:52" type="design"/>
<property name="PROP_intWbtProjectID" value="7132971001B64D51887D7F260ADC77C3" type="design"/>
<property name="PROP_intWorkingDirLocWRTProjDir" value="Same" type="design"/>

View File

@ -1,7 +1,7 @@
MO CNT NULL ../CNT.v vlg65/_c_n_t.bin 1689477258
MO CS NULL ../CS.v vlg22/_c_s.bin 1689477258
MO FSB NULL ../FSB.v vlg37/_f_s_b.bin 1689477258
MO IOBM NULL ../IOBM.v vlg73/_i_o_b_m.bin 1689477258
MO WarpSE NULL ../WarpSE.v vlg52/_warp_s_e.bin 1689477258
MO IOBS NULL ../IOBS.v vlg79/_i_o_b_s.bin 1689477258
MO RAM NULL ../RAM.v vlg14/_r_a_m.bin 1689477258
MO CNT NULL ../CNT.v vlg65/_c_n_t.bin 1689278568
MO CS NULL ../CS.v vlg22/_c_s.bin 1689278568
MO FSB NULL ../FSB.v vlg37/_f_s_b.bin 1689278568
MO IOBM NULL ../IOBM.v vlg73/_i_o_b_m.bin 1689278568
MO WarpSE NULL ../WarpSE.v vlg52/_warp_s_e.bin 1689278568
MO IOBS NULL ../IOBS.v vlg79/_i_o_b_s.bin 1689278568
MO RAM NULL ../RAM.v vlg14/_r_a_m.bin 1689278568