This commit is contained in:
Zane Kaminski 2022-01-31 20:17:29 -05:00
commit 519d068b7f
161 changed files with 46121 additions and 35332 deletions

View File

@ -18,13 +18,16 @@ module CNT(
end end
/* Timeout signals */ /* Timeout signals */
reg TimeoutBPre;
always @(posedge FCLK) begin always @(posedge FCLK) begin
if (~CACT) begin if (~CACT) begin
TimeoutA <= 0; TimeoutA <= 0;
TimeoutBPre <= 0;
TimeoutB <= 0; TimeoutB <= 0;
end else begin end else begin
if (RefCnt==0) TimeoutA <= 1; if (RefCnt[6:0]==0) TimeoutA <= 1;
if (RefCnt==0 && TimeoutA) TimeoutB <= 1; if (RefCnt==0) TimeoutBPre <= 1;
if (RefCnt==0 && TimeoutBPre) TimeoutB <= 1;
end end
end end

View File

@ -72,6 +72,7 @@ module IOBM(
IOS <= 2; IOS <= 2;
IOACT <= 1; IOACT <= 1;
ALE0 <= 1; ALE0 <= 1;
IOBERR <= 0;
end else if (IOS==2) begin end else if (IOS==2) begin
IOS <= 3; IOS <= 3;
IOACT <= 1; IOACT <= 1;

Binary file not shown.

Binary file not shown.

View File

@ -2,16 +2,16 @@ Release 14.7 ngdbuild P.20131013 (nt)
Copyright (c) 1995-2013 Xilinx, Inc. All rights reserved. Copyright (c) 1995-2013 Xilinx, Inc. All rights reserved.
Command Line: C:\Xilinx\14.7\ISE_DS\ISE\bin\nt\unwrapped\ngdbuild.exe -intstyle Command Line: C:\Xilinx\14.7\ISE_DS\ISE\bin\nt\unwrapped\ngdbuild.exe -intstyle
ise -dd _ngo -uc C:/Users/zanek/Documents/GitHub/SE-030/cpld/MXSE.ucf -p ise -dd _ngo -uc C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/MXSE.ucf -p
xc95144xl-TQ100-10 MXSE.ngc MXSE.ngd xc95144xl-TQ100-10 MXSE.ngc MXSE.ngd
Reading NGO file Reading NGO file
"C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.ngc" ... "C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/XC95144XL/MXSE.ngc" ...
Gathering constraint information from source properties... Gathering constraint information from source properties...
Done. Done.
Annotating constraints to design from ucf file Annotating constraints to design from ucf file
"C:/Users/zanek/Documents/GitHub/SE-030/cpld/MXSE.ucf" ... "C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/MXSE.ucf" ...
Resolving constraint associations... Resolving constraint associations...
Checking Constraint Associations... Checking Constraint Associations...
Done... Done...
@ -29,7 +29,7 @@ NGDBUILD Design Results Summary:
Number of errors: 0 Number of errors: 0
Number of warnings: 0 Number of warnings: 0
Total memory usage is 130168 kilobytes Total memory usage is 130604 kilobytes
Writing NGD file "MXSE.ngd" ... Writing NGD file "MXSE.ngd" ...
Total REAL time to NGDBUILD completion: 1 sec Total REAL time to NGDBUILD completion: 1 sec

View File

@ -157,3 +157,10 @@ ngdbuild -intstyle ise -dd _ngo -uc C:/Users/zanek/Documents/GitHub/SE-030/cpld/
xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.syr" xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.syr"
ngdbuild -intstyle ise -dd _ngo -uc C:/Users/zanek/Documents/GitHub/SE-030/cpld/MXSE.ucf -p xc95144xl-TQ100-10 MXSE.ngc MXSE.ngd ngdbuild -intstyle ise -dd _ngo -uc C:/Users/zanek/Documents/GitHub/SE-030/cpld/MXSE.ucf -p xc95144xl-TQ100-10 MXSE.ngc MXSE.ngd
cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper MXSE.ngd cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper MXSE.ngd
xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/XC95144XL/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/XC95144XL/MXSE.syr"
ngdbuild -intstyle ise -dd _ngo -uc C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/MXSE.ucf -p xc95144xl-TQ100-10 MXSE.ngc MXSE.ngd
cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper MXSE.ngd
XSLTProcess MXSE_build.xml
tsim -intstyle ise MXSE MXSE.nga
taengine -intstyle ise -f MXSE -w --format html1 -l MXSE_html/tim/timing_report.htm
hprep6 -s IEEE1149 -n MXSE -i MXSE

View File

@ -22,6 +22,7 @@
<sourceproject xmlns="http://www.xilinx.com/XMLSchema" xil_pn:fileType="FILE_XISE" xil_pn:name="MXSE.xise"/> <sourceproject xmlns="http://www.xilinx.com/XMLSchema" xil_pn:fileType="FILE_XISE" xil_pn:name="MXSE.xise"/>
<files xmlns="http://www.xilinx.com/XMLSchema"> <files xmlns="http://www.xilinx.com/XMLSchema">
<file xil_pn:branch="BehavioralSim" xil_pn:fileType="FILE_ISIM_EXE" xil_pn:name="IOBM_isim_beh.exe"/>
<file xil_pn:branch="Implementation" xil_pn:fileType="FILE_NGDBUILD_LOG" xil_pn:name="MXSE.bld"/> <file xil_pn:branch="Implementation" xil_pn:fileType="FILE_NGDBUILD_LOG" xil_pn:name="MXSE.bld"/>
<file xil_pn:fileType="FILE_CMD_LOG" xil_pn:name="MXSE.cmd_log"/> <file xil_pn:fileType="FILE_CMD_LOG" xil_pn:name="MXSE.cmd_log"/>
<file xil_pn:branch="Implementation" xil_pn:fileType="FILE_GYD" xil_pn:name="MXSE.gyd"/> <file xil_pn:branch="Implementation" xil_pn:fileType="FILE_GYD" xil_pn:name="MXSE.gyd"/>
@ -32,6 +33,7 @@
<file xil_pn:branch="Implementation" xil_pn:fileType="FILE_NGC" xil_pn:name="MXSE.ngc"/> <file xil_pn:branch="Implementation" xil_pn:fileType="FILE_NGC" xil_pn:name="MXSE.ngc"/>
<file xil_pn:branch="Implementation" xil_pn:fileType="FILE_NGD" xil_pn:name="MXSE.ngd"/> <file xil_pn:branch="Implementation" xil_pn:fileType="FILE_NGD" xil_pn:name="MXSE.ngd"/>
<file xil_pn:branch="Implementation" xil_pn:fileType="FILE_NGR" xil_pn:name="MXSE.ngr"/> <file xil_pn:branch="Implementation" xil_pn:fileType="FILE_NGR" xil_pn:name="MXSE.ngr"/>
<file xil_pn:fileType="FILE_PAD_MISC" xil_pn:name="MXSE.pad"/>
<file xil_pn:branch="Implementation" xil_pn:fileType="FILE_PNX" xil_pn:name="MXSE.pnx"/> <file xil_pn:branch="Implementation" xil_pn:fileType="FILE_PNX" xil_pn:name="MXSE.pnx"/>
<file xil_pn:branch="Implementation" xil_pn:fileType="FILE_XST_PROJECT" xil_pn:name="MXSE.prj"/> <file xil_pn:branch="Implementation" xil_pn:fileType="FILE_XST_PROJECT" xil_pn:name="MXSE.prj"/>
<file xil_pn:fileType="FILE_FITTER_REPORT" xil_pn:name="MXSE.rpt"/> <file xil_pn:fileType="FILE_FITTER_REPORT" xil_pn:name="MXSE.rpt"/>
@ -40,10 +42,13 @@
<file xil_pn:fileType="FILE_FITTER_REPORT" xil_pn:name="MXSE.tim"/> <file xil_pn:fileType="FILE_FITTER_REPORT" xil_pn:name="MXSE.tim"/>
<file xil_pn:fileType="FILE_FITTER_REPORT" xil_pn:name="MXSE.tspec"/> <file xil_pn:fileType="FILE_FITTER_REPORT" xil_pn:name="MXSE.tspec"/>
<file xil_pn:branch="Implementation" xil_pn:fileType="FILE_VM6" xil_pn:name="MXSE.vm6"/> <file xil_pn:branch="Implementation" xil_pn:fileType="FILE_VM6" xil_pn:name="MXSE.vm6"/>
<file xil_pn:fileType="FILE_FITTER_REPORT" xil_pn:name="MXSE.xml"/>
<file xil_pn:branch="Implementation" xil_pn:fileType="FILE_XST" xil_pn:name="MXSE.xst"/> <file xil_pn:branch="Implementation" xil_pn:fileType="FILE_XST" xil_pn:name="MXSE.xst"/>
<file xil_pn:fileType="FILE_FITTER_REPORT" xil_pn:name="MXSE_build.xml"/>
<file xil_pn:fileType="FILE_HTML" xil_pn:name="MXSE_envsettings.html"/> <file xil_pn:fileType="FILE_HTML" xil_pn:name="MXSE_envsettings.html"/>
<file xil_pn:fileType="FILE_DIRECTORY" xil_pn:name="MXSE_html"/> <file xil_pn:fileType="FILE_DIRECTORY" xil_pn:name="MXSE_html"/>
<file xil_pn:fileType="FILE_XRPT" xil_pn:name="MXSE_ngdbuild.xrpt"/> <file xil_pn:fileType="FILE_XRPT" xil_pn:name="MXSE_ngdbuild.xrpt"/>
<file xil_pn:fileType="FILE_PAD_EXCEL_REPORT" xil_pn:name="MXSE_pad.csv"/>
<file xil_pn:fileType="FILE_HTML" xil_pn:name="MXSE_summary.html"/> <file xil_pn:fileType="FILE_HTML" xil_pn:name="MXSE_summary.html"/>
<file xil_pn:fileType="FILE_XRPT" xil_pn:name="MXSE_xst.xrpt"/> <file xil_pn:fileType="FILE_XRPT" xil_pn:name="MXSE_xst.xrpt"/>
<file xil_pn:branch="BehavioralSim" xil_pn:fileType="FILE_ISIM_EXE" xil_pn:name="RAM_isim_beh.exe"/> <file xil_pn:branch="BehavioralSim" xil_pn:fileType="FILE_ISIM_EXE" xil_pn:name="RAM_isim_beh.exe"/>
@ -60,9 +65,16 @@
<file xil_pn:branch="BehavioralSim" xil_pn:fileType="FILE_ISIM_EXE" xil_pn:name="t_cs_isim_beh.exe"/> <file xil_pn:branch="BehavioralSim" xil_pn:fileType="FILE_ISIM_EXE" xil_pn:name="t_cs_isim_beh.exe"/>
<file xil_pn:fileType="FILE_ISIM_MISC" xil_pn:name="t_cs_isim_beh.wdb"/> <file xil_pn:fileType="FILE_ISIM_MISC" xil_pn:name="t_cs_isim_beh.wdb"/>
<file xil_pn:fileType="FILE_XST_PROJECT" xil_pn:name="t_cs_stx_beh.prj"/> <file xil_pn:fileType="FILE_XST_PROJECT" xil_pn:name="t_cs_stx_beh.prj"/>
<file xil_pn:fileType="FILE_XST_PROJECT" xil_pn:name="t_fsb_beh.prj"/>
<file xil_pn:fileType="FILE_XST_PROJECT" xil_pn:name="t_fsb_dtack_beh.prj"/> <file xil_pn:fileType="FILE_XST_PROJECT" xil_pn:name="t_fsb_dtack_beh.prj"/>
<file xil_pn:branch="BehavioralSim" xil_pn:fileType="FILE_ISIM_EXE" xil_pn:name="t_fsb_dtack_isim_beh.exe"/> <file xil_pn:branch="BehavioralSim" xil_pn:fileType="FILE_ISIM_EXE" xil_pn:name="t_fsb_dtack_isim_beh.exe"/>
<file xil_pn:fileType="FILE_ISIM_MISC" xil_pn:name="t_fsb_dtack_isim_beh.wdb"/> <file xil_pn:fileType="FILE_ISIM_MISC" xil_pn:name="t_fsb_dtack_isim_beh.wdb"/>
<file xil_pn:branch="BehavioralSim" xil_pn:fileType="FILE_ISIM_EXE" xil_pn:name="t_fsb_isim_beh.exe"/>
<file xil_pn:fileType="FILE_ISIM_MISC" xil_pn:name="t_fsb_isim_beh.wdb"/>
<file xil_pn:branch="BehavioralSim" xil_pn:fileType="FILE_ISIM_EXE" xil_pn:name="t_iobm_isim_beh.exe"/>
<file xil_pn:fileType="FILE_XST_PROJECT" xil_pn:name="t_iobm_stx_beh.prj"/>
<file xil_pn:branch="BehavioralSim" xil_pn:fileType="FILE_ISIM_EXE" xil_pn:name="t_ram_isim_beh.exe"/>
<file xil_pn:fileType="FILE_XST_PROJECT" xil_pn:name="t_ram_stx_beh.prj"/>
<file xil_pn:fileType="FILE_XST_PROJECT" xil_pn:name="test_fsb_beh.prj"/> <file xil_pn:fileType="FILE_XST_PROJECT" xil_pn:name="test_fsb_beh.prj"/>
<file xil_pn:branch="BehavioralSim" xil_pn:fileType="FILE_ISIM_EXE" xil_pn:name="test_fsb_isim_beh.exe"/> <file xil_pn:branch="BehavioralSim" xil_pn:fileType="FILE_ISIM_EXE" xil_pn:name="test_fsb_isim_beh.exe"/>
<file xil_pn:fileType="FILE_ISIM_MISC" xil_pn:name="test_fsb_isim_beh.wdb"/> <file xil_pn:fileType="FILE_ISIM_MISC" xil_pn:name="test_fsb_isim_beh.wdb"/>
@ -81,13 +93,9 @@
<status xil_pn:value="SuccessfullyRun"/> <status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="ReadyToRun"/> <status xil_pn:value="ReadyToRun"/>
</transform> </transform>
<transform xil_pn:end_ts="1635057466" xil_pn:in_ck="3042733603124830897" xil_pn:name="TRAN_copyAbstractToPostAbstractSimulation" xil_pn:start_ts="1635057466"> <transform xil_pn:end_ts="1639229305" xil_pn:in_ck="-7062754229109684715" xil_pn:name="TRAN_copyAbstractToPostAbstractSimulation" xil_pn:start_ts="1639229305">
<status xil_pn:value="SuccessfullyRun"/> <status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="ReadyToRun"/> <status xil_pn:value="ReadyToRun"/>
<status xil_pn:value="OutOfDateForInputs"/>
<status xil_pn:value="OutOfDateForOutputs"/>
<status xil_pn:value="InputChanged"/>
<status xil_pn:value="OutputChanged"/>
<outfile xil_pn:name="../CNT.v"/> <outfile xil_pn:name="../CNT.v"/>
<outfile xil_pn:name="../CS.v"/> <outfile xil_pn:name="../CS.v"/>
<outfile xil_pn:name="../FSB.v"/> <outfile xil_pn:name="../FSB.v"/>
@ -95,23 +103,17 @@
<outfile xil_pn:name="../IOBS.v"/> <outfile xil_pn:name="../IOBS.v"/>
<outfile xil_pn:name="../MXSE.v"/> <outfile xil_pn:name="../MXSE.v"/>
<outfile xil_pn:name="../RAM.v"/> <outfile xil_pn:name="../RAM.v"/>
<outfile xil_pn:name="../test/t_cnt.v"/> <outfile xil_pn:name="t_ram.v"/>
<outfile xil_pn:name="../test/t_cs.v"/> <outfile xil_pn:name="test/t_fsb.v"/>
<outfile xil_pn:name="../test/t_fsb_dtack.v"/> <outfile xil_pn:name="test/t_iobm.v"/>
<outfile xil_pn:name="../test/t_fsb_vpa.v"/>
</transform> </transform>
<transform xil_pn:end_ts="1635057466" xil_pn:name="TRAN_schematicsToHdlSim" xil_pn:prop_ck="7649031708544547376" xil_pn:start_ts="1635057466"> <transform xil_pn:end_ts="1639229356" xil_pn:name="TRAN_schematicsToHdlSim" xil_pn:prop_ck="-7333290588588051467" xil_pn:start_ts="1639229356">
<status xil_pn:value="SuccessfullyRun"/> <status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="ReadyToRun"/> <status xil_pn:value="ReadyToRun"/>
</transform> </transform>
<transform xil_pn:end_ts="1635057466" xil_pn:in_ck="3042733603124830897" xil_pn:name="TRAN_copyPostAbstractToPreSimulation" xil_pn:start_ts="1635057466"> <transform xil_pn:end_ts="1639229305" xil_pn:in_ck="-7062754229109684715" xil_pn:name="TRAN_copyPostAbstractToPreSimulation" xil_pn:start_ts="1639229305">
<status xil_pn:value="SuccessfullyRun"/> <status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="ReadyToRun"/> <status xil_pn:value="ReadyToRun"/>
<status xil_pn:value="OutOfDateForInputs"/>
<status xil_pn:value="OutOfDateForPredecessor"/>
<status xil_pn:value="OutOfDateForOutputs"/>
<status xil_pn:value="InputChanged"/>
<status xil_pn:value="OutputChanged"/>
<outfile xil_pn:name="../CNT.v"/> <outfile xil_pn:name="../CNT.v"/>
<outfile xil_pn:name="../CS.v"/> <outfile xil_pn:name="../CS.v"/>
<outfile xil_pn:name="../FSB.v"/> <outfile xil_pn:name="../FSB.v"/>
@ -119,35 +121,33 @@
<outfile xil_pn:name="../IOBS.v"/> <outfile xil_pn:name="../IOBS.v"/>
<outfile xil_pn:name="../MXSE.v"/> <outfile xil_pn:name="../MXSE.v"/>
<outfile xil_pn:name="../RAM.v"/> <outfile xil_pn:name="../RAM.v"/>
<outfile xil_pn:name="../test/t_cnt.v"/> <outfile xil_pn:name="t_ram.v"/>
<outfile xil_pn:name="../test/t_cs.v"/> <outfile xil_pn:name="test/t_fsb.v"/>
<outfile xil_pn:name="../test/t_fsb_dtack.v"/> <outfile xil_pn:name="test/t_iobm.v"/>
<outfile xil_pn:name="../test/t_fsb_vpa.v"/>
</transform> </transform>
<transform xil_pn:end_ts="1635057469" xil_pn:in_ck="3042733603124830897" xil_pn:name="TRAN_ISimulateBehavioralModelRunFuse" xil_pn:prop_ck="2788949017325677469" xil_pn:start_ts="1635057466"> <transform xil_pn:end_ts="1639229358" xil_pn:in_ck="-7062754229109684715" xil_pn:name="TRAN_ISimulateBehavioralModelRunFuse" xil_pn:prop_ck="-5794363404699255993" xil_pn:start_ts="1639229356">
<status xil_pn:value="SuccessfullyRun"/> <status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="ReadyToRun"/> <status xil_pn:value="ReadyToRun"/>
<status xil_pn:value="OutOfDateForInputs"/> <status xil_pn:value="OutOfDateForProperties"/>
<status xil_pn:value="OutOfDateForPredecessor"/>
<status xil_pn:value="OutOfDateForOutputs"/> <status xil_pn:value="OutOfDateForOutputs"/>
<status xil_pn:value="InputChanged"/>
<status xil_pn:value="OutputChanged"/> <status xil_pn:value="OutputChanged"/>
<outfile xil_pn:name="fuse.log"/> <outfile xil_pn:name="fuse.log"/>
<outfile xil_pn:name="isim"/> <outfile xil_pn:name="isim"/>
<outfile xil_pn:name="isim.log"/> <outfile xil_pn:name="isim.log"/>
<outfile xil_pn:name="t_cs_beh.prj"/> <outfile xil_pn:name="t_fsb_beh.prj"/>
<outfile xil_pn:name="t_cs_isim_beh.exe"/> <outfile xil_pn:name="t_fsb_isim_beh.exe"/>
<outfile xil_pn:name="xilinxsim.ini"/> <outfile xil_pn:name="xilinxsim.ini"/>
</transform> </transform>
<transform xil_pn:end_ts="1635057469" xil_pn:in_ck="-5203525758811805332" xil_pn:name="TRAN_ISimulateBehavioralModel" xil_pn:prop_ck="-2595013534318469184" xil_pn:start_ts="1635057469"> <transform xil_pn:end_ts="1639229358" xil_pn:in_ck="5645202262152380566" xil_pn:name="TRAN_ISimulateBehavioralModel" xil_pn:prop_ck="-479812170532539286" xil_pn:start_ts="1639229358">
<status xil_pn:value="SuccessfullyRun"/> <status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="ReadyToRun"/> <status xil_pn:value="ReadyToRun"/>
<status xil_pn:value="OutOfDateForProperties"/>
<status xil_pn:value="OutOfDateForPredecessor"/> <status xil_pn:value="OutOfDateForPredecessor"/>
<status xil_pn:value="OutOfDateForOutputs"/> <status xil_pn:value="OutOfDateForOutputs"/>
<status xil_pn:value="OutputChanged"/> <status xil_pn:value="OutputChanged"/>
<outfile xil_pn:name="isim.cmd"/> <outfile xil_pn:name="isim.cmd"/>
<outfile xil_pn:name="isim.log"/> <outfile xil_pn:name="isim.log"/>
<outfile xil_pn:name="t_cs_isim_beh.wdb"/> <outfile xil_pn:name="t_fsb_isim_beh.wdb"/>
</transform> </transform>
<transform xil_pn:end_ts="1635074003" xil_pn:name="TRAN_copyInitialToXSTAbstractSynthesis" xil_pn:start_ts="1635074003"> <transform xil_pn:end_ts="1635074003" xil_pn:name="TRAN_copyInitialToXSTAbstractSynthesis" xil_pn:start_ts="1635074003">
<status xil_pn:value="SuccessfullyRun"/> <status xil_pn:value="SuccessfullyRun"/>
@ -157,7 +157,7 @@
<status xil_pn:value="SuccessfullyRun"/> <status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="ReadyToRun"/> <status xil_pn:value="ReadyToRun"/>
</transform> </transform>
<transform xil_pn:end_ts="1635074007" xil_pn:in_ck="-7042198571556068688" xil_pn:name="TRANEXT_xstsynthesize_xc9500xl" xil_pn:prop_ck="-2899378119827487496" xil_pn:start_ts="1635074003"> <transform xil_pn:end_ts="1639221847" xil_pn:in_ck="-7042198571556068688" xil_pn:name="TRANEXT_xstsynthesize_xc9500xl" xil_pn:prop_ck="-2899378119827487496" xil_pn:start_ts="1639221842">
<status xil_pn:value="SuccessfullyRun"/> <status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="ReadyToRun"/> <status xil_pn:value="ReadyToRun"/>
<status xil_pn:value="OutOfDateForInputs"/> <status xil_pn:value="OutOfDateForInputs"/>
@ -178,7 +178,7 @@
<status xil_pn:value="SuccessfullyRun"/> <status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="ReadyToRun"/> <status xil_pn:value="ReadyToRun"/>
</transform> </transform>
<transform xil_pn:end_ts="1635074010" xil_pn:in_ck="1136913611493600791" xil_pn:name="TRAN_ngdbuild" xil_pn:prop_ck="1893441463969615248" xil_pn:start_ts="1635074007"> <transform xil_pn:end_ts="1639221851" xil_pn:in_ck="1136913611493600791" xil_pn:name="TRAN_ngdbuild" xil_pn:prop_ck="1893441463969615248" xil_pn:start_ts="1639221847">
<status xil_pn:value="SuccessfullyRun"/> <status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="ReadyToRun"/> <status xil_pn:value="ReadyToRun"/>
<status xil_pn:value="OutOfDateForPredecessor"/> <status xil_pn:value="OutOfDateForPredecessor"/>
@ -188,34 +188,46 @@
<outfile xil_pn:name="_ngo"/> <outfile xil_pn:name="_ngo"/>
<outfile xil_pn:name="_xmsgs/ngdbuild.xmsgs"/> <outfile xil_pn:name="_xmsgs/ngdbuild.xmsgs"/>
</transform> </transform>
<transform xil_pn:end_ts="1635074024" xil_pn:in_ck="3398601133060" xil_pn:name="TRANEXT_vm6File_xc9500xl" xil_pn:prop_ck="-8996915014894439674" xil_pn:start_ts="1635074010"> <transform xil_pn:end_ts="1639221870" xil_pn:in_ck="3398601133060" xil_pn:name="TRANEXT_vm6File_xc9500xl" xil_pn:prop_ck="-8996915014894439674" xil_pn:start_ts="1639221851">
<status xil_pn:value="FailedRun"/> <status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="WarningsGenerated"/>
<status xil_pn:value="ReadyToRun"/> <status xil_pn:value="ReadyToRun"/>
<status xil_pn:value="OutOfDateForPredecessor"/> <status xil_pn:value="OutOfDateForPredecessor"/>
<status xil_pn:value="OutOfDateForOutputs"/>
<status xil_pn:value="OutputChanged"/>
<outfile xil_pn:name="MXSE.gyd"/>
<outfile xil_pn:name="MXSE.mfd"/>
<outfile xil_pn:name="MXSE.nga"/>
<outfile xil_pn:name="MXSE.pad"/>
<outfile xil_pn:name="MXSE.pnx"/>
<outfile xil_pn:name="MXSE.rpt"/> <outfile xil_pn:name="MXSE.rpt"/>
<outfile xil_pn:name="MXSE.tim"/> <outfile xil_pn:name="MXSE.tim"/>
<outfile xil_pn:name="MXSE.tspec"/> <outfile xil_pn:name="MXSE.tspec"/>
<outfile xil_pn:name="MXSE.vm6"/>
<outfile xil_pn:name="MXSE.xml"/>
<outfile xil_pn:name="MXSE_build.xml"/>
<outfile xil_pn:name="MXSE_html"/> <outfile xil_pn:name="MXSE_html"/>
<outfile xil_pn:name="MXSE_pad.csv"/>
</transform> </transform>
<transform xil_pn:end_ts="1635071506" xil_pn:in_ck="3398601141924" xil_pn:name="TRANEXT_crtProg_xc9500" xil_pn:prop_ck="-6294026017969277533" xil_pn:start_ts="1635071505"> <transform xil_pn:end_ts="1639221872" xil_pn:in_ck="3398601141924" xil_pn:name="TRANEXT_crtProg_xc9500" xil_pn:prop_ck="-6294026017969277533" xil_pn:start_ts="1639221870">
<status xil_pn:value="SuccessfullyRun"/> <status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="ReadyToRun"/> <status xil_pn:value="ReadyToRun"/>
<status xil_pn:value="OutOfDateForPredecessor"/> <status xil_pn:value="OutOfDateForPredecessor"/>
<outfile xil_pn:name="MXSE.jed"/> <outfile xil_pn:name="MXSE.jed"/>
</transform> </transform>
<transform xil_pn:end_ts="1635071511" xil_pn:in_ck="3398601141924" xil_pn:name="TRAN_timRpt" xil_pn:prop_ck="111903974446" xil_pn:start_ts="1635071509"> <transform xil_pn:end_ts="1639221870" xil_pn:in_ck="3398601141924" xil_pn:name="TRAN_timRpt" xil_pn:prop_ck="111903974446" xil_pn:start_ts="1639221869">
<status xil_pn:value="AbortedRun"/> <status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="WarningsGenerated"/>
<status xil_pn:value="ReadyToRun"/> <status xil_pn:value="ReadyToRun"/>
<status xil_pn:value="OutOfDateForPredecessor"/> <status xil_pn:value="OutOfDateForPredecessor"/>
<status xil_pn:value="OutOfDateForced"/>
</transform> </transform>
<transform xil_pn:end_ts="1633597924" xil_pn:in_ck="1136913611493600792" xil_pn:name="TRAN_createTimingConstraints" xil_pn:start_ts="1633597924"> <transform xil_pn:end_ts="1633597924" xil_pn:in_ck="1136913611493600792" xil_pn:name="TRAN_createTimingConstraints" xil_pn:start_ts="1633597924">
<status xil_pn:value="SuccessfullyRun"/> <status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="ReadyToRun"/> <status xil_pn:value="ReadyToRun"/>
<status xil_pn:value="OutOfDateForInputs"/> <status xil_pn:value="OutOfDateForInputs"/>
<status xil_pn:value="OutOfDateForPredecessor"/> <status xil_pn:value="OutOfDateForPredecessor"/>
<status xil_pn:value="InputAdded"/>
<status xil_pn:value="InputChanged"/> <status xil_pn:value="InputChanged"/>
<status xil_pn:value="InputRemoved"/>
</transform> </transform>
</transforms> </transforms>

View File

@ -1,113 +1,117 @@
Pin Freeze File: version P.20131013 Pin Freeze File: version P.20131013
95144XL100 XC95144XL-10-TQ100 95144XL100 XC95144XL-10-TQ100
A_FSB<10> S:PIN68 A_FSB<10> S:PIN89
A_FSB<11> S:PIN11 A_FSB<11> S:PIN86
A_FSB<12> S:PIN64 A_FSB<12> S:PIN92
A_FSB<13> S:PIN13 A_FSB<13> S:PIN82
A_FSB<14> S:PIN14 A_FSB<14> S:PIN93
A_FSB<15> S:PIN15 A_FSB<15> S:PIN80
A_FSB<16> S:PIN89 A_FSB<16> S:PIN95
A_FSB<17> S:PIN90 A_FSB<17> S:PIN96
A_FSB<18> S:PIN92 A_FSB<18> S:PIN76
A_FSB<19> S:PIN82 A_FSB<19> S:PIN73
A_FSB<1> S:PIN17 A_FSB<1> S:PIN90
A_FSB<20> S:PIN93 A_FSB<20> S:PIN71
A_FSB<21> S:PIN80 A_FSB<21> S:PIN68
A_FSB<22> S:PIN95 A_FSB<22> S:PIN9
A_FSB<23> S:PIN76 A_FSB<23> S:PIN66
A_FSB<2> S:PIN18 A_FSB<2> S:PIN16
A_FSB<3> S:PIN78 A_FSB<3> S:PIN78
A_FSB<4> S:PIN54 A_FSB<4> S:PIN56
A_FSB<5> S:PIN25 A_FSB<5> S:PIN15
A_FSB<6> S:PIN19 A_FSB<6> S:PIN19
A_FSB<7> S:PIN96 A_FSB<7> S:PIN20
A_FSB<8> S:PIN52 A_FSB<8> S:PIN64
A_FSB<9> S:PIN59 A_FSB<9> S:PIN12
CLK2X_IOB S:PIN22 CLK2X_IOB S:PIN22
CLK_FSB S:PIN23 CLK_FSB S:PIN23
CLK_IOB S:PIN27 CLK_IOB S:PIN27
E_IOB S:PIN46 E_IOB S:PIN49
nAS_FSB S:PIN73 nAS_FSB S:PIN13
nBERR_IOB S:PIN56 nBERR_IOB S:PIN18
nDTACK_IOB S:PIN49 nDTACK_IOB S:PIN54
nLDS_FSB S:PIN71 nLDS_FSB S:PIN25
nRES S:PIN99 nRES S:PIN99
nUDS_FSB S:PIN20 nUDS_FSB S:PIN52
nVPA_IOB S:PIN28 nVPA_IOB S:PIN59
nWE_FSB S:PIN66 nWE_FSB S:PIN61
RA<11> S:PIN58 RA<11> S:PIN63
RA<10> S:PIN70 RA<10> S:PIN65
RA<0> S:PIN8 RA<0> S:PIN87
RA<1> S:PIN87 RA<1> S:PIN35
RA<2> S:PIN65 RA<2> S:PIN91
RA<3> S:PIN74 RA<3> S:PIN39
RA<4> S:PIN77 RA<4> S:PIN94
RA<5> S:PIN91 RA<5> S:PIN50
RA<6> S:PIN67 RA<6> S:PIN53
RA<7> S:PIN50 RA<7> S:PIN55
RA<8> S:PIN53 RA<8> S:PIN58
RA<9> S:PIN55 RA<9> S:PIN60
nADoutLE0 S:PIN60 nADoutLE0 S:PIN67
nADoutLE1 S:PIN16 nADoutLE1 S:PIN29
nAS_IOB S:PIN10 nAS_IOB S:PIN10
nAoutOE S:PIN72 nAoutOE S:PIN70
nBERR_FSB S:PIN63 nBERR_FSB S:PIN14
nCAS S:PIN79 nCAS S:PIN74
nDTACK_FSB S:PIN12 nDTACK_FSB S:PIN11
nDinLE S:PIN61 nDinLE S:PIN72
nDinOE S:PIN94 nDinOE S:PIN97
nDoutOE S:PIN9 nDoutOE S:PIN8
nLDS_IOB S:PIN6 nLDS_IOB S:PIN6
nOE S:PIN81 nOE S:PIN17
nRAMLWE S:PIN33 nRAMLWE S:PIN77
nRAMUWE S:PIN97 nRAMUWE S:PIN79
nRAS S:PIN24 nRAS S:PIN24
nROMCS S:PIN35 nROMCS S:PIN42
nROMWE S:PIN85 nROMWE S:PIN81
nUDS_IOB S:PIN7 nUDS_IOB S:PIN7
nVMA_IOB S:PIN29 nVMA_IOB S:PIN33
nVPA_FSB S:PIN86 nVPA_FSB S:PIN85
;The remaining section of the .gyd file is for documentation purposes only. ;The remaining section of the .gyd file is for documentation purposes only.
;It shows where your internal equations were placed in the last successful fit. ;It shows where your internal equations were placed in the last successful fit.
PARTITION FB1_1 EXP14_ EXP15_ nDTACK_FSB_OBUF EXP16_ PARTITION FB1_1 EXP14_ nDTACK_FSB_OBUF EXP15_ $OpTx$FX_DC$606
EXP17_ EXP18_ EXP16_ nBERR_FSB_OBUF fsb/Ready1r
PARTITION FB1_8 EXP19_ nADoutLE1_OBUF fsb/BERR0r EXP20_ PARTITION FB1_9 EXP17_ fsb/VPA__or00001/fsb/VPA__or00001_D2 nOE_OBUF fsb/Ready2r
fsb/Ready2r EXP21_ $OpTx$INV$223 EXP22_ EXP18_ EXP19_ fsb/VPA EXP20_
IORW0 IOREQ EXP23_ $OpTx$FX_DC$602 EXP21_
PARTITION FB2_1 iobm/IOS_FSM_FFd7 iobm/IOS_FSM_FFd6 iobm/IOS_FSM_FFd5 iobm/IOS_FSM_FFd4 PARTITION FB2_1 iobm/VPArr iobm/VPArf iobm/RESrr iobm/RESrf
iobm/IOS_FSM_FFd1 iobm/BERRrr iobm/BERRrf iobm/IOS_FSM_FFd8 iobm/IOREQr cnt/RefCnt<3> cnt/RefCnt<2> cnt/RefCnt<1>
ALE0M iobm/IOS_FSM_FFd2 nLDS_IOB_OBUF nUDS_IOB_OBUF fsb/BERR1r cnt/RefDone nLDS_IOB_OBUF nUDS_IOB_OBUF
iobm/IOS_FSM_FFd3 RA_0_OBUF nDoutOE_OBUF IOBERR cnt/TimeoutBPre nDoutOE_OBUF TimeoutB TimeoutA
nAS_IOB_OBUF IOACT nAS_IOB_OBUF iobs/IOReady
PARTITION FB3_1 EXP24_ EXP25_ iobs/IORW1 iobm/ETACK PARTITION FB3_1 EXP22_ EXP23_ IORW0 iobs/IOACTr
nRAS_OBUF iobs/PS_FSM_FFd1 iobs/IOReady BERR_IOBS nRAS_OBUF iobs/Clear1 fsb/ASrf ALE0S
ram/RS_FSM_FFd1 ram/Once nVMA_IOB_OBUF iobs/PS_FSM_FFd2 iobs/PS_FSM_FFd2 EXP24_ nADoutLE1_OBUF iobs/PS_FSM_FFd1
EXP26_ iobs/Once nRAMLWE_OBUF fsb/Ready1r IOREQ iobm/ETACK nVMA_IOB_OBUF EXP25_
EXP27_ iobs/Load1 iobs/IORW1 ram/BACTr
PARTITION FB4_1 EXP28_ RA_1_OBUF EXP29_ ram/RS_FSM_FFd2 PARTITION FB4_1 ram/RASEL RA_0_OBUF cs/nOverlay1
EXP30_ ram/RASEL EXP31_ RA_5_OBUF PARTITION FB4_5 EXP26_ iobs/Load1 EXP27_ RA_2_OBUF
EXP32_ ram/RAMDIS1 EXP33_ nDinOE_OBUF EXP28_ iobs/Once EXP29_ RA_4_OBUF
EXP34_ ram/RAMReady EXP35_ EXP36_ EXP30_ ram/RAMDIS1 EXP31_ fsb/BERR0r
nRAMUWE_OBUF ram/RAMDIS2 nDinOE_OBUF EXP32_
PARTITION FB5_2 nROMCS_OBUF PARTITION FB5_1 IOACT RA_1_OBUF iobm/IOS_FSM_FFd7 iobm/IOS_FSM_FFd6
PARTITION FB5_16 iobm/VPArr iobm/Er cnt/RefCnt<0> iobm/IOS_FSM_FFd5 iobm/IOS_FSM_FFd4 iobm/BERRrr RA_3_OBUF
PARTITION FB6_1 ram/BACTr RA_3_OBUF iobs/IOACTr fsb/ASrf iobm/BERRrf cnt/RefCnt<0> iobm/IOS_FSM_FFd8 nROMCS_OBUF
cnt/RefCnt<3> RA_4_OBUF cnt/RefCnt<2> cnt/RefCnt<1> ALE0M iobm/IOS_FSM_FFd2 BERR_IOBS iobm/IOS_FSM_FFd3
nCAS_OBUF RefAck ALE0S nOE_OBUF EXP33_ IOBERR
iobs/IOU1 iobs/IOL1 nROMWE_OBUF IOU0 PARTITION FB6_1 iobm/Er2 nCAS_OBUF iobm/DTACKrr iobm/DTACKrf
nVPA_FSB_OBUF IOL0 RefAck nRAMLWE_OBUF iobs/IOU1 iobs/IOL1
PARTITION FB7_1 iobm/VPArf RA_7_OBUF iobm/RESrr iobm/RESrf nRAMUWE_OBUF iobm/ES<3> iobm/ES<1> nROMWE_OBUF
iobm/IOREQr RA_8_OBUF iobm/Er2 iobm/DTACKrr iobm/ES<0> IOU0 nVPA_FSB_OBUF IOL0
RA_9_OBUF iobm/DTACKrf iobm/ES<3> A_FSB_19_IBUF$BUF0 iobm/ES<4> iobm/ES<2>
iobm/ES<1> iobm/ES<0> nADoutLE0_OBUF iobm/ES<4> PARTITION FB7_1 cnt/RefCnt<5> RA_5_OBUF cnt/RefCnt<4> cs/nOverlay0
nDinLE_OBUF iobm/ES<2> ram/RS_FSM_FFd3 RA_6_OBUF fsb/Ready0r ram/RS_FSM_FFd1
PARTITION FB8_1 fsb/VPA nBERR_FSB_OBUF cnt/RefCnt<6> cnt/RefCnt<5> RA_7_OBUF ram/Once ram/RAMDIS2 RA_8_OBUF
cnt/RefCnt<4> RA_2_OBUF fsb/BERR1r cs/nOverlay1 ram/RS_FSM_FFd2 cnt/RefCnt<7> RA_9_OBUF cnt/RefCnt<6>
RA_6_OBUF cs/nOverlay0 cnt/RefDone A_FSB_21_IBUF$BUF0 ram/RAMReady EXP34_
fsb/Ready0r TimeoutB nAoutOE_OBUF ram/RS_FSM_FFd3 PARTITION FB8_2 A_FSB_19_IBUF$BUF0
TimeoutA cnt/RefCnt<7> PARTITION FB8_6 A_FSB_21_IBUF$BUF0
PARTITION FB8_9 nADoutLE0_OBUF
PARTITION FB8_12 nAoutOE_OBUF
PARTITION FB8_15 nDinLE_OBUF
PARTITION FB8_17 iobm/IOS_FSM_FFd1 iobm/Er

File diff suppressed because it is too large Load Diff

File diff suppressed because it is too large Load Diff

File diff suppressed because one or more lines are too long

File diff suppressed because one or more lines are too long

File diff suppressed because one or more lines are too long

File diff suppressed because one or more lines are too long

129
cpld/XC95144XL/MXSE.pad Normal file
View File

@ -0,0 +1,129 @@
Release 8.1i - Fit P.20131013
Copyright(c) 1995-2003 Xilinx Inc. All rights reserved
12-11-2021 6:24AM
NOTE: This file is designed to be imported into a spreadsheet program
such as Microsoft Excel for viewing, printing and sorting. The pipe '|'
character is used as the data field separator.
This file is also designed to support parsing.
Input file: MXSE.ngd
output file: MXSE.pad
Part type: xc95144xl
Speed grade: -10
Package: tq100
Pinout by Pin Number:
-----|-----|-----|-----|-----|-----|-----|-----|-----|-----|
Pin Number|Signal Name|Pin Usage|Pin Name|Direction|IO Standard|IO Bank Number|{blank}|Slew Rate|Termination|{blank}|Voltage|Constraint|
P1|TIE||I/O/GTS3||||||||||
P2|TIE||I/O/GTS4||||||||||
P3|TIE||I/O/GTS1||||||||||
P4|TIE||I/O/GTS2||||||||||
P5|VCC||VCCINT||||||||||
P6|nLDS_IOB|O|I/O|OUTPUT|||||||||
P7|nUDS_IOB|O|I/O|OUTPUT|||||||||
P8|nDoutOE|O|I/O|OUTPUT|||||||||
P9|A_FSB<22>|I|I/O|INPUT|||||||||
P10|nAS_IOB|O|I/O|OUTPUT|||||||||
P11|nDTACK_FSB|O|I/O|OUTPUT|||||||||
P12|A_FSB<9>|I|I/O|INPUT|||||||||
P13|nAS_FSB|I|I/O|INPUT|||||||||
P14|nBERR_FSB|O|I/O|OUTPUT|||||||||
P15|A_FSB<5>|I|I/O|INPUT|||||||||
P16|A_FSB<2>|I|I/O|INPUT|||||||||
P17|nOE|O|I/O|OUTPUT|||||||||
P18|nBERR_IOB|I|I/O|INPUT|||||||||
P19|A_FSB<6>|I|I/O|INPUT|||||||||
P20|A_FSB<7>|I|I/O|INPUT|||||||||
P21|GND||GND||||||||||
P22|CLK2X_IOB|GCK|I/O/GCK1||||||||||
P23|CLK_FSB|GCK|I/O/GCK2||||||||||
P24|nRAS|O|I/O|OUTPUT|||||||||
P25|nLDS_FSB|I|I/O|INPUT|||||||||
P26|VCC||VCCIO||||||||||
P27|CLK_IOB|GCK/I|I/O/GCK3||||||||||
P28|TIE||I/O||||||||||
P29|nADoutLE1|O|I/O|OUTPUT|||||||||
P30|TIE||I/O||||||||||
P31|GND||GND||||||||||
P32|TIE||I/O||||||||||
P33|nVMA_IOB|O|I/O|OUTPUT|||||||||
P34|TIE||I/O||||||||||
P35|RA<1>|O|I/O|OUTPUT|||||||||
P36|TIE||I/O||||||||||
P37|TIE||I/O||||||||||
P38|VCC||VCCIO||||||||||
P39|RA<3>|O|I/O|OUTPUT|||||||||
P40|TIE||I/O||||||||||
P41|TIE||I/O||||||||||
P42|nROMCS|O|I/O|OUTPUT|||||||||
P43|TIE||I/O||||||||||
P44|GND||GND||||||||||
P45|TDI||TDI||||||||||
P46|TIE||I/O||||||||||
P47|TMS||TMS||||||||||
P48|TCK||TCK||||||||||
P49|E_IOB|I|I/O|INPUT|||||||||
P50|RA<5>|O|I/O|OUTPUT|||||||||
P51|VCC||VCCIO||||||||||
P52|nUDS_FSB|I|I/O|INPUT|||||||||
P53|RA<6>|O|I/O|OUTPUT|||||||||
P54|nDTACK_IOB|I|I/O|INPUT|||||||||
P55|RA<7>|O|I/O|OUTPUT|||||||||
P56|A_FSB<4>|I|I/O|INPUT|||||||||
P57|VCC||VCCINT||||||||||
P58|RA<8>|O|I/O|OUTPUT|||||||||
P59|nVPA_IOB|I|I/O|INPUT|||||||||
P60|RA<9>|O|I/O|OUTPUT|||||||||
P61|nWE_FSB|I|I/O|INPUT|||||||||
P62|GND||GND||||||||||
P63|RA<11>|O|I/O|OUTPUT|||||||||
P64|A_FSB<8>|I|I/O|INPUT|||||||||
P65|RA<10>|O|I/O|OUTPUT|||||||||
P66|A_FSB<23>|I|I/O|INPUT|||||||||
P67|nADoutLE0|O|I/O|OUTPUT|||||||||
P68|A_FSB<21>|I|I/O|INPUT|||||||||
P69|GND||GND||||||||||
P70|nAoutOE|O|I/O|OUTPUT|||||||||
P71|A_FSB<20>|I|I/O|INPUT|||||||||
P72|nDinLE|O|I/O|OUTPUT|||||||||
P73|A_FSB<19>|I|I/O|INPUT|||||||||
P74|nCAS|O|I/O|OUTPUT|||||||||
P75|GND||GND||||||||||
P76|A_FSB<18>|I|I/O|INPUT|||||||||
P77|nRAMLWE|O|I/O|OUTPUT|||||||||
P78|A_FSB<3>|I|I/O|INPUT|||||||||
P79|nRAMUWE|O|I/O|OUTPUT|||||||||
P80|A_FSB<15>|I|I/O|INPUT|||||||||
P81|nROMWE|O|I/O|OUTPUT|||||||||
P82|A_FSB<13>|I|I/O|INPUT|||||||||
P83|TDO||TDO||||||||||
P84|GND||GND||||||||||
P85|nVPA_FSB|O|I/O|OUTPUT|||||||||
P86|A_FSB<11>|I|I/O|INPUT|||||||||
P87|RA<0>|O|I/O|OUTPUT|||||||||
P88|VCC||VCCIO||||||||||
P89|A_FSB<10>|I|I/O|INPUT|||||||||
P90|A_FSB<1>|I|I/O|INPUT|||||||||
P91|RA<2>|O|I/O|OUTPUT|||||||||
P92|A_FSB<12>|I|I/O|INPUT|||||||||
P93|A_FSB<14>|I|I/O|INPUT|||||||||
P94|RA<4>|O|I/O|OUTPUT|||||||||
P95|A_FSB<16>|I|I/O|INPUT|||||||||
P96|A_FSB<17>|I|I/O|INPUT|||||||||
P97|nDinOE|O|I/O|OUTPUT|||||||||
P98|VCC||VCCINT||||||||||
P99|nRES|GSR/I|I/O/GSR||||||||||
P100|GND||GND||||||||||
To preserve the pinout above for future design iterations in
Project Navigator simply execute the (Lock Pins) process
located under the (Implement Design) process in a toolbox named
(Optional Implementation Tools) or invoke PIN2UCF from the
command line. The location constraints will be written into your
specified UCF file

View File

@ -15,4 +15,4 @@
sr (SLOW|FAST|slow|fast) "SLOW" sr (SLOW|FAST|slow|fast) "SLOW"
dir (BIDIR|bidir|INPUT|input|OUTPUT|output) "BIDIR"> dir (BIDIR|bidir|INPUT|input|OUTPUT|output) "BIDIR">
]> ]>
<ibis><part arch="xc9500xl" device="XC95144XL" pkg="TQ100" spg="-10"/><pin dir="input" nm="A_FSB&lt;9&gt;" no="59"/><pin dir="input" nm="A_FSB&lt;20&gt;" no="93"/><pin dir="input" nm="A_FSB&lt;19&gt;" no="82"/><pin dir="input" nm="A_FSB&lt;18&gt;" no="92"/><pin dir="input" nm="A_FSB&lt;17&gt;" no="90"/><pin dir="input" nm="A_FSB&lt;16&gt;" no="89"/><pin dir="input" nm="A_FSB&lt;15&gt;" no="15"/><pin dir="input" nm="A_FSB&lt;13&gt;" no="13"/><pin dir="input" nm="A_FSB&lt;23&gt;" no="76"/><pin dir="input" nm="A_FSB&lt;22&gt;" no="95"/><pin dir="input" nm="A_FSB&lt;21&gt;" no="80"/><pin dir="input" nm="A_FSB&lt;14&gt;" no="14"/><pin dir="input" nm="A_FSB&lt;12&gt;" no="64"/><pin dir="input" nm="A_FSB&lt;11&gt;" no="11"/><pin dir="input" nm="A_FSB&lt;10&gt;" no="68"/><pin dir="input" nm="CLK2X_IOB" no="22"/><pin dir="input" nm="CLK_FSB" no="23"/><pin dir="input" nm="nAS_FSB" no="73"/><pin dir="input" nm="nWE_FSB" no="66"/><pin dir="input" nm="nBERR_IOB" no="56"/><pin dir="input" nm="CLK_IOB" no="27"/><pin dir="input" nm="nRES" no="99"/><pin dir="input" nm="nLDS_FSB" no="71"/><pin dir="input" nm="nUDS_FSB" no="20"/><pin dir="input" nm="E_IOB" no="46"/><pin dir="input" nm="nVPA_IOB" no="28"/><pin dir="input" nm="nDTACK_IOB" no="49"/><pin dir="input" nm="A_FSB&lt;1&gt;" no="17"/><pin dir="input" nm="A_FSB&lt;2&gt;" no="18"/><pin dir="input" nm="A_FSB&lt;3&gt;" no="78"/><pin dir="input" nm="A_FSB&lt;4&gt;" no="54"/><pin dir="input" nm="A_FSB&lt;5&gt;" no="25"/><pin dir="input" nm="A_FSB&lt;6&gt;" no="19"/><pin dir="input" nm="A_FSB&lt;7&gt;" no="96"/><pin dir="input" nm="A_FSB&lt;8&gt;" no="52"/><pin dir="output" nm="nVMA_IOB" no="29" sr="fast"/><pin dir="output" nm="nDTACK_FSB" no="12" sr="fast"/><pin dir="output" nm="nAS_IOB" no="10" sr="fast"/><pin dir="output" nm="nCAS" no="79" sr="fast"/><pin dir="output" nm="nDinLE" no="61" sr="fast"/><pin dir="output" nm="nDoutOE" no="9" sr="fast"/><pin dir="output" nm="nLDS_IOB" no="6" sr="fast"/><pin dir="output" nm="nUDS_IOB" no="7" sr="fast"/><pin dir="output" nm="RA&lt;0&gt;" no="8" sr="fast"/><pin dir="output" nm="RA&lt;1&gt;" no="87" sr="fast"/><pin dir="output" nm="RA&lt;2&gt;" no="65" sr="fast"/><pin dir="output" nm="RA&lt;3&gt;" no="74" sr="fast"/><pin dir="output" nm="RA&lt;4&gt;" no="77" sr="fast"/><pin dir="output" nm="RA&lt;5&gt;" no="91" sr="fast"/><pin dir="output" nm="RA&lt;6&gt;" no="67" sr="fast"/><pin dir="output" nm="RA&lt;7&gt;" no="50" sr="fast"/><pin dir="output" nm="RA&lt;8&gt;" no="53" sr="fast"/><pin dir="output" nm="RA&lt;9&gt;" no="55" sr="fast"/><pin dir="output" nm="nOE" no="81" sr="fast"/><pin dir="output" nm="nROMWE" no="85" sr="fast"/><pin dir="output" nm="nVPA_FSB" no="86" sr="fast"/><pin dir="output" nm="nADoutLE0" no="60" sr="fast"/><pin dir="output" nm="nDinOE" no="94" sr="fast"/><pin dir="output" nm="nRAS" no="24" sr="fast"/><pin dir="output" nm="RA&lt;11&gt;" no="58" sr="fast"/><pin dir="output" nm="RA&lt;10&gt;" no="70" sr="fast"/><pin dir="output" nm="nADoutLE1" no="16" sr="fast"/><pin dir="output" nm="nBERR_FSB" no="63" sr="fast"/><pin dir="output" nm="nRAMLWE" no="33" sr="fast"/><pin dir="output" nm="nRAMUWE" no="97" sr="fast"/><pin dir="output" nm="nROMCS" no="35" sr="fast"/><pin dir="output" nm="nAoutOE" no="72" sr="fast"/></ibis> <ibis><part arch="xc9500xl" device="XC95144XL" pkg="TQ100" spg="-10"/><pin dir="input" nm="A_FSB&lt;9&gt;" no="12"/><pin dir="input" nm="A_FSB&lt;8&gt;" no="64"/><pin dir="input" nm="A_FSB&lt;15&gt;" no="80"/><pin dir="input" nm="A_FSB&lt;14&gt;" no="93"/><pin dir="input" nm="A_FSB&lt;13&gt;" no="82"/><pin dir="input" nm="A_FSB&lt;12&gt;" no="92"/><pin dir="input" nm="A_FSB&lt;11&gt;" no="86"/><pin dir="input" nm="A_FSB&lt;10&gt;" no="89"/><pin dir="input" nm="A_FSB&lt;23&gt;" no="66"/><pin dir="input" nm="A_FSB&lt;22&gt;" no="9"/><pin dir="input" nm="A_FSB&lt;21&gt;" no="68"/><pin dir="input" nm="A_FSB&lt;20&gt;" no="71"/><pin dir="input" nm="A_FSB&lt;19&gt;" no="73"/><pin dir="input" nm="A_FSB&lt;18&gt;" no="76"/><pin dir="input" nm="A_FSB&lt;17&gt;" no="96"/><pin dir="input" nm="A_FSB&lt;16&gt;" no="95"/><pin dir="input" nm="CLK2X_IOB" no="22"/><pin dir="input" nm="CLK_FSB" no="23"/><pin dir="input" nm="nAS_FSB" no="13"/><pin dir="input" nm="nWE_FSB" no="61"/><pin dir="input" nm="nBERR_IOB" no="18"/><pin dir="input" nm="CLK_IOB" no="27"/><pin dir="input" nm="nRES" no="99"/><pin dir="input" nm="nLDS_FSB" no="25"/><pin dir="input" nm="nUDS_FSB" no="52"/><pin dir="input" nm="E_IOB" no="49"/><pin dir="input" nm="nVPA_IOB" no="59"/><pin dir="input" nm="nDTACK_IOB" no="54"/><pin dir="input" nm="A_FSB&lt;1&gt;" no="90"/><pin dir="input" nm="A_FSB&lt;2&gt;" no="16"/><pin dir="input" nm="A_FSB&lt;3&gt;" no="78"/><pin dir="input" nm="A_FSB&lt;4&gt;" no="56"/><pin dir="input" nm="A_FSB&lt;5&gt;" no="15"/><pin dir="input" nm="A_FSB&lt;6&gt;" no="19"/><pin dir="input" nm="A_FSB&lt;7&gt;" no="20"/><pin dir="output" nm="nVMA_IOB" no="33" sr="fast"/><pin dir="output" nm="nDTACK_FSB" no="11" sr="fast"/><pin dir="output" nm="nAS_IOB" no="10" sr="fast"/><pin dir="output" nm="nCAS" no="74" sr="fast"/><pin dir="output" nm="nDinLE" no="72" sr="fast"/><pin dir="output" nm="nDoutOE" no="8" sr="fast"/><pin dir="output" nm="nLDS_IOB" no="6" sr="fast"/><pin dir="output" nm="nUDS_IOB" no="7" sr="fast"/><pin dir="output" nm="RA&lt;0&gt;" no="87" sr="fast"/><pin dir="output" nm="RA&lt;1&gt;" no="35" sr="fast"/><pin dir="output" nm="RA&lt;2&gt;" no="91" sr="fast"/><pin dir="output" nm="RA&lt;3&gt;" no="39" sr="fast"/><pin dir="output" nm="RA&lt;4&gt;" no="94" sr="fast"/><pin dir="output" nm="RA&lt;5&gt;" no="50" sr="fast"/><pin dir="output" nm="RA&lt;6&gt;" no="53" sr="fast"/><pin dir="output" nm="RA&lt;7&gt;" no="55" sr="fast"/><pin dir="output" nm="RA&lt;8&gt;" no="58" sr="fast"/><pin dir="output" nm="RA&lt;9&gt;" no="60" sr="fast"/><pin dir="output" nm="nBERR_FSB" no="14" sr="fast"/><pin dir="output" nm="nOE" no="17" sr="fast"/><pin dir="output" nm="nROMWE" no="81" sr="fast"/><pin dir="output" nm="nVPA_FSB" no="85" sr="fast"/><pin dir="output" nm="nADoutLE0" no="67" sr="fast"/><pin dir="output" nm="nDinOE" no="97" sr="fast"/><pin dir="output" nm="nRAS" no="24" sr="fast"/><pin dir="output" nm="RA&lt;11&gt;" no="63" sr="fast"/><pin dir="output" nm="RA&lt;10&gt;" no="65" sr="fast"/><pin dir="output" nm="nADoutLE1" no="29" sr="fast"/><pin dir="output" nm="nRAMLWE" no="77" sr="fast"/><pin dir="output" nm="nRAMUWE" no="79" sr="fast"/><pin dir="output" nm="nROMCS" no="42" sr="fast"/><pin dir="output" nm="nAoutOE" no="70" sr="fast"/></ibis>

File diff suppressed because it is too large Load Diff

View File

@ -4,13 +4,13 @@ Copyright (c) 1995-2013 Xilinx, Inc. All rights reserved.
Total REAL time to Xst completion: 0.00 secs Total REAL time to Xst completion: 0.00 secs
Total CPU time to Xst completion: 0.08 secs Total CPU time to Xst completion: 0.36 secs
--> Parameter xsthdpdir set to xst --> Parameter xsthdpdir set to xst
Total REAL time to Xst completion: 0.00 secs Total REAL time to Xst completion: 0.00 secs
Total CPU time to Xst completion: 0.08 secs Total CPU time to Xst completion: 0.36 secs
--> Reading design: MXSE.prj --> Reading design: MXSE.prj
@ -198,6 +198,7 @@ Synthesizing Unit <IOBS>.
Found 1-bit register for signal <IOU0>. Found 1-bit register for signal <IOU0>.
Found 1-bit register for signal <ALE0>. Found 1-bit register for signal <ALE0>.
Found 1-bit register for signal <ALE1>. Found 1-bit register for signal <ALE1>.
Found 1-bit register for signal <Clear1>.
Found 1-bit register for signal <IOACTr>. Found 1-bit register for signal <IOACTr>.
Found 1-bit register for signal <IOL1>. Found 1-bit register for signal <IOL1>.
Found 1-bit register for signal <IOReady>. Found 1-bit register for signal <IOReady>.
@ -259,6 +260,7 @@ Synthesizing Unit <CNT>.
Found 1-bit register for signal <TimeoutB>. Found 1-bit register for signal <TimeoutB>.
Found 8-bit up counter for signal <RefCnt>. Found 8-bit up counter for signal <RefCnt>.
Found 1-bit register for signal <RefDone>. Found 1-bit register for signal <RefDone>.
Found 1-bit register for signal <TimeoutBPre>.
Summary: Summary:
inferred 1 Counter(s). inferred 1 Counter(s).
Unit <CNT> synthesized. Unit <CNT> synthesized.
@ -291,8 +293,8 @@ Macro Statistics
# Counters : 2 # Counters : 2
5-bit up counter : 1 5-bit up counter : 1
8-bit up counter : 1 8-bit up counter : 1
# Registers : 56 # Registers : 58
1-bit register : 56 1-bit register : 58
========================================================================= =========================================================================
@ -375,9 +377,9 @@ Optimizing unit <RAM> ...
Optimizing unit <IOBS> ... Optimizing unit <IOBS> ...
implementation constraint: INIT=r : IOACTr implementation constraint: INIT=r : IOACTr
implementation constraint: INIT=r : PS_FSM_FFd2
implementation constraint: INIT=r : Once implementation constraint: INIT=r : Once
implementation constraint: INIT=r : PS_FSM_FFd1 implementation constraint: INIT=r : PS_FSM_FFd1
implementation constraint: INIT=r : PS_FSM_FFd2
Optimizing unit <FSB> ... Optimizing unit <FSB> ...
implementation constraint: INIT=r : ASrf implementation constraint: INIT=r : ASrf
@ -435,23 +437,24 @@ Design Statistics
# IOs : 67 # IOs : 67
Cell Usage : Cell Usage :
# BELS : 566 # BELS : 570
# AND2 : 162 # AND2 : 160
# AND3 : 21 # AND3 : 20
# AND4 : 15 # AND4 : 15
# AND5 : 1 # AND5 : 1
# AND6 : 3 # AND6 : 3
# AND7 : 1
# AND8 : 3 # AND8 : 3
# GND : 6 # GND : 6
# INV : 238 # INV : 243
# OR2 : 91 # OR2 : 92
# OR3 : 8 # OR3 : 8
# OR4 : 5 # OR4 : 5
# VCC : 1 # VCC : 1
# XOR2 : 12 # XOR2 : 12
# FlipFlops/Latches : 82 # FlipFlops/Latches : 84
# FD : 56 # FD : 57
# FDCE : 26 # FDCE : 27
# IO Buffers : 67 # IO Buffers : 67
# IBUF : 35 # IBUF : 35
# OBUF : 32 # OBUF : 32
@ -459,11 +462,11 @@ Cell Usage :
Total REAL time to Xst completion: 3.00 secs Total REAL time to Xst completion: 3.00 secs
Total CPU time to Xst completion: 2.37 secs Total CPU time to Xst completion: 3.01 secs
--> -->
Total memory usage is 231768 kilobytes Total memory usage is 232788 kilobytes
Number of errors : 0 ( 0 filtered) Number of errors : 0 ( 0 filtered)
Number of warnings : 0 ( 0 filtered) Number of warnings : 0 ( 0 filtered)

View File

@ -1,98 +1,88 @@
TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd3.Q:TO:IOBERR.D:666
TS_CLK2X_IOB:FROM:IOBERR.Q:TO:IOBERR.D:666 TS_CLK2X_IOB:FROM:IOBERR.Q:TO:IOBERR.D:666
TS_CLK2X_IOB:FROM:iobm/ETACK.Q:TO:IOBERR.D:666 TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd3.Q:TO:IOBERR.D:666
TS_CLK2X_IOB:FROM:iobm/BERRrr.Q:TO:IOBERR.D:666 TS_CLK2X_IOB:FROM:iobm/DTACKrf.Q:TO:IOBERR.D:666
TS_CLK2X_IOB:FROM:iobm/BERRrf.Q:TO:IOBERR.D:333
TS_CLK2X_IOB:FROM:iobm/DTACKrr.Q:TO:IOBERR.D:666 TS_CLK2X_IOB:FROM:iobm/DTACKrr.Q:TO:IOBERR.D:666
TS_CLK2X_IOB:FROM:iobm/DTACKrf.Q:TO:IOBERR.D:333 TS_CLK2X_IOB:FROM:iobm/RESrf.Q:TO:IOBERR.D:666
TS_CLK2X_IOB:FROM:iobm/RESrr.Q:TO:IOBERR.D:666 TS_CLK2X_IOB:FROM:iobm/RESrr.Q:TO:IOBERR.D:666
TS_CLK2X_IOB:FROM:iobm/RESrf.Q:TO:IOBERR.D:333 TS_CLK2X_IOB:FROM:iobm/BERRrf.Q:TO:IOBERR.D:666
TS_CLK2X_IOB:FROM:iobm/BERRrr.Q:TO:IOBERR.D:666
TS_CLK2X_IOB:FROM:iobm/ETACK.Q:TO:IOBERR.D:666
TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd3.Q:TO:iobm/IOS_FSM_FFd3.D:666 TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd3.Q:TO:iobm/IOS_FSM_FFd3.D:666
TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd4.Q:TO:iobm/IOS_FSM_FFd3.D:666 TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd4.Q:TO:iobm/IOS_FSM_FFd3.D:666
TS_CLK2X_IOB:FROM:iobm/ETACK.Q:TO:iobm/IOS_FSM_FFd3.D:666 TS_CLK2X_IOB:FROM:iobm/ETACK.Q:TO:iobm/IOS_FSM_FFd3.D:666
TS_CLK2X_IOB:FROM:iobm/BERRrf.Q:TO:iobm/IOS_FSM_FFd3.D:666
TS_CLK2X_IOB:FROM:iobm/BERRrr.Q:TO:iobm/IOS_FSM_FFd3.D:666 TS_CLK2X_IOB:FROM:iobm/BERRrr.Q:TO:iobm/IOS_FSM_FFd3.D:666
TS_CLK2X_IOB:FROM:iobm/BERRrf.Q:TO:iobm/IOS_FSM_FFd3.D:333 TS_CLK2X_IOB:FROM:iobm/DTACKrf.Q:TO:iobm/IOS_FSM_FFd3.D:666
TS_CLK2X_IOB:FROM:iobm/DTACKrr.Q:TO:iobm/IOS_FSM_FFd3.D:666 TS_CLK2X_IOB:FROM:iobm/DTACKrr.Q:TO:iobm/IOS_FSM_FFd3.D:666
TS_CLK2X_IOB:FROM:iobm/DTACKrf.Q:TO:iobm/IOS_FSM_FFd3.D:333 TS_CLK2X_IOB:FROM:iobm/RESrf.Q:TO:iobm/IOS_FSM_FFd3.D:666
TS_CLK2X_IOB:FROM:iobm/RESrr.Q:TO:iobm/IOS_FSM_FFd3.D:666 TS_CLK2X_IOB:FROM:iobm/RESrr.Q:TO:iobm/IOS_FSM_FFd3.D:666
TS_CLK2X_IOB:FROM:iobm/RESrf.Q:TO:iobm/IOS_FSM_FFd3.D:333
TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd8.Q:TO:iobm/IOS_FSM_FFd7.D:666 TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd8.Q:TO:iobm/IOS_FSM_FFd7.D:666
TS_CLK2X_IOB:FROM:iobm/IOREQr.Q:TO:iobm/IOS_FSM_FFd7.D:333 TS_CLK2X_IOB:FROM:iobm/IOREQr.Q:TO:iobm/IOS_FSM_FFd7.D:666
TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd8.Q:TO:iobm/IOS_FSM_FFd8.D:666 TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd8.Q:TO:iobm/IOS_FSM_FFd8.D:666
TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd1.Q:TO:iobm/IOS_FSM_FFd8.D:666 TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd1.Q:TO:iobm/IOS_FSM_FFd8.D:666
TS_CLK2X_IOB:FROM:iobm/IOREQr.Q:TO:iobm/IOS_FSM_FFd8.D:333 TS_CLK2X_IOB:FROM:iobm/IOREQr.Q:TO:iobm/IOS_FSM_FFd8.D:666
TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd7.Q:TO:IOACT.D:666 TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd7.Q:TO:IOACT.D:666
TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd3.Q:TO:IOACT.D:666
TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd8.Q:TO:IOACT.D:666 TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd8.Q:TO:IOACT.D:666
TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd5.Q:TO:IOACT.D:666 TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd3.Q:TO:IOACT.D:666
TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd4.Q:TO:IOACT.D:666 TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd4.Q:TO:IOACT.D:666
TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd5.Q:TO:IOACT.D:666
TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd6.Q:TO:IOACT.D:666 TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd6.Q:TO:IOACT.D:666
TS_CLK2X_IOB:FROM:iobm/ETACK.Q:TO:IOACT.D:666 TS_CLK2X_IOB:FROM:iobm/IOREQr.Q:TO:IOACT.D:666
TS_CLK2X_IOB:FROM:iobm/BERRrr.Q:TO:IOACT.D:666 TS_CLK2X_IOB:FROM:iobm/DTACKrf.Q:TO:IOACT.D:666
TS_CLK2X_IOB:FROM:iobm/BERRrf.Q:TO:IOACT.D:333
TS_CLK2X_IOB:FROM:iobm/DTACKrr.Q:TO:IOACT.D:666 TS_CLK2X_IOB:FROM:iobm/DTACKrr.Q:TO:IOACT.D:666
TS_CLK2X_IOB:FROM:iobm/DTACKrf.Q:TO:IOACT.D:333 TS_CLK2X_IOB:FROM:iobm/RESrf.Q:TO:IOACT.D:666
TS_CLK2X_IOB:FROM:iobm/RESrr.Q:TO:IOACT.D:666 TS_CLK2X_IOB:FROM:iobm/RESrr.Q:TO:IOACT.D:666
TS_CLK2X_IOB:FROM:iobm/RESrf.Q:TO:IOACT.D:333 TS_CLK2X_IOB:FROM:iobm/BERRrf.Q:TO:IOACT.D:666
TS_CLK2X_IOB:FROM:iobm/IOREQr.Q:TO:IOACT.D:333 TS_CLK2X_IOB:FROM:iobm/BERRrr.Q:TO:IOACT.D:666
TS_CLK2X_IOB:FROM:iobm/ETACK.Q:TO:IOACT.D:666
TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd3.Q:TO:iobm/IOS_FSM_FFd2.D:666 TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd3.Q:TO:iobm/IOS_FSM_FFd2.D:666
TS_CLK2X_IOB:FROM:iobm/ETACK.Q:TO:iobm/IOS_FSM_FFd2.D:666 TS_CLK2X_IOB:FROM:iobm/ETACK.Q:TO:iobm/IOS_FSM_FFd2.D:666
TS_CLK2X_IOB:FROM:iobm/BERRrf.Q:TO:iobm/IOS_FSM_FFd2.D:666
TS_CLK2X_IOB:FROM:iobm/BERRrr.Q:TO:iobm/IOS_FSM_FFd2.D:666 TS_CLK2X_IOB:FROM:iobm/BERRrr.Q:TO:iobm/IOS_FSM_FFd2.D:666
TS_CLK2X_IOB:FROM:iobm/BERRrf.Q:TO:iobm/IOS_FSM_FFd2.D:333 TS_CLK2X_IOB:FROM:iobm/DTACKrf.Q:TO:iobm/IOS_FSM_FFd2.D:666
TS_CLK2X_IOB:FROM:iobm/DTACKrr.Q:TO:iobm/IOS_FSM_FFd2.D:666 TS_CLK2X_IOB:FROM:iobm/DTACKrr.Q:TO:iobm/IOS_FSM_FFd2.D:666
TS_CLK2X_IOB:FROM:iobm/DTACKrf.Q:TO:iobm/IOS_FSM_FFd2.D:333 TS_CLK2X_IOB:FROM:iobm/RESrf.Q:TO:iobm/IOS_FSM_FFd2.D:666
TS_CLK2X_IOB:FROM:iobm/RESrr.Q:TO:iobm/IOS_FSM_FFd2.D:666 TS_CLK2X_IOB:FROM:iobm/RESrr.Q:TO:iobm/IOS_FSM_FFd2.D:666
TS_CLK2X_IOB:FROM:iobm/RESrf.Q:TO:iobm/IOS_FSM_FFd2.D:333
TS_CLK_FSB:FROM:cs/nOverlay0.Q:TO:cs/nOverlay1.D:400 TS_CLK_FSB:FROM:cs/nOverlay0.Q:TO:cs/nOverlay1.D:400
TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:cs/nOverlay1.CE:200 TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:cs/nOverlay1.CE:400
TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:iobs/Once.D:200
TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:iobs/Once.D:400
TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:iobs/Once.D:400
TS_CLK_FSB:FROM:iobs/PS_FSM_FFd1.Q:TO:iobs/Once.D:400
TS_CLK_FSB:FROM:iobs/PS_FSM_FFd2.Q:TO:iobs/Once.D:400
TS_CLK_FSB:FROM:iobs/Once.Q:TO:iobs/Once.D:400
TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:ram/Once.D:200
TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:ram/Once.D:400 TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:ram/Once.D:400
TS_CLK_FSB:FROM:ram/RS_FSM_FFd1.Q:TO:ram/Once.D:400 TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:ram/Once.D:400
TS_CLK_FSB:FROM:ram/RS_FSM_FFd2.Q:TO:ram/Once.D:400
TS_CLK_FSB:FROM:ram/RS_FSM_FFd3.Q:TO:ram/Once.D:400
TS_CLK_FSB:FROM:ram/Once.Q:TO:ram/Once.D:400 TS_CLK_FSB:FROM:ram/Once.Q:TO:ram/Once.D:400
TS_CLK_FSB:FROM:ram/RS_FSM_FFd2.Q:TO:ram/Once.D:400
TS_CLK_FSB:FROM:ram/RS_FSM_FFd1.Q:TO:ram/Once.D:400
TS_CLK_FSB:FROM:ram/RS_FSM_FFd3.Q:TO:ram/Once.D:400
TS_CLK_FSB:FROM:cnt/RefDone.Q:TO:cnt/RefDone.D:400 TS_CLK_FSB:FROM:cnt/RefDone.Q:TO:cnt/RefDone.D:400
TS_CLK_FSB:FROM:RefAck.Q:TO:cnt/RefDone.D:400 TS_CLK_FSB:FROM:RefAck.Q:TO:cnt/RefDone.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<5>.Q:TO:cnt/RefDone.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<6>.Q:TO:cnt/RefDone.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<7>.Q:TO:cnt/RefDone.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<0>.Q:TO:cnt/RefDone.D:400 TS_CLK_FSB:FROM:cnt/RefCnt<0>.Q:TO:cnt/RefDone.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<1>.Q:TO:cnt/RefDone.D:400 TS_CLK_FSB:FROM:cnt/RefCnt<1>.Q:TO:cnt/RefDone.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<2>.Q:TO:cnt/RefDone.D:400 TS_CLK_FSB:FROM:cnt/RefCnt<2>.Q:TO:cnt/RefDone.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<3>.Q:TO:cnt/RefDone.D:400 TS_CLK_FSB:FROM:cnt/RefCnt<3>.Q:TO:cnt/RefDone.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<4>.Q:TO:cnt/RefDone.D:400 TS_CLK_FSB:FROM:cnt/RefCnt<4>.Q:TO:cnt/RefDone.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<5>.Q:TO:cnt/RefDone.D:400 TS_CLK_FSB:FROM:iobs/Once.Q:TO:iobs/Once.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<6>.Q:TO:cnt/RefDone.D:400 TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:iobs/Once.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<7>.Q:TO:cnt/RefDone.D:400 TS_CLK_FSB:FROM:iobs/PS_FSM_FFd1.Q:TO:iobs/Once.D:400
TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:BERR_IOBS.D:200 TS_CLK_FSB:FROM:iobs/PS_FSM_FFd2.Q:TO:iobs/Once.D:400
TS_CLK_FSB:FROM:iobs/Once.Q:TO:BERR_IOBS.D:400 TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:iobs/Once.D:400
TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:BERR_IOBS.D:400 TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:iobs/Once.D:400
TS_CLK_FSB:FROM:iobs/IOACTr.Q:TO:BERR_IOBS.D:400 TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:BERR_IOBS.D:400
TS_CLK_FSB:FROM:iobs/PS_FSM_FFd2.Q:TO:BERR_IOBS.D:400
TS_CLK_FSB:FROM:BERR_IOBS.Q:TO:BERR_IOBS.D:400 TS_CLK_FSB:FROM:BERR_IOBS.Q:TO:BERR_IOBS.D:400
TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:IORW0.D:400 TS_CLK_FSB:FROM:iobs/Once.Q:TO:BERR_IOBS.D:400
TS_CLK_FSB:FROM:iobs/IORW1.Q:TO:IORW0.D:400 TS_CLK_FSB:FROM:iobs/PS_FSM_FFd2.Q:TO:BERR_IOBS.D:400
TS_CLK_FSB:FROM:iobs/IOACTr.Q:TO:BERR_IOBS.D:400
TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:BERR_IOBS.D:400
TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:IORW0.D:400 TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:IORW0.D:400
TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:IORW0.D:200
TS_CLK_FSB:FROM:iobs/Once.Q:TO:IORW0.D:400 TS_CLK_FSB:FROM:iobs/Once.Q:TO:IORW0.D:400
TS_CLK_FSB:FROM:iobs/PS_FSM_FFd1.Q:TO:IORW0.D:400
TS_CLK_FSB:FROM:iobs/PS_FSM_FFd2.Q:TO:IORW0.D:400 TS_CLK_FSB:FROM:iobs/PS_FSM_FFd2.Q:TO:IORW0.D:400
TS_CLK_FSB:FROM:iobs/PS_FSM_FFd1.Q:TO:IORW0.D:400
TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:IORW0.D:400
TS_CLK_FSB:FROM:IORW0.Q:TO:IORW0.D:400 TS_CLK_FSB:FROM:IORW0.Q:TO:IORW0.D:400
TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:TimeoutA.D:200 TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:IORW0.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<0>.Q:TO:TimeoutA.D:400 TS_CLK_FSB:FROM:iobs/IORW1.Q:TO:IORW0.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<1>.Q:TO:TimeoutA.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<2>.Q:TO:TimeoutA.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<3>.Q:TO:TimeoutA.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<4>.Q:TO:TimeoutA.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<5>.Q:TO:TimeoutA.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<6>.Q:TO:TimeoutA.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<7>.Q:TO:TimeoutA.D:400
TS_CLK_FSB:FROM:TimeoutA.Q:TO:TimeoutA.D:400
TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:cs/nOverlay0.D:200
TS_CLK_FSB:FROM:cs/nOverlay0.Q:TO:cs/nOverlay0.D:400 TS_CLK_FSB:FROM:cs/nOverlay0.Q:TO:cs/nOverlay0.D:400
TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:cs/nOverlay0.D:400
TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:IOL0.D:400 TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:IOL0.D:400
TS_CLK_FSB:FROM:iobs/IOL1.Q:TO:IOL0.D:400 TS_CLK_FSB:FROM:iobs/IOL1.Q:TO:IOL0.D:400
TS_CLK_FSB:FROM:iobs/PS_FSM_FFd2.Q:TO:IOL0.CE:400 TS_CLK_FSB:FROM:iobs/PS_FSM_FFd2.Q:TO:IOL0.CE:400
@ -101,296 +91,303 @@ TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:IOU0.D:400
TS_CLK_FSB:FROM:iobs/IOU1.Q:TO:IOU0.D:400 TS_CLK_FSB:FROM:iobs/IOU1.Q:TO:IOU0.D:400
TS_CLK_FSB:FROM:iobs/PS_FSM_FFd2.Q:TO:IOU0.CE:400 TS_CLK_FSB:FROM:iobs/PS_FSM_FFd2.Q:TO:IOU0.CE:400
TS_CLK_FSB:FROM:iobs/PS_FSM_FFd1.Q:TO:IOU0.CE:400 TS_CLK_FSB:FROM:iobs/PS_FSM_FFd1.Q:TO:IOU0.CE:400
TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:TimeoutB.D:200 TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:TimeoutA.D:400
TS_CLK_FSB:FROM:TimeoutA.Q:TO:TimeoutB.D:400 TS_CLK_FSB:FROM:TimeoutA.Q:TO:TimeoutA.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<5>.Q:TO:TimeoutA.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<6>.Q:TO:TimeoutA.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<0>.Q:TO:TimeoutA.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<1>.Q:TO:TimeoutA.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<2>.Q:TO:TimeoutA.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<3>.Q:TO:TimeoutA.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<4>.Q:TO:TimeoutA.D:400
TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:TimeoutB.D:400
TS_CLK_FSB:FROM:TimeoutB.Q:TO:TimeoutB.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<5>.Q:TO:TimeoutB.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<6>.Q:TO:TimeoutB.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<7>.Q:TO:TimeoutB.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<0>.Q:TO:TimeoutB.D:400 TS_CLK_FSB:FROM:cnt/RefCnt<0>.Q:TO:TimeoutB.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<1>.Q:TO:TimeoutB.D:400 TS_CLK_FSB:FROM:cnt/RefCnt<1>.Q:TO:TimeoutB.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<2>.Q:TO:TimeoutB.D:400 TS_CLK_FSB:FROM:cnt/RefCnt<2>.Q:TO:TimeoutB.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<3>.Q:TO:TimeoutB.D:400 TS_CLK_FSB:FROM:cnt/RefCnt<3>.Q:TO:TimeoutB.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<4>.Q:TO:TimeoutB.D:400 TS_CLK_FSB:FROM:cnt/RefCnt<4>.Q:TO:TimeoutB.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<5>.Q:TO:TimeoutB.D:400 TS_CLK_FSB:FROM:cnt/TimeoutBPre.Q:TO:TimeoutB.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<6>.Q:TO:TimeoutB.D:400 TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:cnt/TimeoutBPre.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<7>.Q:TO:TimeoutB.D:400 TS_CLK_FSB:FROM:cnt/TimeoutBPre.Q:TO:cnt/TimeoutBPre.D:400
TS_CLK_FSB:FROM:TimeoutB.Q:TO:TimeoutB.D:400 TS_CLK_FSB:FROM:cnt/RefCnt<5>.Q:TO:cnt/TimeoutBPre.D:400
TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:fsb/BERR0r.D:200 TS_CLK_FSB:FROM:cnt/RefCnt<6>.Q:TO:cnt/TimeoutBPre.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<7>.Q:TO:cnt/TimeoutBPre.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<0>.Q:TO:cnt/TimeoutBPre.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<1>.Q:TO:cnt/TimeoutBPre.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<2>.Q:TO:cnt/TimeoutBPre.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<3>.Q:TO:cnt/TimeoutBPre.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<4>.Q:TO:cnt/TimeoutBPre.D:400
TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:fsb/BERR0r.D:400
TS_CLK_FSB:FROM:TimeoutB.Q:TO:fsb/BERR0r.D:400 TS_CLK_FSB:FROM:TimeoutB.Q:TO:fsb/BERR0r.D:400
TS_CLK_FSB:FROM:fsb/BERR0r.Q:TO:fsb/BERR0r.D:400 TS_CLK_FSB:FROM:fsb/BERR0r.Q:TO:fsb/BERR0r.D:400
TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:fsb/BERR1r.D:200 TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:fsb/BERR1r.D:400
TS_CLK_FSB:FROM:BERR_IOBS.Q:TO:fsb/BERR1r.D:400 TS_CLK_FSB:FROM:BERR_IOBS.Q:TO:fsb/BERR1r.D:400
TS_CLK_FSB:FROM:fsb/BERR1r.Q:TO:fsb/BERR1r.D:400 TS_CLK_FSB:FROM:fsb/BERR1r.Q:TO:fsb/BERR1r.D:400
TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:fsb/Ready0r.D:200 TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:fsb/Ready0r.D:400
TS_CLK_FSB:FROM:ram/RAMReady.Q:TO:fsb/Ready0r.D:400
TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:fsb/Ready0r.D:400 TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:fsb/Ready0r.D:400
TS_CLK_FSB:FROM:fsb/Ready0r.Q:TO:fsb/Ready0r.D:400 TS_CLK_FSB:FROM:fsb/Ready0r.Q:TO:fsb/Ready0r.D:400
TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:fsb/Ready1r.D:200 TS_CLK_FSB:FROM:ram/RAMReady.Q:TO:fsb/Ready0r.D:400
TS_CLK_FSB:FROM:iobs/IOReady.Q:TO:fsb/Ready1r.D:400
TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:fsb/Ready1r.D:400
TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:fsb/Ready1r.D:400 TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:fsb/Ready1r.D:400
TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:fsb/Ready1r.D:400
TS_CLK_FSB:FROM:fsb/Ready1r.Q:TO:fsb/Ready1r.D:400 TS_CLK_FSB:FROM:fsb/Ready1r.Q:TO:fsb/Ready1r.D:400
TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:fsb/Ready2r.D:200 TS_CLK_FSB:FROM:iobs/IOReady.Q:TO:fsb/Ready1r.D:400
TS_CLK_FSB:FROM:TimeoutA.Q:TO:fsb/Ready2r.D:400 TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:fsb/Ready1r.D:400
TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:fsb/Ready2r.D:400
TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:fsb/Ready2r.D:400 TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:fsb/Ready2r.D:400
TS_CLK_FSB:FROM:TimeoutA.Q:TO:fsb/Ready2r.D:400
TS_CLK_FSB:FROM:fsb/Ready2r.Q:TO:fsb/Ready2r.D:400 TS_CLK_FSB:FROM:fsb/Ready2r.Q:TO:fsb/Ready2r.D:400
TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:fsb/VPA.D:200 TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:fsb/VPA.D:400
TS_CLK_FSB:FROM:fsb/BERR0r.Q:TO:fsb/VPA.D:400 TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:fsb/VPA.D:400
TS_CLK_FSB:FROM:fsb/BERR1r.Q:TO:fsb/VPA.D:400
TS_CLK_FSB:FROM:BERR_IOBS.Q:TO:fsb/VPA.D:400
TS_CLK_FSB:FROM:TimeoutB.Q:TO:fsb/VPA.D:400
TS_CLK_FSB:FROM:fsb/Ready0r.Q:TO:fsb/VPA.D:400 TS_CLK_FSB:FROM:fsb/Ready0r.Q:TO:fsb/VPA.D:400
TS_CLK_FSB:FROM:ram/RAMReady.Q:TO:fsb/VPA.D:400 TS_CLK_FSB:FROM:ram/RAMReady.Q:TO:fsb/VPA.D:400
TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:fsb/VPA.D:400 TS_CLK_FSB:FROM:fsb/VPA.Q:TO:fsb/VPA.D:400
TS_CLK_FSB:FROM:fsb/Ready2r.Q:TO:fsb/VPA.D:400 TS_CLK_FSB:FROM:BERR_IOBS.Q:TO:fsb/VPA.D:400
TS_CLK_FSB:FROM:TimeoutA.Q:TO:fsb/VPA.D:400 TS_CLK_FSB:FROM:fsb/BERR0r.Q:TO:fsb/VPA.D:400
TS_CLK_FSB:FROM:fsb/BERR1r.Q:TO:fsb/VPA.D:400
TS_CLK_FSB:FROM:fsb/Ready1r.Q:TO:fsb/VPA.D:400 TS_CLK_FSB:FROM:fsb/Ready1r.Q:TO:fsb/VPA.D:400
TS_CLK_FSB:FROM:TimeoutA.Q:TO:fsb/VPA.D:400
TS_CLK_FSB:FROM:fsb/Ready2r.Q:TO:fsb/VPA.D:400
TS_CLK_FSB:FROM:TimeoutB.Q:TO:fsb/VPA.D:400
TS_CLK_FSB:FROM:iobs/IOReady.Q:TO:fsb/VPA.D:400 TS_CLK_FSB:FROM:iobs/IOReady.Q:TO:fsb/VPA.D:400
TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:fsb/VPA.D:400 TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:fsb/VPA.D:400
TS_CLK_FSB:FROM:fsb/VPA.Q:TO:fsb/VPA.D:400
TS_CLK_FSB:FROM:iobs/Load1.Q:TO:iobs/IOL1.CE:400 TS_CLK_FSB:FROM:iobs/Load1.Q:TO:iobs/IOL1.CE:400
TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:iobs/IORW1.D:400
TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:iobs/IORW1.D:200
TS_CLK_FSB:FROM:iobs/PS_FSM_FFd1.Q:TO:iobs/IORW1.D:400
TS_CLK_FSB:FROM:iobs/PS_FSM_FFd2.Q:TO:iobs/IORW1.D:400
TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:iobs/IORW1.D:400
TS_CLK_FSB:FROM:iobs/Once.Q:TO:iobs/IORW1.D:400 TS_CLK_FSB:FROM:iobs/Once.Q:TO:iobs/IORW1.D:400
TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:iobs/IORW1.D:400
TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:iobs/IORW1.D:400
TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:iobs/IORW1.D:400
TS_CLK_FSB:FROM:iobs/IORW1.Q:TO:iobs/IORW1.D:400 TS_CLK_FSB:FROM:iobs/IORW1.Q:TO:iobs/IORW1.D:400
TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:iobs/IOReady.D:200 TS_CLK_FSB:FROM:iobs/PS_FSM_FFd2.Q:TO:iobs/IORW1.D:400
TS_CLK_FSB:FROM:iobs/Once.Q:TO:iobs/IOReady.D:400 TS_CLK_FSB:FROM:iobs/PS_FSM_FFd1.Q:TO:iobs/IORW1.D:400
TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:iobs/IOReady.D:400 TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:iobs/IOReady.D:400
TS_CLK_FSB:FROM:iobs/IOACTr.Q:TO:iobs/IOReady.D:400
TS_CLK_FSB:FROM:iobs/PS_FSM_FFd2.Q:TO:iobs/IOReady.D:400
TS_CLK_FSB:FROM:iobs/IOReady.Q:TO:iobs/IOReady.D:400 TS_CLK_FSB:FROM:iobs/IOReady.Q:TO:iobs/IOReady.D:400
TS_CLK_FSB:FROM:iobs/Once.Q:TO:iobs/IOReady.D:400
TS_CLK_FSB:FROM:iobs/PS_FSM_FFd2.Q:TO:iobs/IOReady.D:400
TS_CLK_FSB:FROM:iobs/IOACTr.Q:TO:iobs/IOReady.D:400
TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:iobs/IOReady.D:400
TS_CLK_FSB:FROM:iobs/Load1.Q:TO:iobs/IOU1.CE:400 TS_CLK_FSB:FROM:iobs/Load1.Q:TO:iobs/IOU1.CE:400
TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:ram/RAMDIS2.D:200 TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:ram/RAMDIS2.D:400
TS_CLK_FSB:FROM:ram/Once.Q:TO:ram/RAMDIS2.D:400 TS_CLK_FSB:FROM:ram/Once.Q:TO:ram/RAMDIS2.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<5>.Q:TO:ram/RAMDIS2.D:400 TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:ram/RAMDIS2.D:400
TS_CLK_FSB:FROM:ram/RS_FSM_FFd2.Q:TO:ram/RAMDIS2.D:400
TS_CLK_FSB:FROM:ram/RS_FSM_FFd1.Q:TO:ram/RAMDIS2.D:400
TS_CLK_FSB:FROM:ram/RS_FSM_FFd3.Q:TO:ram/RAMDIS2.D:400
TS_CLK_FSB:FROM:cnt/RefDone.Q:TO:ram/RAMDIS2.D:400 TS_CLK_FSB:FROM:cnt/RefDone.Q:TO:ram/RAMDIS2.D:400
TS_CLK_FSB:FROM:ram/RAMDIS2.Q:TO:ram/RAMDIS2.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<5>.Q:TO:ram/RAMDIS2.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<6>.Q:TO:ram/RAMDIS2.D:400 TS_CLK_FSB:FROM:cnt/RefCnt<6>.Q:TO:ram/RAMDIS2.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<7>.Q:TO:ram/RAMDIS2.D:400 TS_CLK_FSB:FROM:cnt/RefCnt<7>.Q:TO:ram/RAMDIS2.D:400
TS_CLK_FSB:FROM:ram/RS_FSM_FFd1.Q:TO:ram/RAMDIS2.D:400
TS_CLK_FSB:FROM:ram/RS_FSM_FFd2.Q:TO:ram/RAMDIS2.D:400
TS_CLK_FSB:FROM:ram/RS_FSM_FFd3.Q:TO:ram/RAMDIS2.D:400
TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:ram/RAMDIS2.D:400
TS_CLK_FSB:FROM:ram/RAMDIS2.Q:TO:ram/RAMDIS2.D:400
TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:nDTACK_FSB_OBUF.D:200
TS_CLK_FSB:FROM:fsb/BERR0r.Q:TO:nDTACK_FSB_OBUF.D:400
TS_CLK_FSB:FROM:fsb/BERR1r.Q:TO:nDTACK_FSB_OBUF.D:400
TS_CLK_FSB:FROM:BERR_IOBS.Q:TO:nDTACK_FSB_OBUF.D:400
TS_CLK_FSB:FROM:TimeoutB.Q:TO:nDTACK_FSB_OBUF.D:400
TS_CLK_FSB:FROM:fsb/Ready0r.Q:TO:nDTACK_FSB_OBUF.D:400
TS_CLK_FSB:FROM:ram/RAMReady.Q:TO:nDTACK_FSB_OBUF.D:400
TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:nDTACK_FSB_OBUF.D:400 TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:nDTACK_FSB_OBUF.D:400
TS_CLK_FSB:FROM:fsb/Ready2r.Q:TO:nDTACK_FSB_OBUF.D:400
TS_CLK_FSB:FROM:TimeoutA.Q:TO:nDTACK_FSB_OBUF.D:400 TS_CLK_FSB:FROM:TimeoutA.Q:TO:nDTACK_FSB_OBUF.D:400
TS_CLK_FSB:FROM:fsb/Ready2r.Q:TO:nDTACK_FSB_OBUF.D:400
TS_CLK_FSB:FROM:nDTACK_FSB_OBUF.Q:TO:nDTACK_FSB_OBUF.D:400
TS_CLK_FSB:FROM:fsb/Ready1r.Q:TO:nDTACK_FSB_OBUF.D:400 TS_CLK_FSB:FROM:fsb/Ready1r.Q:TO:nDTACK_FSB_OBUF.D:400
TS_CLK_FSB:FROM:iobs/IOReady.Q:TO:nDTACK_FSB_OBUF.D:400 TS_CLK_FSB:FROM:iobs/IOReady.Q:TO:nDTACK_FSB_OBUF.D:400
TS_CLK_FSB:FROM:fsb/Ready0r.Q:TO:nDTACK_FSB_OBUF.D:400
TS_CLK_FSB:FROM:ram/RAMReady.Q:TO:nDTACK_FSB_OBUF.D:400
TS_CLK_FSB:FROM:BERR_IOBS.Q:TO:nDTACK_FSB_OBUF.D:400
TS_CLK_FSB:FROM:fsb/BERR0r.Q:TO:nDTACK_FSB_OBUF.D:400
TS_CLK_FSB:FROM:fsb/BERR1r.Q:TO:nDTACK_FSB_OBUF.D:400
TS_CLK_FSB:FROM:TimeoutB.Q:TO:nDTACK_FSB_OBUF.D:400
TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:nDTACK_FSB_OBUF.D:400 TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:nDTACK_FSB_OBUF.D:400
TS_CLK_FSB:FROM:nDTACK_FSB_OBUF.Q:TO:nDTACK_FSB_OBUF.D:400 TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:nDTACK_FSB_OBUF.D:400
TS_CLK_FSB:FROM:ram/RS_FSM_FFd3.Q:TO:ram/RASEL.D:400 TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:ram/RASEL.D:400
TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:ram/RASEL.D:400
TS_CLK_FSB:FROM:ram/RS_FSM_FFd2.Q:TO:ram/RASEL.D:400
TS_CLK_FSB:FROM:ram/RS_FSM_FFd1.Q:TO:ram/RASEL.D:400 TS_CLK_FSB:FROM:ram/RS_FSM_FFd1.Q:TO:ram/RASEL.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<5>.Q:TO:ram/RASEL.D:400
TS_CLK_FSB:FROM:cnt/RefDone.Q:TO:ram/RASEL.D:400 TS_CLK_FSB:FROM:cnt/RefDone.Q:TO:ram/RASEL.D:400
TS_CLK_FSB:FROM:ram/BACTr.Q:TO:ram/RASEL.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<5>.Q:TO:ram/RASEL.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<6>.Q:TO:ram/RASEL.D:400 TS_CLK_FSB:FROM:cnt/RefCnt<6>.Q:TO:ram/RASEL.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<7>.Q:TO:ram/RASEL.D:400 TS_CLK_FSB:FROM:cnt/RefCnt<7>.Q:TO:ram/RASEL.D:400
TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:ram/RASEL.D:200 TS_CLK_FSB:FROM:ram/RS_FSM_FFd3.Q:TO:ram/RASEL.D:400
TS_CLK_FSB:FROM:ram/RS_FSM_FFd2.Q:TO:ram/RASEL.D:400
TS_CLK_FSB:FROM:ram/BACTr.Q:TO:ram/RASEL.D:400
TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:ram/RASEL.D:400
TS_CLK_FSB:FROM:ram/Once.Q:TO:ram/RASEL.D:400 TS_CLK_FSB:FROM:ram/Once.Q:TO:ram/RASEL.D:400
TS_CLK_FSB:FROM:ram/RS_FSM_FFd2.Q:TO:ram/RS_FSM_FFd2.D:400 TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:ram/RS_FSM_FFd2.D:400
TS_CLK_FSB:FROM:ram/RS_FSM_FFd3.Q:TO:ram/RS_FSM_FFd2.D:400
TS_CLK_FSB:FROM:ram/RS_FSM_FFd1.Q:TO:ram/RS_FSM_FFd2.D:400 TS_CLK_FSB:FROM:ram/RS_FSM_FFd1.Q:TO:ram/RS_FSM_FFd2.D:400
TS_CLK_FSB:FROM:ram/RS_FSM_FFd3.Q:TO:ram/RS_FSM_FFd2.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<5>.Q:TO:ram/RS_FSM_FFd2.D:400 TS_CLK_FSB:FROM:cnt/RefCnt<5>.Q:TO:ram/RS_FSM_FFd2.D:400
TS_CLK_FSB:FROM:cnt/RefDone.Q:TO:ram/RS_FSM_FFd2.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<6>.Q:TO:ram/RS_FSM_FFd2.D:400 TS_CLK_FSB:FROM:cnt/RefCnt<6>.Q:TO:ram/RS_FSM_FFd2.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<7>.Q:TO:ram/RS_FSM_FFd2.D:400 TS_CLK_FSB:FROM:cnt/RefCnt<7>.Q:TO:ram/RS_FSM_FFd2.D:400
TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:ram/RS_FSM_FFd2.D:200
TS_CLK_FSB:FROM:ram/BACTr.Q:TO:ram/RS_FSM_FFd2.D:400
TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:ram/RS_FSM_FFd2.D:400 TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:ram/RS_FSM_FFd2.D:400
TS_CLK_FSB:FROM:ram/RS_FSM_FFd1.Q:TO:ram/RS_FSM_FFd1.D:400 TS_CLK_FSB:FROM:ram/RS_FSM_FFd2.Q:TO:ram/RS_FSM_FFd2.D:400
TS_CLK_FSB:FROM:cnt/RefDone.Q:TO:ram/RS_FSM_FFd2.D:400
TS_CLK_FSB:FROM:ram/BACTr.Q:TO:ram/RS_FSM_FFd2.D:400
TS_CLK_FSB:FROM:ram/RS_FSM_FFd2.Q:TO:ram/RS_FSM_FFd1.D:400 TS_CLK_FSB:FROM:ram/RS_FSM_FFd2.Q:TO:ram/RS_FSM_FFd1.D:400
TS_CLK_FSB:FROM:ram/RS_FSM_FFd3.Q:TO:ram/RS_FSM_FFd1.D:400 TS_CLK_FSB:FROM:ram/RS_FSM_FFd3.Q:TO:ram/RS_FSM_FFd1.D:400
TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:ram/RS_FSM_FFd1.D:200
TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:ram/RS_FSM_FFd1.D:400 TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:ram/RS_FSM_FFd1.D:400
TS_CLK_FSB:FROM:ram/Once.Q:TO:ram/RS_FSM_FFd1.D:400 TS_CLK_FSB:FROM:ram/Once.Q:TO:ram/RS_FSM_FFd1.D:400
TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:ram/RS_FSM_FFd3.D:200 TS_CLK_FSB:FROM:ram/RS_FSM_FFd1.Q:TO:ram/RS_FSM_FFd1.D:400
TS_CLK_FSB:FROM:ram/RS_FSM_FFd1.Q:TO:ram/RS_FSM_FFd3.D:400 TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:ram/RS_FSM_FFd1.D:400
TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:ram/RS_FSM_FFd3.D:400
TS_CLK_FSB:FROM:ram/RS_FSM_FFd2.Q:TO:ram/RS_FSM_FFd3.D:400 TS_CLK_FSB:FROM:ram/RS_FSM_FFd2.Q:TO:ram/RS_FSM_FFd3.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<5>.Q:TO:ram/RS_FSM_FFd3.D:400 TS_CLK_FSB:FROM:ram/RS_FSM_FFd1.Q:TO:ram/RS_FSM_FFd3.D:400
TS_CLK_FSB:FROM:ram/RS_FSM_FFd3.Q:TO:ram/RS_FSM_FFd3.D:400
TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:ram/RS_FSM_FFd3.D:400
TS_CLK_FSB:FROM:cnt/RefDone.Q:TO:ram/RS_FSM_FFd3.D:400 TS_CLK_FSB:FROM:cnt/RefDone.Q:TO:ram/RS_FSM_FFd3.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<5>.Q:TO:ram/RS_FSM_FFd3.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<6>.Q:TO:ram/RS_FSM_FFd3.D:400 TS_CLK_FSB:FROM:cnt/RefCnt<6>.Q:TO:ram/RS_FSM_FFd3.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<7>.Q:TO:ram/RS_FSM_FFd3.D:400 TS_CLK_FSB:FROM:cnt/RefCnt<7>.Q:TO:ram/RS_FSM_FFd3.D:400
TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:ram/RS_FSM_FFd3.D:400
TS_CLK_FSB:FROM:ram/Once.Q:TO:ram/RS_FSM_FFd3.D:400 TS_CLK_FSB:FROM:ram/Once.Q:TO:ram/RS_FSM_FFd3.D:400
TS_CLK_FSB:FROM:ram/RS_FSM_FFd3.Q:TO:ram/RS_FSM_FFd3.D:400
TS_CLK_FSB:FROM:iobs/PS_FSM_FFd2.Q:TO:iobs/PS_FSM_FFd2.D:400 TS_CLK_FSB:FROM:iobs/PS_FSM_FFd2.Q:TO:iobs/PS_FSM_FFd2.D:400
TS_CLK_FSB:FROM:iobs/IOACTr.Q:TO:iobs/PS_FSM_FFd2.D:400
TS_CLK_FSB:FROM:iobs/PS_FSM_FFd1.Q:TO:iobs/PS_FSM_FFd2.D:400 TS_CLK_FSB:FROM:iobs/PS_FSM_FFd1.Q:TO:iobs/PS_FSM_FFd2.D:400
TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:iobs/PS_FSM_FFd2.D:400 TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:iobs/PS_FSM_FFd2.D:400
TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:iobs/PS_FSM_FFd2.D:400 TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:iobs/PS_FSM_FFd2.D:400
TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:iobs/PS_FSM_FFd2.D:200 TS_CLK_FSB:FROM:iobs/IOACTr.Q:TO:iobs/PS_FSM_FFd2.D:400
TS_CLK_FSB:FROM:iobs/Once.Q:TO:iobs/PS_FSM_FFd2.D:400 TS_CLK_FSB:FROM:iobs/Once.Q:TO:iobs/PS_FSM_FFd2.D:400
TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:iobs/PS_FSM_FFd2.D:400
TS_CLK_FSB:FROM:iobs/PS_FSM_FFd2.Q:TO:iobs/PS_FSM_FFd1.D:400 TS_CLK_FSB:FROM:iobs/PS_FSM_FFd2.Q:TO:iobs/PS_FSM_FFd1.D:400
TS_CLK_FSB:FROM:iobs/IOACTr.Q:TO:iobs/PS_FSM_FFd1.D:400
TS_CLK_FSB:FROM:iobs/PS_FSM_FFd1.Q:TO:iobs/PS_FSM_FFd1.D:400 TS_CLK_FSB:FROM:iobs/PS_FSM_FFd1.Q:TO:iobs/PS_FSM_FFd1.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<0>.Q:TO:cnt/RefCnt<0>.D:400 TS_CLK_FSB:FROM:iobs/IOACTr.Q:TO:iobs/PS_FSM_FFd1.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<5>.Q:TO:cnt/RefCnt<5>.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<4>.Q:TO:cnt/RefCnt<5>.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<3>.Q:TO:cnt/RefCnt<5>.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<2>.Q:TO:cnt/RefCnt<5>.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<0>.Q:TO:cnt/RefCnt<5>.D:400 TS_CLK_FSB:FROM:cnt/RefCnt<0>.Q:TO:cnt/RefCnt<5>.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<1>.Q:TO:cnt/RefCnt<5>.D:400 TS_CLK_FSB:FROM:cnt/RefCnt<1>.Q:TO:cnt/RefCnt<5>.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<6>.Q:TO:cnt/RefCnt<6>.D:400 TS_CLK_FSB:FROM:cnt/RefCnt<2>.Q:TO:cnt/RefCnt<5>.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<3>.Q:TO:cnt/RefCnt<5>.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<4>.Q:TO:cnt/RefCnt<5>.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<5>.Q:TO:cnt/RefCnt<6>.D:400 TS_CLK_FSB:FROM:cnt/RefCnt<5>.Q:TO:cnt/RefCnt<6>.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<4>.Q:TO:cnt/RefCnt<6>.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<3>.Q:TO:cnt/RefCnt<6>.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<2>.Q:TO:cnt/RefCnt<6>.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<0>.Q:TO:cnt/RefCnt<6>.D:400 TS_CLK_FSB:FROM:cnt/RefCnt<0>.Q:TO:cnt/RefCnt<6>.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<1>.Q:TO:cnt/RefCnt<6>.D:400 TS_CLK_FSB:FROM:cnt/RefCnt<1>.Q:TO:cnt/RefCnt<6>.D:400
TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:ram/BACTr.D:200 TS_CLK_FSB:FROM:cnt/RefCnt<2>.Q:TO:cnt/RefCnt<6>.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<1>.Q:TO:cnt/RefCnt<1>.D:400 TS_CLK_FSB:FROM:cnt/RefCnt<3>.Q:TO:cnt/RefCnt<6>.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<4>.Q:TO:cnt/RefCnt<6>.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<0>.Q:TO:cnt/RefCnt<1>.D:400 TS_CLK_FSB:FROM:cnt/RefCnt<0>.Q:TO:cnt/RefCnt<1>.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<2>.Q:TO:cnt/RefCnt<2>.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<0>.Q:TO:cnt/RefCnt<2>.D:400 TS_CLK_FSB:FROM:cnt/RefCnt<0>.Q:TO:cnt/RefCnt<2>.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<1>.Q:TO:cnt/RefCnt<2>.D:400 TS_CLK_FSB:FROM:cnt/RefCnt<1>.Q:TO:cnt/RefCnt<2>.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<3>.Q:TO:cnt/RefCnt<3>.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<2>.Q:TO:cnt/RefCnt<3>.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<0>.Q:TO:cnt/RefCnt<3>.D:400 TS_CLK_FSB:FROM:cnt/RefCnt<0>.Q:TO:cnt/RefCnt<3>.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<1>.Q:TO:cnt/RefCnt<3>.D:400 TS_CLK_FSB:FROM:cnt/RefCnt<1>.Q:TO:cnt/RefCnt<3>.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<4>.Q:TO:cnt/RefCnt<4>.D:400 TS_CLK_FSB:FROM:cnt/RefCnt<2>.Q:TO:cnt/RefCnt<3>.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<3>.Q:TO:cnt/RefCnt<4>.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<2>.Q:TO:cnt/RefCnt<4>.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<0>.Q:TO:cnt/RefCnt<4>.D:400 TS_CLK_FSB:FROM:cnt/RefCnt<0>.Q:TO:cnt/RefCnt<4>.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<1>.Q:TO:cnt/RefCnt<4>.D:400 TS_CLK_FSB:FROM:cnt/RefCnt<1>.Q:TO:cnt/RefCnt<4>.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<7>.Q:TO:cnt/RefCnt<7>.D:400 TS_CLK_FSB:FROM:cnt/RefCnt<2>.Q:TO:cnt/RefCnt<4>.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<6>.Q:TO:cnt/RefCnt<7>.D:400 TS_CLK_FSB:FROM:cnt/RefCnt<3>.Q:TO:cnt/RefCnt<4>.D:400
TS_CLK_FSB:FROM:iobs/Once.Q:TO:iobs/Load1.D:400
TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:iobs/Load1.D:400
TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:iobs/Load1.D:400
TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:iobs/Load1.D:400
TS_CLK_FSB:FROM:iobs/PS_FSM_FFd2.Q:TO:iobs/Load1.D:400
TS_CLK_FSB:FROM:iobs/PS_FSM_FFd1.Q:TO:iobs/Load1.D:400
TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:ram/BACTr.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<5>.Q:TO:cnt/RefCnt<7>.D:400 TS_CLK_FSB:FROM:cnt/RefCnt<5>.Q:TO:cnt/RefCnt<7>.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<4>.Q:TO:cnt/RefCnt<7>.D:400 TS_CLK_FSB:FROM:cnt/RefCnt<6>.Q:TO:cnt/RefCnt<7>.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<3>.Q:TO:cnt/RefCnt<7>.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<2>.Q:TO:cnt/RefCnt<7>.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<0>.Q:TO:cnt/RefCnt<7>.D:400 TS_CLK_FSB:FROM:cnt/RefCnt<0>.Q:TO:cnt/RefCnt<7>.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<1>.Q:TO:cnt/RefCnt<7>.D:400 TS_CLK_FSB:FROM:cnt/RefCnt<1>.Q:TO:cnt/RefCnt<7>.D:400
TS_CLK_FSB:FROM:ram/RS_FSM_FFd1.Q:TO:RefAck.D:400 TS_CLK_FSB:FROM:cnt/RefCnt<2>.Q:TO:cnt/RefCnt<7>.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<3>.Q:TO:cnt/RefCnt<7>.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<4>.Q:TO:cnt/RefCnt<7>.D:400
TS_CLK_FSB:FROM:ram/RS_FSM_FFd2.Q:TO:RefAck.D:400 TS_CLK_FSB:FROM:ram/RS_FSM_FFd2.Q:TO:RefAck.D:400
TS_CLK_FSB:FROM:iobs/Once.Q:TO:iobs/Load1.D:400 TS_CLK_FSB:FROM:ram/RS_FSM_FFd1.Q:TO:RefAck.D:400
TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:iobs/Load1.D:400
TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:iobs/Load1.D:200
TS_CLK_FSB:FROM:iobs/PS_FSM_FFd1.Q:TO:iobs/Load1.D:400
TS_CLK_FSB:FROM:iobs/PS_FSM_FFd2.Q:TO:iobs/Load1.D:400
TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:iobs/Load1.D:400
TS_CLK_FSB:FROM:iobs/PS_FSM_FFd1.Q:TO:ALE0S.D:400
TS_CLK_FSB:FROM:iobs/PS_FSM_FFd2.Q:TO:ALE0S.D:400 TS_CLK_FSB:FROM:iobs/PS_FSM_FFd2.Q:TO:ALE0S.D:400
TS_CLK_FSB:FROM:iobs/PS_FSM_FFd1.Q:TO:ALE0S.D:400
TS_CLK_FSB:FROM:iobs/PS_FSM_FFd2.Q:TO:IOREQ.D:400 TS_CLK_FSB:FROM:iobs/PS_FSM_FFd2.Q:TO:IOREQ.D:400
TS_CLK_FSB:FROM:iobs/IOACTr.Q:TO:IOREQ.D:400
TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:IOREQ.D:400 TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:IOREQ.D:400
TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:IOREQ.D:400 TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:IOREQ.D:400
TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:IOREQ.D:200
TS_CLK_FSB:FROM:iobs/Once.Q:TO:IOREQ.D:400
TS_CLK_FSB:FROM:iobs/PS_FSM_FFd1.Q:TO:IOREQ.D:400 TS_CLK_FSB:FROM:iobs/PS_FSM_FFd1.Q:TO:IOREQ.D:400
TS_CLK_FSB:FROM:iobs/IOACTr.Q:TO:IOREQ.D:400
TS_CLK_FSB:FROM:iobs/Once.Q:TO:IOREQ.D:400
TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:IOREQ.D:400
TS_CLK_FSB:FROM:iobs/PS_FSM_FFd2.Q:TO:iobs/Clear1.D:400
TS_CLK_FSB:FROM:iobs/PS_FSM_FFd1.Q:TO:iobs/Clear1.D:400
TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:iobs/Clear1.D:400
TS_CLK_FSB:FROM:ram/RS_FSM_FFd1.Q:TO:ram/RAMDIS1.D:400 TS_CLK_FSB:FROM:ram/RS_FSM_FFd1.Q:TO:ram/RAMDIS1.D:400
TS_CLK_FSB:FROM:ram/RS_FSM_FFd2.Q:TO:ram/RAMDIS1.D:400
TS_CLK_FSB:FROM:ram/RS_FSM_FFd3.Q:TO:ram/RAMDIS1.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<5>.Q:TO:ram/RAMDIS1.D:400
TS_CLK_FSB:FROM:cnt/RefDone.Q:TO:ram/RAMDIS1.D:400 TS_CLK_FSB:FROM:cnt/RefDone.Q:TO:ram/RAMDIS1.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<5>.Q:TO:ram/RAMDIS1.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<6>.Q:TO:ram/RAMDIS1.D:400 TS_CLK_FSB:FROM:cnt/RefCnt<6>.Q:TO:ram/RAMDIS1.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<7>.Q:TO:ram/RAMDIS1.D:400 TS_CLK_FSB:FROM:cnt/RefCnt<7>.Q:TO:ram/RAMDIS1.D:400
TS_CLK_FSB:FROM:ram/Once.Q:TO:ram/RAMDIS1.D:400
TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:ram/RAMDIS1.D:200
TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:ram/RAMDIS1.D:400 TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:ram/RAMDIS1.D:400
TS_CLK_FSB:FROM:ram/BACTr.Q:TO:ram/RAMDIS1.D:400 TS_CLK_FSB:FROM:ram/BACTr.Q:TO:ram/RAMDIS1.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<5>.Q:TO:ram/RAMReady.D:400 TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:ram/RAMDIS1.D:400
TS_CLK_FSB:FROM:ram/Once.Q:TO:ram/RAMDIS1.D:400
TS_CLK_FSB:FROM:ram/RS_FSM_FFd2.Q:TO:ram/RAMDIS1.D:400
TS_CLK_FSB:FROM:ram/RS_FSM_FFd3.Q:TO:ram/RAMDIS1.D:400
TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:ram/RAMReady.D:400
TS_CLK_FSB:FROM:ram/Once.Q:TO:ram/RAMReady.D:400
TS_CLK_FSB:FROM:ram/RS_FSM_FFd1.Q:TO:ram/RAMReady.D:400
TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:ram/RAMReady.D:400
TS_CLK_FSB:FROM:cnt/RefDone.Q:TO:ram/RAMReady.D:400 TS_CLK_FSB:FROM:cnt/RefDone.Q:TO:ram/RAMReady.D:400
TS_CLK_FSB:FROM:ram/BACTr.Q:TO:ram/RAMReady.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<5>.Q:TO:ram/RAMReady.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<6>.Q:TO:ram/RAMReady.D:400 TS_CLK_FSB:FROM:cnt/RefCnt<6>.Q:TO:ram/RAMReady.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<7>.Q:TO:ram/RAMReady.D:400 TS_CLK_FSB:FROM:cnt/RefCnt<7>.Q:TO:ram/RAMReady.D:400
TS_CLK_FSB:FROM:ram/RS_FSM_FFd1.Q:TO:ram/RAMReady.D:400
TS_CLK_FSB:FROM:ram/RS_FSM_FFd2.Q:TO:ram/RAMReady.D:400 TS_CLK_FSB:FROM:ram/RS_FSM_FFd2.Q:TO:ram/RAMReady.D:400
TS_CLK_FSB:FROM:ram/RS_FSM_FFd3.Q:TO:ram/RAMReady.D:400 TS_CLK_FSB:FROM:ram/RS_FSM_FFd3.Q:TO:ram/RAMReady.D:400
TS_CLK_FSB:FROM:ram/Once.Q:TO:ram/RAMReady.D:400 TS_CLK_FSB:FROM:ram/RASEL.Q:TO:nCAS_OBUF.D:400
TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:ram/RAMReady.D:400 TS_CLK_FSB:FROM:iobs/Load1.Q:TO:nADoutLE1_OBUF.D:400
TS_CLK_FSB:FROM:ram/BACTr.Q:TO:ram/RAMReady.D:400
TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:ram/RAMReady.D:200
TS_CLK_FSB:FROM:ram/RASEL.Q:TO:nCAS_OBUF.D:200
TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:nADoutLE1_OBUF.D:400 TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:nADoutLE1_OBUF.D:400
TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:nADoutLE1_OBUF.D:400 TS_CLK_FSB:FROM:iobs/Clear1.Q:TO:nADoutLE1_OBUF.D:400
TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:nADoutLE1_OBUF.D:200
TS_CLK_FSB:FROM:iobs/PS_FSM_FFd1.Q:TO:nADoutLE1_OBUF.D:400
TS_CLK_FSB:FROM:iobs/PS_FSM_FFd2.Q:TO:nADoutLE1_OBUF.D:400
TS_CLK_FSB:FROM:iobs/Once.Q:TO:nADoutLE1_OBUF.D:400
TS_CLK2X_IOB:FROM:nVMA_IOB_OBUF.Q:TO:nVMA_IOB_OBUF.D:666 TS_CLK2X_IOB:FROM:nVMA_IOB_OBUF.Q:TO:nVMA_IOB_OBUF.D:666
TS_CLK2X_IOB:FROM:IOACT.Q:TO:nVMA_IOB_OBUF.D:666
TS_CLK2X_IOB:FROM:iobm/ES<0>.Q:TO:nVMA_IOB_OBUF.D:666 TS_CLK2X_IOB:FROM:iobm/ES<0>.Q:TO:nVMA_IOB_OBUF.D:666
TS_CLK2X_IOB:FROM:iobm/ES<1>.Q:TO:nVMA_IOB_OBUF.D:666 TS_CLK2X_IOB:FROM:iobm/ES<1>.Q:TO:nVMA_IOB_OBUF.D:666
TS_CLK2X_IOB:FROM:iobm/ES<2>.Q:TO:nVMA_IOB_OBUF.D:666 TS_CLK2X_IOB:FROM:iobm/ES<2>.Q:TO:nVMA_IOB_OBUF.D:666
TS_CLK2X_IOB:FROM:iobm/VPArr.Q:TO:nVMA_IOB_OBUF.D:666
TS_CLK2X_IOB:FROM:iobm/VPArf.Q:TO:nVMA_IOB_OBUF.D:333
TS_CLK2X_IOB:FROM:iobm/ES<3>.Q:TO:nVMA_IOB_OBUF.D:666 TS_CLK2X_IOB:FROM:iobm/ES<3>.Q:TO:nVMA_IOB_OBUF.D:666
TS_CLK2X_IOB:FROM:iobm/ES<4>.Q:TO:nVMA_IOB_OBUF.D:666 TS_CLK2X_IOB:FROM:iobm/ES<4>.Q:TO:nVMA_IOB_OBUF.D:666
TS_CLK2X_IOB:FROM:IOACT.Q:TO:nVMA_IOB_OBUF.D:666
TS_CLK2X_IOB:FROM:iobm/VPArf.Q:TO:nVMA_IOB_OBUF.D:666
TS_CLK2X_IOB:FROM:iobm/VPArr.Q:TO:nVMA_IOB_OBUF.D:666
TS_CLK2X_IOB:FROM:iobm/ES<0>.Q:TO:iobm/ES<0>.D:666
TS_CLK2X_IOB:FROM:iobm/Er2.Q:TO:iobm/ES<0>.D:666 TS_CLK2X_IOB:FROM:iobm/Er2.Q:TO:iobm/ES<0>.D:666
TS_CLK2X_IOB:FROM:iobm/ES<1>.Q:TO:iobm/ES<0>.D:666 TS_CLK2X_IOB:FROM:iobm/ES<1>.Q:TO:iobm/ES<0>.D:666
TS_CLK2X_IOB:FROM:iobm/ES<2>.Q:TO:iobm/ES<0>.D:666 TS_CLK2X_IOB:FROM:iobm/ES<2>.Q:TO:iobm/ES<0>.D:666
TS_CLK2X_IOB:FROM:iobm/ES<3>.Q:TO:iobm/ES<0>.D:666 TS_CLK2X_IOB:FROM:iobm/ES<3>.Q:TO:iobm/ES<0>.D:666
TS_CLK2X_IOB:FROM:iobm/ES<4>.Q:TO:iobm/ES<0>.D:666 TS_CLK2X_IOB:FROM:iobm/ES<4>.Q:TO:iobm/ES<0>.D:666
TS_CLK2X_IOB:FROM:iobm/ES<0>.Q:TO:iobm/ES<0>.D:666
TS_CLK2X_IOB:FROM:iobm/ES<1>.Q:TO:iobm/ES<1>.D:666
TS_CLK2X_IOB:FROM:iobm/ES<0>.Q:TO:iobm/ES<1>.D:666 TS_CLK2X_IOB:FROM:iobm/ES<0>.Q:TO:iobm/ES<1>.D:666
TS_CLK2X_IOB:FROM:iobm/ES<1>.Q:TO:iobm/ES<1>.D:666
TS_CLK2X_IOB:FROM:iobm/Er2.Q:TO:iobm/ES<1>.D:666 TS_CLK2X_IOB:FROM:iobm/Er2.Q:TO:iobm/ES<1>.D:666
TS_CLK2X_IOB:FROM:iobm/ES<4>.Q:TO:iobm/ES<1>.D:666
TS_CLK2X_IOB:FROM:iobm/ES<2>.Q:TO:iobm/ES<1>.D:666
TS_CLK2X_IOB:FROM:iobm/ES<3>.Q:TO:iobm/ES<1>.D:666
TS_CLK2X_IOB:FROM:iobm/ES<2>.Q:TO:iobm/ES<2>.D:666
TS_CLK2X_IOB:FROM:iobm/ES<0>.Q:TO:iobm/ES<2>.D:666 TS_CLK2X_IOB:FROM:iobm/ES<0>.Q:TO:iobm/ES<2>.D:666
TS_CLK2X_IOB:FROM:iobm/ES<2>.Q:TO:iobm/ES<2>.D:666
TS_CLK2X_IOB:FROM:iobm/ES<1>.Q:TO:iobm/ES<2>.D:666 TS_CLK2X_IOB:FROM:iobm/ES<1>.Q:TO:iobm/ES<2>.D:666
TS_CLK2X_IOB:FROM:iobm/Er2.Q:TO:iobm/ES<2>.D:666 TS_CLK2X_IOB:FROM:iobm/Er2.Q:TO:iobm/ES<2>.D:666
TS_CLK2X_IOB:FROM:iobm/ES<4>.Q:TO:iobm/ES<2>.D:666
TS_CLK2X_IOB:FROM:iobm/ES<3>.Q:TO:iobm/ES<2>.D:666 TS_CLK2X_IOB:FROM:iobm/ES<3>.Q:TO:iobm/ES<2>.D:666
TS_CLK2X_IOB:FROM:iobm/ES<4>.Q:TO:iobm/ES<2>.D:666
TS_CLK2X_IOB:FROM:iobm/ES<3>.Q:TO:iobm/ES<3>.D:666 TS_CLK2X_IOB:FROM:iobm/ES<3>.Q:TO:iobm/ES<3>.D:666
TS_CLK2X_IOB:FROM:iobm/ES<2>.Q:TO:iobm/ES<3>.D:666 TS_CLK2X_IOB:FROM:iobm/Er2.Q:TO:iobm/ES<3>.D:666
TS_CLK2X_IOB:FROM:iobm/ES<0>.Q:TO:iobm/ES<3>.D:666 TS_CLK2X_IOB:FROM:iobm/ES<0>.Q:TO:iobm/ES<3>.D:666
TS_CLK2X_IOB:FROM:iobm/ES<1>.Q:TO:iobm/ES<3>.D:666 TS_CLK2X_IOB:FROM:iobm/ES<1>.Q:TO:iobm/ES<3>.D:666
TS_CLK2X_IOB:FROM:iobm/Er2.Q:TO:iobm/ES<3>.D:666 TS_CLK2X_IOB:FROM:iobm/ES<2>.Q:TO:iobm/ES<3>.D:666
TS_CLK2X_IOB:FROM:iobm/ES<4>.Q:TO:iobm/ES<3>.D:666
TS_CLK2X_IOB:FROM:iobm/ES<4>.Q:TO:iobm/ES<4>.D:666 TS_CLK2X_IOB:FROM:iobm/ES<4>.Q:TO:iobm/ES<4>.D:666
TS_CLK2X_IOB:FROM:iobm/ES<3>.Q:TO:iobm/ES<4>.D:666 TS_CLK2X_IOB:FROM:iobm/Er2.Q:TO:iobm/ES<4>.D:666
TS_CLK2X_IOB:FROM:iobm/ES<2>.Q:TO:iobm/ES<4>.D:666
TS_CLK2X_IOB:FROM:iobm/ES<0>.Q:TO:iobm/ES<4>.D:666 TS_CLK2X_IOB:FROM:iobm/ES<0>.Q:TO:iobm/ES<4>.D:666
TS_CLK2X_IOB:FROM:iobm/ES<1>.Q:TO:iobm/ES<4>.D:666 TS_CLK2X_IOB:FROM:iobm/ES<1>.Q:TO:iobm/ES<4>.D:666
TS_CLK2X_IOB:FROM:iobm/Er2.Q:TO:iobm/ES<4>.D:666 TS_CLK2X_IOB:FROM:iobm/ES<2>.Q:TO:iobm/ES<4>.D:666
TS_CLK2X_IOB:FROM:iobm/ES<3>.Q:TO:iobm/ES<4>.D:666
TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd5.Q:TO:iobm/IOS_FSM_FFd4.D:666 TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd5.Q:TO:iobm/IOS_FSM_FFd4.D:666
TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd6.Q:TO:iobm/IOS_FSM_FFd5.D:666 TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd6.Q:TO:iobm/IOS_FSM_FFd5.D:666
TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd7.Q:TO:iobm/IOS_FSM_FFd6.D:666 TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd7.Q:TO:iobm/IOS_FSM_FFd6.D:666
TS_CLK2X_IOB:FROM:nVMA_IOB_OBUF.Q:TO:iobm/ETACK.D:666
TS_CLK2X_IOB:FROM:iobm/ES<0>.Q:TO:iobm/ETACK.D:666 TS_CLK2X_IOB:FROM:iobm/ES<0>.Q:TO:iobm/ETACK.D:666
TS_CLK2X_IOB:FROM:iobm/ES<1>.Q:TO:iobm/ETACK.D:666 TS_CLK2X_IOB:FROM:iobm/ES<1>.Q:TO:iobm/ETACK.D:666
TS_CLK2X_IOB:FROM:iobm/ES<2>.Q:TO:iobm/ETACK.D:666 TS_CLK2X_IOB:FROM:iobm/ES<2>.Q:TO:iobm/ETACK.D:666
TS_CLK2X_IOB:FROM:iobm/ES<3>.Q:TO:iobm/ETACK.D:666 TS_CLK2X_IOB:FROM:iobm/ES<3>.Q:TO:iobm/ETACK.D:666
TS_CLK2X_IOB:FROM:iobm/ES<4>.Q:TO:iobm/ETACK.D:666 TS_CLK2X_IOB:FROM:iobm/ES<4>.Q:TO:iobm/ETACK.D:666
TS_CLK2X_IOB:FROM:nVMA_IOB_OBUF.Q:TO:iobm/ETACK.D:666
TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd3.Q:TO:ALE0M.D:666 TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd3.Q:TO:ALE0M.D:666
TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd4.Q:TO:ALE0M.D:666
TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd5.Q:TO:ALE0M.D:666 TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd5.Q:TO:ALE0M.D:666
TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd6.Q:TO:ALE0M.D:666 TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd6.Q:TO:ALE0M.D:666
TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd7.Q:TO:ALE0M.D:666 TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd7.Q:TO:ALE0M.D:666
TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd4.Q:TO:ALE0M.D:666 TS_CLK2X_IOB:FROM:iobm/IOREQr.Q:TO:ALE0M.D:666
TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd8.Q:TO:ALE0M.D:666 TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd8.Q:TO:ALE0M.D:666
TS_CLK2X_IOB:FROM:iobm/IOREQr.Q:TO:ALE0M.D:333
TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd2.Q:TO:iobm/IOS_FSM_FFd1.D:666 TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd2.Q:TO:iobm/IOS_FSM_FFd1.D:666
TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd3.Q:TO:nAS_IOB_OBUF.D:333 TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd3.Q:TO:nAS_IOB_OBUF.D:666
TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd5.Q:TO:nAS_IOB_OBUF.D:333 TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd4.Q:TO:nAS_IOB_OBUF.D:666
TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd4.Q:TO:nAS_IOB_OBUF.D:333 TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd5.Q:TO:nAS_IOB_OBUF.D:666
TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd6.Q:TO:nAS_IOB_OBUF.D:333 TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd6.Q:TO:nAS_IOB_OBUF.D:666
TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd7.Q:TO:nAS_IOB_OBUF.D:333 TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd7.Q:TO:nAS_IOB_OBUF.D:666
TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd3.Q:TO:nDinLE_OBUF.D:333 TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd3.Q:TO:nDinLE_OBUF.D:666
TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd4.Q:TO:nDinLE_OBUF.D:333 TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd4.Q:TO:nDinLE_OBUF.D:666
TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd3.Q:TO:nDoutOE_OBUF.D:666 TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd3.Q:TO:nDoutOE_OBUF.D:666
TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd2.Q:TO:nDoutOE_OBUF.D:666
TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd5.Q:TO:nDoutOE_OBUF.D:666
TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd4.Q:TO:nDoutOE_OBUF.D:666 TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd4.Q:TO:nDoutOE_OBUF.D:666
TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd5.Q:TO:nDoutOE_OBUF.D:666
TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd6.Q:TO:nDoutOE_OBUF.D:666 TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd6.Q:TO:nDoutOE_OBUF.D:666
TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd7.Q:TO:nDoutOE_OBUF.D:666 TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd7.Q:TO:nDoutOE_OBUF.D:666
TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd6.Q:TO:nLDS_IOB_OBUF.D:333 TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd2.Q:TO:nDoutOE_OBUF.D:666
TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd7.Q:TO:nLDS_IOB_OBUF.D:333 TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd3.Q:TO:nLDS_IOB_OBUF.D:666
TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd3.Q:TO:nLDS_IOB_OBUF.D:333 TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd4.Q:TO:nLDS_IOB_OBUF.D:666
TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd4.Q:TO:nLDS_IOB_OBUF.D:333 TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd5.Q:TO:nLDS_IOB_OBUF.D:666
TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd5.Q:TO:nLDS_IOB_OBUF.D:333 TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd6.Q:TO:nLDS_IOB_OBUF.D:666
TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd6.Q:TO:nUDS_IOB_OBUF.D:333 TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd7.Q:TO:nLDS_IOB_OBUF.D:666
TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd7.Q:TO:nUDS_IOB_OBUF.D:333 TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd3.Q:TO:nUDS_IOB_OBUF.D:666
TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd3.Q:TO:nUDS_IOB_OBUF.D:333 TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd4.Q:TO:nUDS_IOB_OBUF.D:666
TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd4.Q:TO:nUDS_IOB_OBUF.D:333 TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd5.Q:TO:nUDS_IOB_OBUF.D:666
TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd5.Q:TO:nUDS_IOB_OBUF.D:333 TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd6.Q:TO:nUDS_IOB_OBUF.D:666
TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd7.Q:TO:nUDS_IOB_OBUF.D:666

File diff suppressed because it is too large Load Diff

View File

@ -35,32 +35,28 @@
<association xil_pn:name="Implementation" xil_pn:seqID="3"/> <association xil_pn:name="Implementation" xil_pn:seqID="3"/>
</file> </file>
<file xil_pn:name="../FSB.v" xil_pn:type="FILE_VERILOG"> <file xil_pn:name="../FSB.v" xil_pn:type="FILE_VERILOG">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="0"/> <association xil_pn:name="BehavioralSimulation" xil_pn:seqID="1"/>
<association xil_pn:name="Implementation" xil_pn:seqID="4"/> <association xil_pn:name="Implementation" xil_pn:seqID="4"/>
</file> </file>
<file xil_pn:name="../CS.v" xil_pn:type="FILE_VERILOG"> <file xil_pn:name="../CS.v" xil_pn:type="FILE_VERILOG">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="1"/> <association xil_pn:name="BehavioralSimulation" xil_pn:seqID="0"/>
<association xil_pn:name="Implementation" xil_pn:seqID="5"/> <association xil_pn:name="Implementation" xil_pn:seqID="5"/>
</file> </file>
<file xil_pn:name="../CNT.v" xil_pn:type="FILE_VERILOG"> <file xil_pn:name="../CNT.v" xil_pn:type="FILE_VERILOG">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="0"/> <association xil_pn:name="BehavioralSimulation" xil_pn:seqID="0"/>
<association xil_pn:name="Implementation" xil_pn:seqID="6"/> <association xil_pn:name="Implementation" xil_pn:seqID="6"/>
</file> </file>
<file xil_pn:name="../test/t_fsb_dtack.v" xil_pn:type="FILE_VERILOG"> <file xil_pn:name="test/t_fsb.v" xil_pn:type="FILE_VERILOG">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="0"/>
<association xil_pn:name="PostRouteSimulation" xil_pn:seqID="115"/>
</file>
<file xil_pn:name="../test/t_fsb_vpa.v" xil_pn:type="FILE_VERILOG">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="0"/>
<association xil_pn:name="PostRouteSimulation" xil_pn:seqID="125"/>
</file>
<file xil_pn:name="../test/t_cs.v" xil_pn:type="FILE_VERILOG">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="2"/> <association xil_pn:name="BehavioralSimulation" xil_pn:seqID="2"/>
<association xil_pn:name="PostRouteSimulation" xil_pn:seqID="126"/> <association xil_pn:name="PostRouteSimulation" xil_pn:seqID="66"/>
</file> </file>
<file xil_pn:name="../test/t_cnt.v" xil_pn:type="FILE_VERILOG"> <file xil_pn:name="test/t_iobm.v" xil_pn:type="FILE_VERILOG">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="0"/> <association xil_pn:name="BehavioralSimulation" xil_pn:seqID="0"/>
<association xil_pn:name="PostRouteSimulation" xil_pn:seqID="135"/> <association xil_pn:name="PostRouteSimulation" xil_pn:seqID="70"/>
</file>
<file xil_pn:name="t_ram.v" xil_pn:type="FILE_VERILOG">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="0"/>
<association xil_pn:name="PostRouteSimulation" xil_pn:seqID="83"/>
</file> </file>
</files> </files>
@ -193,8 +189,8 @@
<property xil_pn:name="Run for Specified Time Par" xil_pn:value="true" xil_pn:valueState="default"/> <property xil_pn:name="Run for Specified Time Par" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Run for Specified Time Translate" xil_pn:value="true" xil_pn:valueState="default"/> <property xil_pn:name="Run for Specified Time Translate" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Safe Implementation" xil_pn:value="No" xil_pn:valueState="default"/> <property xil_pn:name="Safe Implementation" xil_pn:value="No" xil_pn:valueState="default"/>
<property xil_pn:name="Selected Module Instance Name" xil_pn:value="/t_cs" xil_pn:valueState="non-default"/> <property xil_pn:name="Selected Module Instance Name" xil_pn:value="/MXSE/fsb" xil_pn:valueState="non-default"/>
<property xil_pn:name="Selected Simulation Root Source Node Behavioral" xil_pn:value="work.t_cs" xil_pn:valueState="non-default"/> <property xil_pn:name="Selected Simulation Root Source Node Behavioral" xil_pn:value="work.FSB" xil_pn:valueState="non-default"/>
<property xil_pn:name="Selected Simulation Root Source Node Post-Map" xil_pn:value="" xil_pn:valueState="default"/> <property xil_pn:name="Selected Simulation Root Source Node Post-Map" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Selected Simulation Root Source Node Post-Route" xil_pn:value="" xil_pn:valueState="default"/> <property xil_pn:name="Selected Simulation Root Source Node Post-Route" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Selected Simulation Root Source Node Post-Translate" xil_pn:value="" xil_pn:valueState="default"/> <property xil_pn:name="Selected Simulation Root Source Node Post-Translate" xil_pn:value="" xil_pn:valueState="default"/>
@ -208,7 +204,7 @@
<property xil_pn:name="Simulation Run Time Translate" xil_pn:value="1000 ns" xil_pn:valueState="default"/> <property xil_pn:name="Simulation Run Time Translate" xil_pn:value="1000 ns" xil_pn:valueState="default"/>
<property xil_pn:name="Simulator" xil_pn:value="ISim (VHDL/Verilog)" xil_pn:valueState="default"/> <property xil_pn:name="Simulator" xil_pn:value="ISim (VHDL/Verilog)" xil_pn:valueState="default"/>
<property xil_pn:name="Specify 'define Macro Name and Value" xil_pn:value="" xil_pn:valueState="default"/> <property xil_pn:name="Specify 'define Macro Name and Value" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Specify Top Level Instance Names Behavioral" xil_pn:value="work.t_cs" xil_pn:valueState="default"/> <property xil_pn:name="Specify Top Level Instance Names Behavioral" xil_pn:value="work.FSB" xil_pn:valueState="default"/>
<property xil_pn:name="Specify Top Level Instance Names Fit" xil_pn:value="Default" xil_pn:valueState="default"/> <property xil_pn:name="Specify Top Level Instance Names Fit" xil_pn:value="Default" xil_pn:valueState="default"/>
<property xil_pn:name="Speed Grade" xil_pn:value="-10" xil_pn:valueState="non-default"/> <property xil_pn:name="Speed Grade" xil_pn:value="-10" xil_pn:valueState="non-default"/>
<property xil_pn:name="Synthesis Tool" xil_pn:value="XST (VHDL/Verilog)" xil_pn:valueState="default"/> <property xil_pn:name="Synthesis Tool" xil_pn:value="XST (VHDL/Verilog)" xil_pn:valueState="default"/>
@ -248,7 +244,7 @@
<!-- --> <!-- -->
<!-- The following properties are for internal use only. These should not be modified.--> <!-- The following properties are for internal use only. These should not be modified.-->
<!-- --> <!-- -->
<property xil_pn:name="PROP_BehavioralSimTop" xil_pn:value="Module|t_cs" xil_pn:valueState="non-default"/> <property xil_pn:name="PROP_BehavioralSimTop" xil_pn:value="Module|t_fsb" xil_pn:valueState="non-default"/>
<property xil_pn:name="PROP_DesignName" xil_pn:value="MXSE" xil_pn:valueState="non-default"/> <property xil_pn:name="PROP_DesignName" xil_pn:value="MXSE" xil_pn:valueState="non-default"/>
<property xil_pn:name="PROP_DevFamilyPMName" xil_pn:value="xc9500xl" xil_pn:valueState="default"/> <property xil_pn:name="PROP_DevFamilyPMName" xil_pn:value="xc9500xl" xil_pn:valueState="default"/>
<property xil_pn:name="PROP_FPGAConfiguration" xil_pn:value="FPGAConfiguration" xil_pn:valueState="default"/> <property xil_pn:name="PROP_FPGAConfiguration" xil_pn:value="FPGAConfiguration" xil_pn:valueState="default"/>

View File

@ -18,8 +18,8 @@
</tr> </tr>
<tr> <tr>
<td>Path</td> <td>Path</td>
<td>C:\Xilinx\14.7\ISE_DS\ISE\\lib\nt;<br>C:\Xilinx\14.7\ISE_DS\ISE\\bin\nt;<br>C:\Xilinx\14.7\ISE_DS\ISE\bin\nt64;<br>C:\Xilinx\14.7\ISE_DS\ISE\lib\nt64;<br>C:\Xilinx\14.7\ISE_DS\ISE\..\..\..\DocNav;<br>C:\Xilinx\14.7\ISE_DS\PlanAhead\bin;<br>C:\Xilinx\14.7\ISE_DS\EDK\bin\nt64;<br>C:\Xilinx\14.7\ISE_DS\EDK\lib\nt64;<br>C:\Xilinx\14.7\ISE_DS\EDK\gnu\microblaze\nt\bin;<br>C:\Xilinx\14.7\ISE_DS\EDK\gnu\powerpc-eabi\nt\bin;<br>C:\Xilinx\14.7\ISE_DS\EDK\gnuwin\bin;<br>C:\Xilinx\14.7\ISE_DS\EDK\gnu\arm\nt\bin;<br>C:\Xilinx\14.7\ISE_DS\EDK\gnu\microblaze\linux_toolchain\nt64_be\bin;<br>C:\Xilinx\14.7\ISE_DS\EDK\gnu\microblaze\linux_toolchain\nt64_le\bin;<br>C:\Xilinx\14.7\ISE_DS\common\bin\nt64;<br>C:\Xilinx\14.7\ISE_DS\common\lib\nt64;<br>C:\ispLEVER_Classic2_0\ispcpld\bin;<br>C:\ispLEVER_Classic2_0\ispFPGA\bin\nt;<br>C:\ispLEVER_Classic2_0\active-hdl\BIN;<br>C:\WinAVR-20100110\bin;<br>C:\WinAVR-20100110\utils\bin;<br>C:\Windows\system32;<br>C:\Windows;<br>C:\Windows\System32\Wbem;<br>C:\Windows\System32\WindowsPowerShell\v1.0\;<br>C:\Windows\System32\OpenSSH\;<br>C:\Program Files\Microchip\xc8\v2.31\bin;<br>C:\Program Files (x86)\NVIDIA Corporation\PhysX\Common;<br>C:\Program Files\PuTTY\;<br>C:\Program Files\WinMerge;<br>C:\Program Files\dotnet\;<br>C:\Users\zanek\AppData\Local\Microsoft\WindowsApps;<br>C:\Users\zanek\AppData\Local\GitHubDesktop\bin;<br>C:\altera\13.0sp1\modelsim_ase\win32aloem;<br>C:\Users\zanek\.dotnet\tools;<br>C:\Program Files (x86)\Skyworks\ClockBuilder Pro\Bin</td> <td>C:\Xilinx\14.7\ISE_DS\ISE\\lib\nt;<br>C:\Xilinx\14.7\ISE_DS\ISE\\bin\nt;<br>C:\Xilinx\14.7\ISE_DS\ISE\bin\nt;<br>C:\Xilinx\14.7\ISE_DS\ISE\lib\nt;<br>C:\Xilinx\14.7\ISE_DS\ISE\..\..\..\DocNav;<br>C:\Xilinx\14.7\ISE_DS\PlanAhead\bin;<br>C:\Xilinx\14.7\ISE_DS\EDK\bin\nt;<br>C:\Xilinx\14.7\ISE_DS\EDK\lib\nt;<br>C:\Xilinx\14.7\ISE_DS\EDK\gnu\microblaze\nt\bin;<br>C:\Xilinx\14.7\ISE_DS\EDK\gnu\powerpc-eabi\nt\bin;<br>C:\Xilinx\14.7\ISE_DS\EDK\gnuwin\bin;<br>C:\Xilinx\14.7\ISE_DS\EDK\gnu\arm\nt\bin;<br>C:\Xilinx\14.7\ISE_DS\EDK\gnu\microblaze\linux_toolchain\nt_be\bin;<br>C:\Xilinx\14.7\ISE_DS\EDK\gnu\microblaze\linux_toolchain\nt_le\bin;<br>C:\Xilinx\14.7\ISE_DS\common\bin\nt;<br>C:\Xilinx\14.7\ISE_DS\common\lib\nt;<br>C:\Program Files (x86)\Common Files\Oracle\Java\javapath;<br>C:\ispLEVER_Classic2_0\ispcpld\bin;<br>C:\ispLEVER_Classic2_0\ispFPGA\bin\nt;<br>C:\ispLEVER_Classic2_0\active-hdl\BIN;<br>C:\WinAVR-20100110\bin;<br>C:\WinAVR-20100110\utils\bin;<br>C:\Windows\system32;<br>C:\Windows;<br>C:\Windows\System32\Wbem;<br>C:\Windows\System32\WindowsPowerShell\v1.0\;<br>C:\Windows\System32\OpenSSH\;<br>C:\Program Files\Microchip\xc8\v2.31\bin;<br>C:\Program Files (x86)\NVIDIA Corporation\PhysX\Common;<br>C:\Program Files\PuTTY\;<br>C:\Program Files\WinMerge;<br>C:\Program Files\dotnet\;<br>C:\Users\zanek\AppData\Local\Microsoft\WindowsApps;<br>C:\Users\zanek\AppData\Local\GitHubDesktop\bin;<br>C:\altera\13.0sp1\modelsim_ase\win32aloem;<br>C:\Users\zanek\.dotnet\tools;<br>C:\Program Files (x86)\Skyworks\ClockBuilder Pro\Bin</td>
<td>C:\Xilinx\14.7\ISE_DS\ISE\\lib\nt;<br>C:\Xilinx\14.7\ISE_DS\ISE\\bin\nt;<br>C:\Xilinx\14.7\ISE_DS\ISE\bin\nt64;<br>C:\Xilinx\14.7\ISE_DS\ISE\lib\nt64;<br>C:\Xilinx\14.7\ISE_DS\ISE\..\..\..\DocNav;<br>C:\Xilinx\14.7\ISE_DS\PlanAhead\bin;<br>C:\Xilinx\14.7\ISE_DS\EDK\bin\nt64;<br>C:\Xilinx\14.7\ISE_DS\EDK\lib\nt64;<br>C:\Xilinx\14.7\ISE_DS\EDK\gnu\microblaze\nt\bin;<br>C:\Xilinx\14.7\ISE_DS\EDK\gnu\powerpc-eabi\nt\bin;<br>C:\Xilinx\14.7\ISE_DS\EDK\gnuwin\bin;<br>C:\Xilinx\14.7\ISE_DS\EDK\gnu\arm\nt\bin;<br>C:\Xilinx\14.7\ISE_DS\EDK\gnu\microblaze\linux_toolchain\nt64_be\bin;<br>C:\Xilinx\14.7\ISE_DS\EDK\gnu\microblaze\linux_toolchain\nt64_le\bin;<br>C:\Xilinx\14.7\ISE_DS\common\bin\nt64;<br>C:\Xilinx\14.7\ISE_DS\common\lib\nt64;<br>C:\ispLEVER_Classic2_0\ispcpld\bin;<br>C:\ispLEVER_Classic2_0\ispFPGA\bin\nt;<br>C:\ispLEVER_Classic2_0\active-hdl\BIN;<br>C:\WinAVR-20100110\bin;<br>C:\WinAVR-20100110\utils\bin;<br>C:\Windows\system32;<br>C:\Windows;<br>C:\Windows\System32\Wbem;<br>C:\Windows\System32\WindowsPowerShell\v1.0\;<br>C:\Windows\System32\OpenSSH\;<br>C:\Program Files\Microchip\xc8\v2.31\bin;<br>C:\Program Files (x86)\NVIDIA Corporation\PhysX\Common;<br>C:\Program Files\PuTTY\;<br>C:\Program Files\WinMerge;<br>C:\Program Files\dotnet\;<br>C:\Users\zanek\AppData\Local\Microsoft\WindowsApps;<br>C:\Users\zanek\AppData\Local\GitHubDesktop\bin;<br>C:\altera\13.0sp1\modelsim_ase\win32aloem;<br>C:\Users\zanek\.dotnet\tools;<br>C:\Program Files (x86)\Skyworks\ClockBuilder Pro\Bin</td> <td>C:\Xilinx\14.7\ISE_DS\ISE\\lib\nt;<br>C:\Xilinx\14.7\ISE_DS\ISE\\bin\nt;<br>C:\Xilinx\14.7\ISE_DS\ISE\bin\nt;<br>C:\Xilinx\14.7\ISE_DS\ISE\lib\nt;<br>C:\Xilinx\14.7\ISE_DS\ISE\..\..\..\DocNav;<br>C:\Xilinx\14.7\ISE_DS\PlanAhead\bin;<br>C:\Xilinx\14.7\ISE_DS\EDK\bin\nt;<br>C:\Xilinx\14.7\ISE_DS\EDK\lib\nt;<br>C:\Xilinx\14.7\ISE_DS\EDK\gnu\microblaze\nt\bin;<br>C:\Xilinx\14.7\ISE_DS\EDK\gnu\powerpc-eabi\nt\bin;<br>C:\Xilinx\14.7\ISE_DS\EDK\gnuwin\bin;<br>C:\Xilinx\14.7\ISE_DS\EDK\gnu\arm\nt\bin;<br>C:\Xilinx\14.7\ISE_DS\EDK\gnu\microblaze\linux_toolchain\nt_be\bin;<br>C:\Xilinx\14.7\ISE_DS\EDK\gnu\microblaze\linux_toolchain\nt_le\bin;<br>C:\Xilinx\14.7\ISE_DS\common\bin\nt;<br>C:\Xilinx\14.7\ISE_DS\common\lib\nt;<br>C:\Program Files (x86)\Common Files\Oracle\Java\javapath;<br>C:\ispLEVER_Classic2_0\ispcpld\bin;<br>C:\ispLEVER_Classic2_0\ispFPGA\bin\nt;<br>C:\ispLEVER_Classic2_0\active-hdl\BIN;<br>C:\WinAVR-20100110\bin;<br>C:\WinAVR-20100110\utils\bin;<br>C:\Windows\system32;<br>C:\Windows;<br>C:\Windows\System32\Wbem;<br>C:\Windows\System32\WindowsPowerShell\v1.0\;<br>C:\Windows\System32\OpenSSH\;<br>C:\Program Files\Microchip\xc8\v2.31\bin;<br>C:\Program Files (x86)\NVIDIA Corporation\PhysX\Common;<br>C:\Program Files\PuTTY\;<br>C:\Program Files\WinMerge;<br>C:\Program Files\dotnet\;<br>C:\Users\zanek\AppData\Local\Microsoft\WindowsApps;<br>C:\Users\zanek\AppData\Local\GitHubDesktop\bin;<br>C:\altera\13.0sp1\modelsim_ase\win32aloem;<br>C:\Users\zanek\.dotnet\tools;<br>C:\Program Files (x86)\Skyworks\ClockBuilder Pro\Bin</td>
</tr> </tr>
<tr> <tr>
<td>XILINX</td> <td>XILINX</td>
@ -206,7 +206,7 @@
<tr> <tr>
<td>-uc</td> <td>-uc</td>
<td>&nbsp;</td> <td>&nbsp;</td>
<td>C:/Users/zanek/Documents/GitHub/SE-030/cpld/MXSE.ucf</td> <td>C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/MXSE.ucf</td>
<td>None</td> <td>None</td>
</tr> </tr>
</TABLE> </TABLE>

File diff suppressed because it is too large Load Diff

View File

@ -6,35 +6,21 @@
********** Mapped Logic ********** ********** Mapped Logic **********
</td></tr><tr><td> </td></tr><tr><td>
</td></tr><tr><td> </td></tr><tr><td>
$OpTx$INV$223 <= ((A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND $OpTx$FX_DC$602 <= ((NOT TimeoutB)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB(16) AND NOT A_FSB(22) AND A_FSB(21) AND cs/nOverlay1 AND NOT nWE_FSB AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20)));
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT fsb/Ready1r AND NOT iobs/IOReady AND NOT nADoutLE1) </td></tr><tr><td>
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(9) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND </td></tr><tr><td>
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND A_FSB(13) AND NOT A_FSB(23) AND $OpTx$FX_DC$606 <= ((A_FSB(14) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB(22) AND A_FSB(21) AND A_FSB(14) AND A_FSB(12) AND A_FSB(11) AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB(10) AND NOT cs/nOverlay1 AND NOT TimeoutA AND NOT nWE_FSB AND NOT fsb/Ready2r) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(14) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(9) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND A_FSB(13) AND NOT A_FSB(23) AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; cs/nOverlay1 AND NOT nWE_FSB AND NOT nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB(22) AND A_FSB(21) AND NOT A_FSB(14) AND NOT A_FSB(12) AND NOT A_FSB(11) AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(13) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT A_FSB(10) AND NOT cs/nOverlay1 AND NOT TimeoutA AND NOT nWE_FSB AND NOT fsb/Ready2r) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(9) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; cs/nOverlay1 AND NOT nWE_FSB AND NOT nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND A_FSB(13) AND NOT A_FSB(23) AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20))
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT A_FSB(22) AND A_FSB(21) AND A_FSB(14) AND A_FSB(12) AND A_FSB(11) AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(13) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB(10) AND cs/nOverlay1 AND NOT TimeoutA AND NOT nWE_FSB AND NOT fsb/Ready2r) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB));
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(9) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND A_FSB(13) AND NOT A_FSB(23) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT A_FSB(22) AND A_FSB(21) AND NOT A_FSB(14) AND NOT A_FSB(12) AND NOT A_FSB(11) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT A_FSB(10) AND cs/nOverlay1 AND NOT TimeoutA AND NOT nWE_FSB AND NOT fsb/Ready2r)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(23) AND NOT fsb/Ready1r AND NOT iobs/IOReady)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(20) AND A_FSB(22) AND NOT A_FSB(21) AND NOT fsb/Ready1r AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT iobs/IOReady)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT fsb/Ready0r AND NOT ram/RAMReady)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT cs/nOverlay1 AND NOT fsb/Ready0r AND NOT ram/RAMReady)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB(16) AND A_FSB(22) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT fsb/Ready1r AND NOT iobs/IOReady));
</td></tr><tr><td> </td></tr><tr><td>
FDCPE_ALE0M: FDCPE port map (ALE0M,ALE0M_D,CLK2X_IOB,'0','0'); FDCPE_ALE0M: FDCPE port map (ALE0M,ALE0M_D,CLK2X_IOB,'0','0');
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;ALE0M_D <= ((NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd4 AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;ALE0M_D <= ((NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd4 AND
@ -48,17 +34,13 @@ FDCPE_ALE0S: FDCPE port map (ALE0S,ALE0S_D,CLK_FSB,'0','0');
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;ALE0S_D <= (iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1); <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;ALE0S_D <= (iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1);
</td></tr><tr><td> </td></tr><tr><td>
FTCPE_BERR_IOBS: FTCPE port map (BERR_IOBS,BERR_IOBS_T,CLK_FSB,'0','0'); FTCPE_BERR_IOBS: FTCPE port map (BERR_IOBS,BERR_IOBS_T,CLK_FSB,'0','0');
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;BERR_IOBS_T <= ((iobs/Once AND NOT BERR_IOBS AND IOBERR AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;BERR_IOBS_T <= ((BERR_IOBS AND nAS_FSB AND NOT fsb/ASrf)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT iobs/PS_FSM_FFd2 AND NOT iobs/IOACTr AND fsb/ASrf AND nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (BERR_IOBS AND nAS_FSB AND NOT fsb/ASrf)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (iobs/Once AND BERR_IOBS AND NOT IOBERR AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (iobs/Once AND BERR_IOBS AND NOT IOBERR AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT iobs/PS_FSM_FFd2 AND NOT iobs/IOACTr AND nADoutLE1) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT iobs/PS_FSM_FFd2 AND NOT iobs/IOACTr AND nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (iobs/Once AND NOT BERR_IOBS AND IOBERR AND NOT nAS_FSB AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (iobs/Once AND NOT BERR_IOBS AND IOBERR AND NOT nAS_FSB AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT iobs/PS_FSM_FFd2 AND NOT iobs/IOACTr AND nADoutLE1)); <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT iobs/PS_FSM_FFd2 AND NOT iobs/IOACTr AND nADoutLE1)
</td></tr><tr><td> <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (iobs/Once AND NOT BERR_IOBS AND IOBERR AND
</td></tr><tr><td> <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT iobs/PS_FSM_FFd2 AND NOT iobs/IOACTr AND fsb/ASrf AND nADoutLE1));
</td></tr><tr><td>
</td></tr><tr><td>
</td></tr><tr><td> </td></tr><tr><td>
</td></tr><tr><td> </td></tr><tr><td>
</td></tr><tr><td> </td></tr><tr><td>
@ -104,12 +86,6 @@ FTCPE_BERR_IOBS: FTCPE port map (BERR_IOBS,BERR_IOBS_T,CLK_FSB,'0','0');
</td></tr><tr><td> </td></tr><tr><td>
FDCPE_IOACT: FDCPE port map (IOACT,IOACT_D,CLK2X_IOB,'0','0'); FDCPE_IOACT: FDCPE port map (IOACT,IOACT_D,CLK2X_IOB,'0','0');
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;IOACT_D <= ((NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd5 AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;IOACT_D <= ((NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd5 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT iobm/IOS_FSM_FFd6 AND CLK_IOB AND NOT iobm/IOS_FSM_FFd7 AND NOT iobm/IOREQr AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; iobm/DTACKrf AND iobm/DTACKrr)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd5 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT iobm/IOS_FSM_FFd6 AND CLK_IOB AND NOT iobm/IOS_FSM_FFd7 AND NOT iobm/IOREQr AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; iobm/RESrf AND iobm/RESrr)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd5 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT iobm/IOS_FSM_FFd6 AND CLK_IOB AND NOT iobm/IOS_FSM_FFd7 AND NOT iobm/IOS_FSM_FFd8 AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT iobm/IOS_FSM_FFd6 AND CLK_IOB AND NOT iobm/IOS_FSM_FFd7 AND NOT iobm/IOS_FSM_FFd8 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; iobm/BERRrf AND iobm/BERRrr) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; iobm/BERRrf AND iobm/BERRrr)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd5 AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd5 AND
@ -118,6 +94,12 @@ FDCPE_IOACT: FDCPE port map (IOACT,IOACT_D,CLK2X_IOB,'0','0');
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd5 AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd5 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT iobm/IOS_FSM_FFd6 AND CLK_IOB AND NOT iobm/IOS_FSM_FFd7 AND NOT iobm/IOS_FSM_FFd8 AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT iobm/IOS_FSM_FFd6 AND CLK_IOB AND NOT iobm/IOS_FSM_FFd7 AND NOT iobm/IOS_FSM_FFd8 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; iobm/RESrf AND iobm/RESrr) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; iobm/RESrf AND iobm/RESrr)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd5 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT iobm/IOS_FSM_FFd6 AND CLK_IOB AND NOT iobm/IOS_FSM_FFd7 AND NOT iobm/IOREQr AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; iobm/DTACKrf AND iobm/DTACKrr)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd5 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT iobm/IOS_FSM_FFd6 AND CLK_IOB AND NOT iobm/IOS_FSM_FFd7 AND NOT iobm/IOREQr AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; iobm/RESrf AND iobm/RESrr)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd4 AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd4 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT iobm/IOS_FSM_FFd5 AND NOT iobm/IOS_FSM_FFd6 AND NOT iobm/IOS_FSM_FFd7 AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT iobm/IOS_FSM_FFd5 AND NOT iobm/IOS_FSM_FFd6 AND NOT iobm/IOS_FSM_FFd7 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT iobm/IOREQr) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT iobm/IOREQr)
@ -135,7 +117,11 @@ FDCPE_IOACT: FDCPE port map (IOACT,IOACT_D,CLK2X_IOB,'0','0');
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; iobm/BERRrf AND iobm/BERRrr)); <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; iobm/BERRrf AND iobm/BERRrr));
</td></tr><tr><td> </td></tr><tr><td>
FTCPE_IOBERR: FTCPE port map (IOBERR,IOBERR_T,CLK2X_IOB,'0','0'); FTCPE_IOBERR: FTCPE port map (IOBERR,IOBERR_T,CLK2X_IOB,'0','0');
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;IOBERR_T <= ((NOT nBERR_IOB AND NOT IOBERR AND iobm/IOS_FSM_FFd3 AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;IOBERR_T <= ((nBERR_IOB AND IOBERR AND iobm/IOS_FSM_FFd3 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; CLK_IOB AND iobm/DTACKrf AND iobm/DTACKrr)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (nBERR_IOB AND IOBERR AND iobm/IOS_FSM_FFd3 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; CLK_IOB AND iobm/RESrf AND iobm/RESrr)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT nBERR_IOB AND NOT IOBERR AND iobm/IOS_FSM_FFd3 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; CLK_IOB AND iobm/BERRrf AND iobm/BERRrr) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; CLK_IOB AND iobm/BERRrf AND iobm/BERRrr)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT nBERR_IOB AND NOT IOBERR AND iobm/IOS_FSM_FFd3 AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT nBERR_IOB AND NOT IOBERR AND iobm/IOS_FSM_FFd3 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; CLK_IOB AND iobm/DTACKrf AND iobm/DTACKrr) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; CLK_IOB AND iobm/DTACKrf AND iobm/DTACKrr)
@ -146,11 +132,7 @@ FTCPE_IOBERR: FTCPE port map (IOBERR,IOBERR_T,CLK2X_IOB,'0','0');
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT nBERR_IOB AND NOT IOBERR AND iobm/IOS_FSM_FFd3 AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT nBERR_IOB AND NOT IOBERR AND iobm/IOS_FSM_FFd3 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; CLK_IOB AND iobm/ETACK) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; CLK_IOB AND iobm/ETACK)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (nBERR_IOB AND IOBERR AND iobm/IOS_FSM_FFd3 AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (nBERR_IOB AND IOBERR AND iobm/IOS_FSM_FFd3 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; CLK_IOB AND iobm/BERRrf AND iobm/BERRrr) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; CLK_IOB AND iobm/BERRrf AND iobm/BERRrr));
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (nBERR_IOB AND IOBERR AND iobm/IOS_FSM_FFd3 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; CLK_IOB AND iobm/DTACKrf AND iobm/DTACKrr)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (nBERR_IOB AND IOBERR AND iobm/IOS_FSM_FFd3 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; CLK_IOB AND iobm/RESrf AND iobm/RESrr));
</td></tr><tr><td> </td></tr><tr><td>
FDCPE_IOL0: FDCPE port map (IOL0,IOL0_D,CLK_FSB,'0','0',IOL0_CE); FDCPE_IOL0: FDCPE port map (IOL0,IOL0_D,CLK_FSB,'0','0',IOL0_CE);
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;IOL0_D <= ((NOT nLDS_FSB AND nADoutLE1) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;IOL0_D <= ((NOT nLDS_FSB AND nADoutLE1)
@ -158,46 +140,62 @@ FDCPE_IOL0: FDCPE port map (IOL0,IOL0_D,CLK_FSB,'0','0',IOL0_CE);
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;IOL0_CE <= (iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1); <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;IOL0_CE <= (iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1);
</td></tr><tr><td> </td></tr><tr><td>
FDCPE_IOREQ: FDCPE port map (IOREQ,IOREQ_D,CLK_FSB,'0','0'); FDCPE_IOREQ: FDCPE port map (IOREQ,IOREQ_D,CLK_FSB,'0','0');
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;IOREQ_D <= ((EXP14_.EXP) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;IOREQ_D <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT iobs/PS_FSM_FFd2 AND nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (iobs/PS_FSM_FFd2 AND NOT iobs/IOACTr) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT cs/nOverlay1 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(23) AND NOT iobs/Once AND NOT nAS_FSB AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT iobs/PS_FSM_FFd2 AND nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT iobs/PS_FSM_FFd1) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(19) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(23) AND NOT iobs/Once AND NOT iobs/PS_FSM_FFd1 AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT iobs/PS_FSM_FFd2 AND nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; fsb/ASrf) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(16) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(20) AND A_FSB(22) AND NOT A_FSB(21) AND NOT iobs/Once AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT iobs/PS_FSM_FFd2 AND nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT nAS_FSB AND NOT iobs/PS_FSM_FFd1) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND A_FSB(21) AND nWE_FSB AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT iobs/PS_FSM_FFd1 AND NOT nADoutLE1)); <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT iobs/PS_FSM_FFd2 AND nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(18) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT iobs/PS_FSM_FFd2 AND nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(17) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT iobs/PS_FSM_FFd2 AND nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(14) AND NOT A_FSB(13) AND NOT A_FSB(23) AND A_FSB(21) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT iobs/PS_FSM_FFd2 AND nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; cs/nOverlay1 AND NOT iobs/PS_FSM_FFd2 AND nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT iobs/PS_FSM_FFd2 AND iobs/PS_FSM_FFd1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (iobs/PS_FSM_FFd1 AND iobs/IOACTr)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (iobs/Once AND NOT iobs/PS_FSM_FFd2 AND nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND NOT A_FSB(20) AND NOT iobs/PS_FSM_FFd2 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT fsb/ASrf AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; nADoutLE1));
</td></tr><tr><td> </td></tr><tr><td>
FTCPE_IORW0: FTCPE port map (IORW0,IORW0_T,CLK_FSB,'0','0'); FTCPE_IORW0: FTCPE port map (IORW0,IORW0_T,CLK_FSB,'0','0');
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;IORW0_T <= ((A_FSB(23) AND NOT iobs/Once AND NOT IORW0 AND nWE_FSB AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;IORW0_T <= ((EXP22_.EXP)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(23) AND NOT iobs/Once AND NOT IORW0 AND nWE_FSB AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND fsb/ASrf AND nADoutLE1) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND fsb/ASrf AND nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(20) AND A_FSB(22) AND NOT A_FSB(21) AND NOT iobs/Once AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND NOT iobs/Once AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; IORW0 AND NOT nWE_FSB AND NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; IORW0 AND NOT nWE_FSB AND NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT iobs/PS_FSM_FFd1 AND nADoutLE1) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT iobs/PS_FSM_FFd1 AND nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(20) AND A_FSB(22) AND NOT A_FSB(21) AND NOT iobs/Once AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND NOT iobs/Once AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; IORW0 AND NOT nWE_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; IORW0 AND NOT nWE_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; fsb/ASrf AND nADoutLE1) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; fsb/ASrf AND nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(20) AND A_FSB(22) AND NOT A_FSB(21) AND NOT iobs/Once AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND NOT iobs/Once AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT IORW0 AND nWE_FSB AND NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT IORW0 AND nWE_FSB AND NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT iobs/PS_FSM_FFd1 AND nADoutLE1) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT iobs/PS_FSM_FFd1 AND nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(20) AND A_FSB(22) AND NOT A_FSB(21) AND NOT iobs/Once AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND NOT iobs/Once AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT IORW0 AND nWE_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT IORW0 AND nWE_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; fsb/ASrf AND nADoutLE1) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; fsb/ASrf AND nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(14) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB(16) AND A_FSB(22) AND NOT cs/nOverlay1 AND NOT iobs/Once AND IORW0 AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT nWE_FSB AND NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB(16) AND A_FSB(22) AND NOT cs/nOverlay1 AND NOT iobs/Once AND IORW0 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT nWE_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND fsb/ASrf AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB(16) AND NOT A_FSB(22) AND A_FSB(21) AND cs/nOverlay1 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT iobs/Once AND IORW0 AND NOT nWE_FSB AND NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT iobs/Once AND IORW0 AND NOT nWE_FSB AND NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT iobs/PS_FSM_FFd1 AND nADoutLE1) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT iobs/PS_FSM_FFd1 AND nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(14) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB(16) AND NOT A_FSB(22) AND A_FSB(21) AND cs/nOverlay1 AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT iobs/Once AND IORW0 AND NOT nWE_FSB AND NOT iobs/PS_FSM_FFd2 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT iobs/PS_FSM_FFd1 AND fsb/ASrf AND nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(13) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT iobs/Once AND IORW0 AND NOT nWE_FSB AND NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT iobs/PS_FSM_FFd1 AND nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(13) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT iobs/Once AND IORW0 AND NOT nWE_FSB AND NOT iobs/PS_FSM_FFd2 AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT iobs/Once AND IORW0 AND NOT nWE_FSB AND NOT iobs/PS_FSM_FFd2 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT iobs/PS_FSM_FFd1 AND fsb/ASrf AND nADoutLE1) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT iobs/PS_FSM_FFd1 AND fsb/ASrf AND nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (IORW0 AND NOT iobs/IORW1 AND NOT iobs/PS_FSM_FFd2 AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (IORW0 AND NOT iobs/IORW1 AND NOT iobs/PS_FSM_FFd2 AND
@ -245,8 +243,8 @@ RA(6) <= ((A_FSB(16) AND NOT ram/RASEL)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (ram/RASEL AND A_FSB(7))); <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (ram/RASEL AND A_FSB(7)));
</td></tr><tr><td> </td></tr><tr><td>
</td></tr><tr><td> </td></tr><tr><td>
RA(7) <= ((A_FSB(17) AND NOT ram/RASEL) RA(7) <= ((A_FSB(8) AND ram/RASEL)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (ram/RASEL AND A_FSB(8))); <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(17) AND NOT ram/RASEL));
</td></tr><tr><td> </td></tr><tr><td>
</td></tr><tr><td> </td></tr><tr><td>
RA(8) <= ((A_FSB(9) AND ram/RASEL) RA(8) <= ((A_FSB(9) AND ram/RASEL)
@ -269,17 +267,17 @@ FTCPE_TimeoutA: FTCPE port map (TimeoutA,TimeoutA_T,CLK_FSB,'0','0');
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;TimeoutA_T <= ((TimeoutA AND nAS_FSB AND NOT fsb/ASrf) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;TimeoutA_T <= ((TimeoutA AND nAS_FSB AND NOT fsb/ASrf)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT TimeoutA AND NOT nAS_FSB AND NOT cnt/RefCnt(0) AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT TimeoutA AND NOT nAS_FSB AND NOT cnt/RefCnt(0) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT cnt/RefCnt(5) AND NOT cnt/RefCnt(6) AND NOT cnt/RefCnt(1) AND NOT cnt/RefCnt(2) AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT cnt/RefCnt(5) AND NOT cnt/RefCnt(6) AND NOT cnt/RefCnt(1) AND NOT cnt/RefCnt(2) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT cnt/RefCnt(3) AND NOT cnt/RefCnt(4) AND NOT cnt/RefCnt(7)) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT cnt/RefCnt(3) AND NOT cnt/RefCnt(4))
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT TimeoutA AND NOT cnt/RefCnt(0) AND NOT cnt/RefCnt(5) AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT TimeoutA AND NOT cnt/RefCnt(0) AND NOT cnt/RefCnt(5) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT cnt/RefCnt(6) AND NOT cnt/RefCnt(1) AND NOT cnt/RefCnt(2) AND NOT cnt/RefCnt(3) AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT cnt/RefCnt(6) AND NOT cnt/RefCnt(1) AND NOT cnt/RefCnt(2) AND NOT cnt/RefCnt(3) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT cnt/RefCnt(4) AND NOT cnt/RefCnt(7) AND fsb/ASrf)); <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT cnt/RefCnt(4) AND fsb/ASrf));
</td></tr><tr><td> </td></tr><tr><td>
FTCPE_TimeoutB: FTCPE port map (TimeoutB,TimeoutB_T,CLK_FSB,'0','0'); FTCPE_TimeoutB: FTCPE port map (TimeoutB,TimeoutB_T,CLK_FSB,'0','0');
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;TimeoutB_T <= ((TimeoutB AND nAS_FSB AND NOT fsb/ASrf) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;TimeoutB_T <= ((TimeoutB AND nAS_FSB AND NOT fsb/ASrf)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (TimeoutA AND NOT TimeoutB AND NOT nAS_FSB AND NOT cnt/RefCnt(0) AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT TimeoutB AND cnt/TimeoutBPre AND NOT nAS_FSB AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT cnt/RefCnt(5) AND NOT cnt/RefCnt(6) AND NOT cnt/RefCnt(1) AND NOT cnt/RefCnt(2) AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT cnt/RefCnt(0) AND NOT cnt/RefCnt(5) AND NOT cnt/RefCnt(6) AND NOT cnt/RefCnt(1) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT cnt/RefCnt(3) AND NOT cnt/RefCnt(4) AND NOT cnt/RefCnt(7)) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT cnt/RefCnt(2) AND NOT cnt/RefCnt(3) AND NOT cnt/RefCnt(4) AND NOT cnt/RefCnt(7))
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (TimeoutA AND NOT TimeoutB AND NOT cnt/RefCnt(0) AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT TimeoutB AND cnt/TimeoutBPre AND NOT cnt/RefCnt(0) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT cnt/RefCnt(5) AND NOT cnt/RefCnt(6) AND NOT cnt/RefCnt(1) AND NOT cnt/RefCnt(2) AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT cnt/RefCnt(5) AND NOT cnt/RefCnt(6) AND NOT cnt/RefCnt(1) AND NOT cnt/RefCnt(2) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT cnt/RefCnt(3) AND NOT cnt/RefCnt(4) AND NOT cnt/RefCnt(7) AND fsb/ASrf)); <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT cnt/RefCnt(3) AND NOT cnt/RefCnt(4) AND NOT cnt/RefCnt(7) AND fsb/ASrf));
</td></tr><tr><td> </td></tr><tr><td>
@ -315,10 +313,19 @@ FDCPE_cnt/RefDone: FDCPE port map (cnt/RefDone,cnt/RefDone_D,CLK_FSB,'0','0');
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT cnt/RefCnt(1) AND NOT cnt/RefCnt(2) AND NOT cnt/RefCnt(3) AND NOT cnt/RefCnt(4) AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT cnt/RefCnt(1) AND NOT cnt/RefCnt(2) AND NOT cnt/RefCnt(3) AND NOT cnt/RefCnt(4) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT cnt/RefCnt(7))); <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT cnt/RefCnt(7)));
</td></tr><tr><td> </td></tr><tr><td>
FTCPE_cnt/TimeoutBPre: FTCPE port map (cnt/TimeoutBPre,cnt/TimeoutBPre_T,CLK_FSB,'0','0');
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;cnt/TimeoutBPre_T <= ((cnt/TimeoutBPre AND nAS_FSB AND NOT fsb/ASrf)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT cnt/TimeoutBPre AND NOT nAS_FSB AND NOT cnt/RefCnt(0) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT cnt/RefCnt(5) AND NOT cnt/RefCnt(6) AND NOT cnt/RefCnt(1) AND NOT cnt/RefCnt(2) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT cnt/RefCnt(3) AND NOT cnt/RefCnt(4) AND NOT cnt/RefCnt(7))
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT cnt/TimeoutBPre AND NOT cnt/RefCnt(0) AND NOT cnt/RefCnt(5) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT cnt/RefCnt(6) AND NOT cnt/RefCnt(1) AND NOT cnt/RefCnt(2) AND NOT cnt/RefCnt(3) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT cnt/RefCnt(4) AND NOT cnt/RefCnt(7) AND fsb/ASrf));
</td></tr><tr><td>
FTCPE_cs/nOverlay0: FTCPE port map (cs/nOverlay0,cs/nOverlay0_T,CLK_FSB,NOT nRES,'0'); FTCPE_cs/nOverlay0: FTCPE port map (cs/nOverlay0,cs/nOverlay0_T,CLK_FSB,NOT nRES,'0');
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;cs/nOverlay0_T <= ((NOT A_FSB(20) AND NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;cs/nOverlay0_T <= ((NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND NOT A_FSB(20) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT cs/nOverlay0 AND NOT nAS_FSB) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT cs/nOverlay0 AND NOT nAS_FSB)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(20) AND NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND NOT A_FSB(20) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT cs/nOverlay0 AND fsb/ASrf)); <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT cs/nOverlay0 AND fsb/ASrf));
</td></tr><tr><td> </td></tr><tr><td>
FDCPE_cs/nOverlay1: FDCPE port map (cs/nOverlay1,cs/nOverlay0,CLK_FSB,'0','0',cs/nOverlay1_CE); FDCPE_cs/nOverlay1: FDCPE port map (cs/nOverlay1,cs/nOverlay0,CLK_FSB,'0','0',cs/nOverlay1_CE);
@ -327,9 +334,9 @@ FDCPE_cs/nOverlay1: FDCPE port map (cs/nOverlay1,cs/nOverlay0,CLK_FSB,'0','0',cs
FDCPE_fsb/ASrf: FDCPE port map (fsb/ASrf,NOT nAS_FSB,NOT CLK_FSB,'0','0'); FDCPE_fsb/ASrf: FDCPE port map (fsb/ASrf,NOT nAS_FSB,NOT CLK_FSB,'0','0');
</td></tr><tr><td> </td></tr><tr><td>
FDCPE_fsb/BERR0r: FDCPE port map (fsb/BERR0r,fsb/BERR0r_D,CLK_FSB,'0','0'); FDCPE_fsb/BERR0r: FDCPE port map (fsb/BERR0r,fsb/BERR0r_D,CLK_FSB,'0','0');
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;fsb/BERR0r_D <= ((nAS_FSB AND NOT fsb/ASrf) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;fsb/BERR0r_D <= ((NOT TimeoutB AND NOT fsb/BERR0r)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT TimeoutB AND NOT fsb/BERR0r) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (nAS_FSB AND NOT fsb/ASrf)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(20) AND NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT fsb/BERR0r)); <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT fsb/BERR0r));
</td></tr><tr><td> </td></tr><tr><td>
FDCPE_fsb/BERR1r: FDCPE port map (fsb/BERR1r,fsb/BERR1r_D,CLK_FSB,'0','0'); FDCPE_fsb/BERR1r: FDCPE port map (fsb/BERR1r,fsb/BERR1r_D,CLK_FSB,'0','0');
@ -344,64 +351,119 @@ FDCPE_fsb/Ready0r: FDCPE port map (fsb/Ready0r,fsb/Ready0r_D,CLK_FSB,'0','0');
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT cs/nOverlay1 AND NOT fsb/Ready0r AND NOT ram/RAMReady)); <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT cs/nOverlay1 AND NOT fsb/Ready0r AND NOT ram/RAMReady));
</td></tr><tr><td> </td></tr><tr><td>
FDCPE_fsb/Ready1r: FDCPE port map (fsb/Ready1r,fsb/Ready1r_D,CLK_FSB,'0','0'); FDCPE_fsb/Ready1r: FDCPE port map (fsb/Ready1r,fsb/Ready1r_D,CLK_FSB,'0','0');
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;fsb/Ready1r_D <= ((nAS_FSB AND NOT fsb/ASrf) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;fsb/Ready1r_D <= ((A_FSB(14) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; cs/nOverlay1 AND NOT nWE_FSB AND NOT fsb/Ready1r AND NOT iobs/IOReady AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(13) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; cs/nOverlay1 AND NOT nWE_FSB AND NOT fsb/Ready1r AND NOT iobs/IOReady AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (nAS_FSB AND NOT fsb/ASrf)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(23) AND NOT fsb/Ready1r AND NOT iobs/IOReady) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(23) AND NOT fsb/Ready1r AND NOT iobs/IOReady)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(20) AND A_FSB(22) AND NOT A_FSB(21) AND NOT fsb/Ready1r AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND NOT fsb/Ready1r AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT iobs/IOReady) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT iobs/IOReady)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(14) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB(16) AND A_FSB(22) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT fsb/Ready1r AND NOT iobs/IOReady) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT fsb/Ready1r AND NOT iobs/IOReady)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(13) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB(16) AND NOT A_FSB(22) AND A_FSB(21) AND cs/nOverlay1 AND NOT nWE_FSB AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT fsb/Ready1r AND NOT iobs/IOReady AND NOT nADoutLE1)); <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT fsb/Ready1r AND NOT iobs/IOReady));
</td></tr><tr><td> </td></tr><tr><td>
FDCPE_fsb/Ready2r: FDCPE port map (fsb/Ready2r,fsb/Ready2r_D,CLK_FSB,'0','0'); FDCPE_fsb/Ready2r: FDCPE port map (fsb/Ready2r,fsb/Ready2r_D,CLK_FSB,'0','0');
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;fsb/Ready2r_D <= ((nAS_FSB AND NOT fsb/ASrf) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;fsb/Ready2r_D <= ((nAS_FSB AND NOT fsb/ASrf)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(9) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(8) AND A_FSB(15) AND A_FSB(14) AND A_FSB(13) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND A_FSB(13) AND NOT A_FSB(23) AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND NOT A_FSB(23) AND A_FSB(22) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB(22) AND A_FSB(21) AND A_FSB(14) AND A_FSB(12) AND A_FSB(11) AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB(10) AND NOT cs/nOverlay1 AND NOT TimeoutA AND NOT nWE_FSB AND NOT fsb/Ready2r) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(9) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(8) AND A_FSB(15) AND A_FSB(14) AND A_FSB(13) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND A_FSB(13) AND NOT A_FSB(23) AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND NOT A_FSB(23) AND NOT A_FSB(22) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB(22) AND A_FSB(21) AND NOT A_FSB(14) AND NOT A_FSB(12) AND NOT A_FSB(11) AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT A_FSB(10) AND NOT cs/nOverlay1 AND NOT TimeoutA AND NOT nWE_FSB AND NOT fsb/Ready2r) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB(16) AND cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(9) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(8) AND A_FSB(15) AND NOT A_FSB(14) AND A_FSB(13) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND A_FSB(13) AND NOT A_FSB(23) AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT A_FSB(12) AND NOT A_FSB(11) AND NOT A_FSB(10) AND NOT A_FSB(23) AND NOT A_FSB(22) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT A_FSB(22) AND A_FSB(21) AND A_FSB(14) AND A_FSB(12) AND A_FSB(11) AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB(10) AND cs/nOverlay1 AND NOT TimeoutA AND NOT nWE_FSB AND NOT fsb/Ready2r) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB(16) AND cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(9) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(9) AND A_FSB(15) AND A_FSB(14) AND A_FSB(13) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND A_FSB(13) AND NOT A_FSB(23) AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND NOT A_FSB(23) AND A_FSB(22) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT A_FSB(22) AND A_FSB(21) AND NOT A_FSB(14) AND NOT A_FSB(12) AND NOT A_FSB(11) AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT A_FSB(10) AND cs/nOverlay1 AND NOT TimeoutA AND NOT nWE_FSB AND NOT fsb/Ready2r)); <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(9) AND A_FSB(15) AND A_FSB(14) AND A_FSB(13) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND NOT A_FSB(23) AND NOT A_FSB(22) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB(16) AND cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(9) AND A_FSB(15) AND NOT A_FSB(14) AND A_FSB(13) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT A_FSB(12) AND NOT A_FSB(11) AND NOT A_FSB(10) AND NOT A_FSB(23) AND A_FSB(22) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(9) AND A_FSB(15) AND NOT A_FSB(14) AND A_FSB(13) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT A_FSB(12) AND NOT A_FSB(11) AND NOT A_FSB(10) AND NOT A_FSB(23) AND NOT A_FSB(22) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB(16) AND cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(8) AND A_FSB(15) AND NOT A_FSB(14) AND A_FSB(13) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT A_FSB(12) AND NOT A_FSB(11) AND NOT A_FSB(10) AND NOT A_FSB(23) AND A_FSB(22) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r));
</td></tr><tr><td> </td></tr><tr><td>
FTCPE_fsb/VPA: FTCPE port map (fsb/VPA,fsb/VPA_T,CLK_FSB,'0','0'); FDCPE_fsb/VPA: FDCPE port map (fsb/VPA,fsb/VPA_D,CLK_FSB,'0','0');
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;fsb/VPA_T <= ((A_FSB(20) AND NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;fsb/VPA_D <= ((EXP18_.EXP)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT fsb/BERR0r AND fsb/VPA AND NOT $OpTx$INV$223) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (BERR_IOBS AND fsb/VPA AND fsb/ASrf)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(20) AND A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (fsb/BERR0r AND fsb/VPA AND fsb/ASrf)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT BERR_IOBS AND NOT fsb/BERR1r AND NOT fsb/VPA AND NOT nAS_FSB AND NOT $OpTx$INV$223) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (fsb/BERR1r AND fsb/VPA AND fsb/ASrf)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(20) AND A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (fsb/VPA AND fsb/ASrf AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT BERR_IOBS AND NOT fsb/BERR1r AND NOT fsb/VPA AND fsb/ASrf AND NOT $OpTx$INV$223) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; fsb/VPA__or00001/fsb/VPA__or00001_D2)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(20) AND A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (fsb/VPA AND fsb/ASrf AND NOT $OpTx$FX_DC$602)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT TimeoutB AND NOT fsb/BERR0r AND NOT fsb/VPA AND NOT nAS_FSB AND NOT $OpTx$INV$223) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR ($OpTx$FX_DC$602.EXP)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(20) AND A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT fsb/Ready1r AND fsb/VPA AND NOT iobs/IOReady AND NOT nAS_FSB AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT TimeoutB AND NOT fsb/BERR0r AND NOT fsb/VPA AND fsb/ASrf AND NOT $OpTx$INV$223) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; $OpTx$FX_DC$606)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(20) AND NOT TimeoutB AND NOT fsb/BERR0r AND fsb/VPA AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT fsb/Ready1r AND fsb/VPA AND NOT iobs/IOReady AND fsb/ASrf AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT $OpTx$INV$223) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; $OpTx$FX_DC$606)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND NOT TimeoutB AND NOT fsb/BERR0r AND fsb/VPA AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT $OpTx$INV$223) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT fsb/Ready0r AND fsb/VPA AND NOT nAS_FSB AND NOT ram/RAMReady)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(22) AND NOT TimeoutB AND NOT fsb/BERR0r AND fsb/VPA AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT $OpTx$INV$223) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT fsb/Ready0r AND fsb/VPA AND fsb/ASrf AND NOT ram/RAMReady)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(21) AND NOT TimeoutB AND NOT fsb/BERR0r AND fsb/VPA AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT $OpTx$INV$223) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT cs/nOverlay1 AND NOT fsb/Ready0r AND fsb/VPA AND NOT nAS_FSB AND NOT ram/RAMReady)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (fsb/VPA AND nAS_FSB AND NOT fsb/ASrf) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (BERR_IOBS AND fsb/VPA AND NOT nAS_FSB)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(20) AND NOT BERR_IOBS AND NOT fsb/BERR1r AND fsb/VPA AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (fsb/BERR0r AND fsb/VPA AND NOT nAS_FSB)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT $OpTx$INV$223) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (fsb/BERR1r AND fsb/VPA AND NOT nAS_FSB)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND NOT BERR_IOBS AND NOT fsb/BERR1r AND fsb/VPA AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (fsb/VPA AND NOT nAS_FSB AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT $OpTx$INV$223) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; fsb/VPA__or00001/fsb/VPA__or00001_D2)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(22) AND NOT BERR_IOBS AND NOT fsb/BERR1r AND fsb/VPA AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (fsb/VPA AND NOT nAS_FSB AND NOT $OpTx$FX_DC$602));
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT $OpTx$INV$223) </td></tr><tr><td>
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(21) AND NOT BERR_IOBS AND NOT fsb/BERR1r AND fsb/VPA AND </td></tr><tr><td>
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT $OpTx$INV$223)); fsb/VPA__or00001/fsb/VPA__or00001_D2 <= ((A_FSB(9) AND A_FSB(15) AND A_FSB(14) AND A_FSB(13) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND NOT A_FSB(23) AND A_FSB(22) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(9) AND A_FSB(15) AND NOT A_FSB(14) AND A_FSB(13) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT A_FSB(12) AND NOT A_FSB(11) AND NOT A_FSB(10) AND NOT A_FSB(23) AND A_FSB(22) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(8) AND A_FSB(15) AND NOT A_FSB(14) AND A_FSB(13) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT A_FSB(12) AND NOT A_FSB(11) AND NOT A_FSB(10) AND NOT A_FSB(23) AND A_FSB(22) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(9) AND A_FSB(15) AND A_FSB(14) AND A_FSB(13) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND NOT A_FSB(23) AND NOT A_FSB(22) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB(16) AND cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(9) AND A_FSB(15) AND NOT A_FSB(14) AND A_FSB(13) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT A_FSB(12) AND NOT A_FSB(11) AND NOT A_FSB(10) AND NOT A_FSB(23) AND NOT A_FSB(22) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB(16) AND cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(8) AND A_FSB(15) AND A_FSB(14) AND A_FSB(13) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND NOT A_FSB(23) AND A_FSB(22) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(8) AND A_FSB(15) AND A_FSB(14) AND A_FSB(13) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND NOT A_FSB(23) AND NOT A_FSB(22) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB(16) AND cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(8) AND A_FSB(15) AND NOT A_FSB(14) AND A_FSB(13) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT A_FSB(12) AND NOT A_FSB(11) AND NOT A_FSB(10) AND NOT A_FSB(23) AND NOT A_FSB(22) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB(16) AND cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r));
</td></tr><tr><td> </td></tr><tr><td>
FDCPE_iobm/BERRrf: FDCPE port map (iobm/BERRrf,NOT nBERR_IOB,NOT CLK2X_IOB,'0','0'); FDCPE_iobm/BERRrf: FDCPE port map (iobm/BERRrf,NOT nBERR_IOB,NOT CLK2X_IOB,'0','0');
</td></tr><tr><td> </td></tr><tr><td>
@ -496,6 +558,9 @@ FDCPE_iobm/VPArf: FDCPE port map (iobm/VPArf,NOT nVPA_IOB,NOT CLK2X_IOB,'0','0')
</td></tr><tr><td> </td></tr><tr><td>
FDCPE_iobm/VPArr: FDCPE port map (iobm/VPArr,NOT nVPA_IOB,CLK2X_IOB,'0','0'); FDCPE_iobm/VPArr: FDCPE port map (iobm/VPArr,NOT nVPA_IOB,CLK2X_IOB,'0','0');
</td></tr><tr><td> </td></tr><tr><td>
FDCPE_iobs/Clear1: FDCPE port map (iobs/Clear1,iobs/Clear1_D,CLK_FSB,'0','0');
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;iobs/Clear1_D <= (iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND NOT nADoutLE1);
</td></tr><tr><td>
FDCPE_iobs/IOACTr: FDCPE port map (iobs/IOACTr,IOACT,CLK_FSB,'0','0'); FDCPE_iobs/IOACTr: FDCPE port map (iobs/IOACTr,IOACT,CLK_FSB,'0','0');
</td></tr><tr><td> </td></tr><tr><td>
FDCPE_iobs/IOL1: FDCPE port map (iobs/IOL1,NOT nLDS_FSB,CLK_FSB,'0','0',iobs/Load1); FDCPE_iobs/IOL1: FDCPE port map (iobs/IOL1,NOT nLDS_FSB,CLK_FSB,'0','0',iobs/Load1);
@ -503,19 +568,18 @@ FDCPE_iobs/IOL1: FDCPE port map (iobs/IOL1,NOT nLDS_FSB,CLK_FSB,'0','0',iobs/Loa
FTCPE_iobs/IORW1: FTCPE port map (iobs/IORW1,iobs/IORW1_T,CLK_FSB,'0','0'); FTCPE_iobs/IORW1: FTCPE port map (iobs/IORW1,iobs/IORW1_T,CLK_FSB,'0','0');
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;iobs/IORW1_T <= ((iobs/Once) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;iobs/IORW1_T <= ((iobs/Once)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT nADoutLE1) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (nWE_FSB AND iobs/IORW1) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (nVMA_IOB_OBUF.EXP)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT nWE_FSB AND NOT iobs/IORW1) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT nWE_FSB AND NOT iobs/IORW1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (nAS_FSB AND NOT fsb/ASrf) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (nAS_FSB AND NOT fsb/ASrf)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21)) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21))
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT cs/nOverlay1) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT cs/nOverlay1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(19) AND NOT A_FSB(23) AND A_FSB(21)) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(19))
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(18) AND NOT A_FSB(23) AND A_FSB(21)) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(18))
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(17) AND NOT A_FSB(23) AND A_FSB(21)) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(17))
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(16) AND NOT A_FSB(23) AND A_FSB(21)) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(16))
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND NOT A_FSB(20))
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; cs/nOverlay1) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (nWE_FSB AND iobs/IORW1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(20) AND NOT A_FSB(23))
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND A_FSB(21) AND NOT iobs/IORW1)); <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND A_FSB(21) AND NOT iobs/IORW1));
</td></tr><tr><td> </td></tr><tr><td>
FTCPE_iobs/IOReady: FTCPE port map (iobs/IOReady,iobs/IOReady_T,CLK_FSB,'0','0'); FTCPE_iobs/IOReady: FTCPE port map (iobs/IOReady,iobs/IOReady_T,CLK_FSB,'0','0');
@ -532,99 +596,79 @@ FDCPE_iobs/IOU1: FDCPE port map (iobs/IOU1,NOT nUDS_FSB,CLK_FSB,'0','0',iobs/Loa
FDCPE_iobs/Load1: FDCPE port map (iobs/Load1,iobs/Load1_D,CLK_FSB,'0','0'); FDCPE_iobs/Load1: FDCPE port map (iobs/Load1,iobs/Load1_D,CLK_FSB,'0','0');
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;iobs/Load1_D <= ((iobs/Once) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;iobs/Load1_D <= ((iobs/Once)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT nADoutLE1) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(18) AND NOT A_FSB(23) AND A_FSB(21))
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(17) AND NOT A_FSB(23) AND A_FSB(21))
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; cs/nOverlay1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(19) AND NOT A_FSB(23) AND A_FSB(21))
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(16) AND NOT A_FSB(23) AND A_FSB(21))
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21)) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21))
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT cs/nOverlay1) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT cs/nOverlay1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(19))
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND A_FSB(21) AND nWE_FSB) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND A_FSB(21) AND nWE_FSB)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(20) AND NOT A_FSB(23)) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(18))
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(17))
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(16))
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(14) AND NOT A_FSB(13) AND NOT A_FSB(23) AND A_FSB(21))
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; cs/nOverlay1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND NOT A_FSB(20))
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (nAS_FSB AND NOT fsb/ASrf) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (nAS_FSB AND NOT fsb/ASrf)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1)); <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1));
</td></tr><tr><td> </td></tr><tr><td>
FTCPE_iobs/Once: FTCPE port map (iobs/Once,iobs/Once_T,CLK_FSB,'0','0'); FDCPE_iobs/Once: FDCPE port map (iobs/Once,iobs/Once_D,CLK_FSB,'0','0');
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;iobs/Once_T <= ((A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;iobs/Once_D <= ((RA_2_OBUF.EXP)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB(16) AND A_FSB(22) AND NOT cs/nOverlay1 AND NOT iobs/Once AND NOT nWE_FSB AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(23) AND NOT iobs/Once AND iobs/PS_FSM_FFd1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT iobs/Once AND iobs/PS_FSM_FFd2 AND NOT nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT iobs/Once AND iobs/PS_FSM_FFd1 AND NOT nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB(16) AND A_FSB(22) AND NOT cs/nOverlay1 AND NOT iobs/Once AND NOT nWE_FSB AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21) AND NOT iobs/Once)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND fsb/ASrf) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT cs/nOverlay1 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT iobs/Once)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB(16) AND NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(19) AND NOT iobs/Once)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; cs/nOverlay1 AND NOT iobs/Once AND NOT nWE_FSB AND NOT nAS_FSB AND nADoutLE1) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(18) AND NOT iobs/Once)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(17) AND NOT iobs/Once)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB(16) AND NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(16) AND NOT iobs/Once)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; cs/nOverlay1 AND NOT iobs/Once AND NOT nWE_FSB AND fsb/ASrf AND nADoutLE1) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND A_FSB(21) AND NOT iobs/Once AND nWE_FSB)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (nAS_FSB AND NOT fsb/ASrf)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB(16) AND NOT A_FSB(22) AND A_FSB(21) AND cs/nOverlay1 AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(23) AND NOT iobs/Once AND iobs/PS_FSM_FFd2)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT iobs/Once AND NOT nWE_FSB AND NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND NOT A_FSB(20) AND NOT iobs/Once)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT iobs/PS_FSM_FFd1) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(22) AND NOT iobs/Once AND iobs/PS_FSM_FFd2)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(22) AND NOT iobs/Once AND iobs/PS_FSM_FFd1));
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB(16) AND NOT A_FSB(22) AND A_FSB(21) AND cs/nOverlay1 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT iobs/Once AND NOT nWE_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; fsb/ASrf)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (iobs/Once AND nAS_FSB AND NOT fsb/ASrf)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(23) AND NOT iobs/Once AND NOT nAS_FSB AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(23) AND NOT iobs/Once AND NOT iobs/PS_FSM_FFd2 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT iobs/PS_FSM_FFd1 AND fsb/ASrf)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(20) AND A_FSB(22) AND NOT A_FSB(21) AND NOT iobs/Once AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(20) AND A_FSB(22) AND NOT A_FSB(21) AND NOT iobs/Once AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND fsb/ASrf));
</td></tr><tr><td> </td></tr><tr><td>
FDCPE_iobs/PS_FSM_FFd1: FDCPE port map (iobs/PS_FSM_FFd1,iobs/PS_FSM_FFd1_D,CLK_FSB,'0','0'); FDCPE_iobs/PS_FSM_FFd1: FDCPE port map (iobs/PS_FSM_FFd1,iobs/PS_FSM_FFd1_D,CLK_FSB,'0','0');
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;iobs/PS_FSM_FFd1_D <= ((iobs/PS_FSM_FFd2) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;iobs/PS_FSM_FFd1_D <= ((iobs/PS_FSM_FFd2)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (iobs/PS_FSM_FFd1 AND iobs/IOACTr)); <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (iobs/PS_FSM_FFd1 AND iobs/IOACTr));
</td></tr><tr><td> </td></tr><tr><td>
FTCPE_iobs/PS_FSM_FFd2: FTCPE port map (iobs/PS_FSM_FFd2,iobs/PS_FSM_FFd2_T,CLK_FSB,'0','0'); FDCPE_iobs/PS_FSM_FFd2: FDCPE port map (iobs/PS_FSM_FFd2,iobs/PS_FSM_FFd2_D,CLK_FSB,'0','0');
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;iobs/PS_FSM_FFd2_T <= ((iobs/PS_FSM_FFd1 AND iobs/IOACTr) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;iobs/PS_FSM_FFd2_D <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(20) AND A_FSB(22) AND NOT A_FSB(21) AND NOT iobs/Once AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT cs/nOverlay1 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(20) AND A_FSB(22) AND NOT A_FSB(21) AND NOT iobs/Once AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND fsb/ASrf) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(19) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB(16) AND A_FSB(22) AND NOT cs/nOverlay1 AND NOT iobs/Once AND NOT nWE_FSB AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND A_FSB(21) AND nWE_FSB AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(18) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB(16) AND A_FSB(22) AND NOT cs/nOverlay1 AND NOT iobs/Once AND NOT nWE_FSB AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND fsb/ASrf) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(17) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND NOT nADoutLE1) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(23) AND NOT iobs/Once AND NOT nAS_FSB AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(16) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(23) AND NOT iobs/Once AND NOT iobs/PS_FSM_FFd2 AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(14) AND NOT A_FSB(13) AND NOT A_FSB(23) AND A_FSB(21) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT iobs/PS_FSM_FFd1 AND fsb/ASrf) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB(16) AND NOT A_FSB(22) AND A_FSB(21) AND cs/nOverlay1 AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; cs/nOverlay1 AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT iobs/Once AND NOT nWE_FSB AND NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (iobs/PS_FSM_FFd2 AND iobs/PS_FSM_FFd1 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT iobs/PS_FSM_FFd1) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; iobs/IOACTr)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT iobs/PS_FSM_FFd2 AND iobs/PS_FSM_FFd1 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB(16) AND NOT A_FSB(22) AND A_FSB(21) AND cs/nOverlay1 AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT iobs/IOACTr)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT iobs/Once AND NOT nWE_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (iobs/Once AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; fsb/ASrf)); <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND NOT A_FSB(20) AND NOT iobs/PS_FSM_FFd2 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT iobs/PS_FSM_FFd1 AND nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT fsb/ASrf AND nADoutLE1));
</td></tr><tr><td> </td></tr><tr><td>
</td></tr><tr><td> </td></tr><tr><td>
nADoutLE0 <= (NOT ALE0M AND NOT ALE0S); nADoutLE0 <= (NOT ALE0M AND NOT ALE0S);
</td></tr><tr><td> </td></tr><tr><td>
FDCPE_nADoutLE1: FDCPE port map (nADoutLE1,nADoutLE1_D,CLK_FSB,'0','0'); FDCPE_nADoutLE1: FDCPE port map (nADoutLE1,nADoutLE1_D,CLK_FSB,'0','0');
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;nADoutLE1_D <= ((NOT A_FSB(19) AND NOT A_FSB(23) AND A_FSB(21) AND nADoutLE1) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;nADoutLE1_D <= ((iobs/Load1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(16) AND NOT A_FSB(23) AND A_FSB(21) AND nADoutLE1) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT iobs/Clear1 AND NOT nADoutLE1));
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21) AND nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT cs/nOverlay1 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND A_FSB(21) AND nWE_FSB AND nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(18) AND NOT A_FSB(23) AND A_FSB(21) AND nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(17) AND NOT A_FSB(23) AND A_FSB(21) AND nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; cs/nOverlay1 AND nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (iobs/Once AND nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(20) AND NOT A_FSB(23) AND nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (nAS_FSB AND NOT fsb/ASrf AND nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND NOT nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1));
</td></tr><tr><td> </td></tr><tr><td>
FDCPE_nAS_IOB: FDCPE port map (nAS_IOB,nAS_IOB_D,NOT CLK2X_IOB,'0','0'); FDCPE_nAS_IOB: FDCPE port map (nAS_IOB,nAS_IOB_D,NOT CLK2X_IOB,'0','0');
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;nAS_IOB_D <= (NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd4 AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;nAS_IOB_D <= (NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd4 AND
@ -635,58 +679,59 @@ nAoutOE <= '0';
</td></tr><tr><td> </td></tr><tr><td>
</td></tr><tr><td> </td></tr><tr><td>
nBERR_FSB <= ((nAS_FSB) nBERR_FSB <= ((nAS_FSB)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT BERR_IOBS AND NOT fsb/BERR1r) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT BERR_IOBS AND NOT TimeoutB AND NOT fsb/BERR0r AND NOT fsb/BERR1r)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT TimeoutB AND NOT fsb/BERR0r) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(20) AND NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT BERR_IOBS AND NOT fsb/BERR0r AND NOT fsb/BERR1r));
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT fsb/BERR0r));
</td></tr><tr><td> </td></tr><tr><td>
FDCPE_nCAS: FDCPE port map (nCAS,NOT ram/RASEL,NOT CLK_FSB,'0','0'); FDCPE_nCAS: FDCPE port map (nCAS,NOT ram/RASEL,NOT CLK_FSB,'0','0');
</td></tr><tr><td> </td></tr><tr><td>
FDCPE_nDTACK_FSB: FDCPE port map (nDTACK_FSB,nDTACK_FSB_D,CLK_FSB,'0','0'); FDCPE_nDTACK_FSB: FDCPE port map (nDTACK_FSB,nDTACK_FSB_D,CLK_FSB,'0','0');
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;nDTACK_FSB_D <= ((A_FSB(23) AND BERR_IOBS AND TimeoutB AND nDTACK_FSB) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;nDTACK_FSB_D <= ((EXP21_.EXP)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(23) AND TimeoutB AND fsb/BERR1r AND nDTACK_FSB) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(23) AND NOT fsb/Ready1r AND NOT iobs/IOReady AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(22) AND TimeoutB AND fsb/BERR1r AND nDTACK_FSB) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; nDTACK_FSB)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT cs/nOverlay1 AND NOT fsb/Ready0r AND nDTACK_FSB AND NOT ram/RAMReady)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB(16) AND A_FSB(22) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT fsb/Ready1r AND NOT iobs/IOReady AND nDTACK_FSB)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (EXP17_.EXP)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(20) AND TimeoutB AND fsb/BERR1r AND nDTACK_FSB)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(22) AND BERR_IOBS AND TimeoutB AND nDTACK_FSB)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(21) AND TimeoutB AND fsb/BERR1r AND nDTACK_FSB)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(20) AND A_FSB(22) AND NOT A_FSB(21) AND NOT fsb/Ready1r AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT iobs/IOReady AND nDTACK_FSB)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT fsb/Ready0r AND nDTACK_FSB AND NOT ram/RAMReady) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT fsb/Ready0r AND nDTACK_FSB AND NOT ram/RAMReady)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND NOT fsb/Ready1r AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB(16) AND NOT A_FSB(22) AND A_FSB(21) AND cs/nOverlay1 AND NOT nWE_FSB AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT iobs/IOReady AND nDTACK_FSB)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT fsb/Ready1r AND NOT iobs/IOReady AND nDTACK_FSB AND NOT nADoutLE1) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(9) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT cs/nOverlay1 AND NOT fsb/Ready0r AND nDTACK_FSB AND NOT ram/RAMReady)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND A_FSB(13) AND A_FSB(22) AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(14) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB(21) AND A_FSB(14) AND A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT cs/nOverlay1 AND NOT TimeoutA AND NOT nWE_FSB AND NOT fsb/Ready2r AND nDTACK_FSB) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT fsb/Ready1r AND NOT iobs/IOReady AND nDTACK_FSB)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(9) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR ($OpTx$FX_DC$606.EXP)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND A_FSB(13) AND A_FSB(22) AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(13) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB(21) AND NOT A_FSB(14) AND NOT A_FSB(12) AND NOT A_FSB(11) AND NOT A_FSB(10) AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT cs/nOverlay1 AND NOT TimeoutA AND NOT nWE_FSB AND NOT fsb/Ready2r AND nDTACK_FSB) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT fsb/Ready1r AND NOT iobs/IOReady AND nDTACK_FSB)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(9) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(14) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND A_FSB(13) AND NOT A_FSB(23) AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT A_FSB(22) AND A_FSB(21) AND A_FSB(14) AND A_FSB(12) AND A_FSB(11) AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; cs/nOverlay1 AND NOT nWE_FSB AND NOT fsb/Ready1r AND NOT iobs/IOReady AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB(10) AND cs/nOverlay1 AND NOT TimeoutA AND NOT nWE_FSB AND NOT fsb/Ready2r AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; nDTACK_FSB AND NOT nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(13) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; cs/nOverlay1 AND NOT nWE_FSB AND NOT fsb/Ready1r AND NOT iobs/IOReady AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; nDTACK_FSB AND NOT nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(9) AND A_FSB(15) AND A_FSB(14) AND A_FSB(13) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND NOT A_FSB(23) AND NOT A_FSB(22) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB(16) AND cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; nDTACK_FSB) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; nDTACK_FSB)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(9) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(8) AND A_FSB(15) AND A_FSB(14) AND A_FSB(13) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND A_FSB(13) AND NOT A_FSB(23) AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND NOT A_FSB(23) AND NOT A_FSB(22) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT A_FSB(22) AND A_FSB(21) AND NOT A_FSB(14) AND NOT A_FSB(12) AND NOT A_FSB(11) AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT A_FSB(10) AND cs/nOverlay1 AND NOT TimeoutA AND NOT nWE_FSB AND NOT fsb/Ready2r AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB(16) AND cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; nDTACK_FSB)); <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; nDTACK_FSB)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (BERR_IOBS AND nDTACK_FSB)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (fsb/BERR0r AND nDTACK_FSB)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (fsb/BERR1r AND nDTACK_FSB)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (nAS_FSB AND NOT fsb/ASrf)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (nDTACK_FSB AND NOT $OpTx$FX_DC$602));
</td></tr><tr><td> </td></tr><tr><td>
FDCPE_nDinLE: FDCPE port map (nDinLE,nDinLE_D,NOT CLK2X_IOB,'0','0'); FDCPE_nDinLE: FDCPE port map (nDinLE,nDinLE_D,NOT CLK2X_IOB,'0','0');
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;nDinLE_D <= (NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd4); <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;nDinLE_D <= (NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd4);
</td></tr><tr><td> </td></tr><tr><td>
</td></tr><tr><td> </td></tr><tr><td>
nDinOE <= ((A_FSB(23) AND nWE_FSB AND NOT nAS_FSB) nDinOE <= ((A_FSB(23) AND nWE_FSB AND NOT nAS_FSB)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(20) AND A_FSB(22) AND NOT A_FSB(21) AND nWE_FSB AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND nWE_FSB AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT nAS_FSB)); <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT nAS_FSB));
</td></tr><tr><td> </td></tr><tr><td>
FDCPE_nDoutOE: FDCPE port map (nDoutOE,nDoutOE_D,CLK2X_IOB,'0','0'); FDCPE_nDoutOE: FDCPE port map (nDoutOE,nDoutOE_D,CLK2X_IOB,'0','0');
@ -721,8 +766,8 @@ nRAS <= NOT (((RefAck)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT cs/nOverlay1 AND NOT ram/RAMDIS2 AND NOT nAS_FSB AND NOT ram/RAMDIS1))); <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT cs/nOverlay1 AND NOT ram/RAMDIS2 AND NOT nAS_FSB AND NOT ram/RAMDIS1)));
</td></tr><tr><td> </td></tr><tr><td>
</td></tr><tr><td> </td></tr><tr><td>
nROMCS <= NOT (((NOT A_FSB(20) AND NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21)) nROMCS <= NOT (((NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND NOT A_FSB(20))
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(20) AND NOT A_FSB(23) AND NOT A_FSB(21) AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND NOT A_FSB(21) AND NOT A_FSB(20) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT cs/nOverlay1))); <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT cs/nOverlay1)));
</td></tr><tr><td> </td></tr><tr><td>
</td></tr><tr><td> </td></tr><tr><td>
@ -748,7 +793,9 @@ FDCPE_ram/BACTr: FDCPE port map (ram/BACTr,ram/BACTr_D,CLK_FSB,'0','0');
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;ram/BACTr_D <= (nAS_FSB AND NOT fsb/ASrf); <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;ram/BACTr_D <= (nAS_FSB AND NOT fsb/ASrf);
</td></tr><tr><td> </td></tr><tr><td>
FTCPE_ram/Once: FTCPE port map (ram/Once,ram/Once_T,CLK_FSB,'0','0'); FTCPE_ram/Once: FTCPE port map (ram/Once,ram/Once_T,CLK_FSB,'0','0');
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;ram/Once_T <= ((NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;ram/Once_T <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND NOT ram/Once AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND fsb/ASrf)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT cs/nOverlay1 AND NOT ram/Once AND NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT cs/nOverlay1 AND NOT ram/Once AND NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND
@ -757,12 +804,11 @@ FTCPE_ram/Once: FTCPE port map (ram/Once,ram/Once_T,CLK_FSB,'0','0');
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (ram/Once AND nAS_FSB AND NOT fsb/ASrf) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (ram/Once AND nAS_FSB AND NOT fsb/ASrf)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND NOT ram/Once AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND NOT ram/Once AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT ram/RS_FSM_FFd3) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT ram/RS_FSM_FFd3));
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND NOT ram/Once AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND fsb/ASrf));
</td></tr><tr><td> </td></tr><tr><td>
FDCPE_ram/RAMDIS1: FDCPE port map (ram/RAMDIS1,ram/RAMDIS1_D,CLK_FSB,'0','0'); FDCPE_ram/RAMDIS1: FDCPE port map (ram/RAMDIS1,ram/RAMDIS1_D,CLK_FSB,'0','0');
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;ram/RAMDIS1_D <= ((A_FSB(22) AND NOT A_FSB(21) AND NOT cnt/RefDone AND NOT nAS_FSB AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;ram/RAMDIS1_D <= ((RA_4_OBUF.EXP)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(22) AND NOT A_FSB(21) AND NOT cnt/RefDone AND NOT nAS_FSB AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(22) AND NOT A_FSB(21) AND NOT cnt/RefDone AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(22) AND NOT A_FSB(21) AND NOT cnt/RefDone AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf)
@ -772,7 +818,6 @@ FDCPE_ram/RAMDIS1: FDCPE port map (ram/RAMDIS1,ram/RAMDIS1_D,CLK_FSB,'0','0');
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(22) AND NOT cs/nOverlay1 AND NOT cnt/RefDone AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(22) AND NOT cs/nOverlay1 AND NOT cnt/RefDone AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (nDinOE_OBUF.EXP)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(23) AND NOT cnt/RefDone AND NOT ram/RS_FSM_FFd1 AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(23) AND NOT cnt/RefDone AND NOT ram/RS_FSM_FFd1 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7)) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7))
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(22) AND NOT cs/nOverlay1 AND NOT cnt/RefDone AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(22) AND NOT cs/nOverlay1 AND NOT cnt/RefDone AND
@ -793,7 +838,11 @@ FDCPE_ram/RAMDIS1: FDCPE port map (ram/RAMDIS1,ram/RAMDIS1_D,CLK_FSB,'0','0');
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT ram/BACTr AND fsb/ASrf)); <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT ram/BACTr AND fsb/ASrf));
</td></tr><tr><td> </td></tr><tr><td>
FTCPE_ram/RAMDIS2: FTCPE port map (ram/RAMDIS2,ram/RAMDIS2_T,CLK_FSB,'0','0'); FTCPE_ram/RAMDIS2: FTCPE port map (ram/RAMDIS2,ram/RAMDIS2_T,CLK_FSB,'0','0');
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;ram/RAMDIS2_T <= ((NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;ram/RAMDIS2_T <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND ram/Once AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT cnt/RefDone AND NOT ram/RAMDIS2 AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT ram/RS_FSM_FFd3 AND cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; fsb/ASrf)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT cs/nOverlay1 AND ram/Once AND NOT cnt/RefDone AND NOT ram/RAMDIS2 AND NOT nAS_FSB AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT cs/nOverlay1 AND ram/Once AND NOT cnt/RefDone AND NOT ram/RAMDIS2 AND NOT nAS_FSB AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7)) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7))
@ -811,11 +860,7 @@ FTCPE_ram/RAMDIS2: FTCPE port map (ram/RAMDIS2,ram/RAMDIS2_T,CLK_FSB,'0','0');
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND ram/Once AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND ram/Once AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT cnt/RefDone AND NOT ram/RAMDIS2 AND NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT cnt/RefDone AND NOT ram/RAMDIS2 AND NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND cnt/RefCnt(5) AND cnt/RefCnt(6) AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND cnt/RefCnt(5) AND cnt/RefCnt(6) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; cnt/RefCnt(7)) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; cnt/RefCnt(7)));
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND ram/Once AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT cnt/RefDone AND NOT ram/RAMDIS2 AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT ram/RS_FSM_FFd3 AND cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; fsb/ASrf));
</td></tr><tr><td> </td></tr><tr><td>
FDCPE_ram/RAMReady: FDCPE port map (ram/RAMReady,ram/RAMReady_D,CLK_FSB,'0','0'); FDCPE_ram/RAMReady: FDCPE port map (ram/RAMReady,ram/RAMReady_D,CLK_FSB,'0','0');
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;ram/RAMReady_D <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND NOT ram/Once AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;ram/RAMReady_D <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND NOT ram/Once AND
@ -828,7 +873,7 @@ FDCPE_ram/RAMReady: FDCPE port map (ram/RAMReady,ram/RAMReady_D,CLK_FSB,'0','0')
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT cs/nOverlay1 AND NOT ram/Once AND NOT ram/RS_FSM_FFd1 AND fsb/ASrf) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT cs/nOverlay1 AND NOT ram/Once AND NOT ram/RS_FSM_FFd1 AND fsb/ASrf)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (EXP36_.EXP) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (cnt/RefCnt(5).EXP)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd1) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd3) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd3)
@ -848,19 +893,7 @@ FDCPE_ram/RAMReady: FDCPE port map (ram/RAMReady,ram/RAMReady_D,CLK_FSB,'0','0')
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT cs/nOverlay1 AND NOT ram/Once AND NOT nAS_FSB AND NOT ram/RS_FSM_FFd1)); <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT cs/nOverlay1 AND NOT ram/Once AND NOT nAS_FSB AND NOT ram/RS_FSM_FFd1));
</td></tr><tr><td> </td></tr><tr><td>
FDCPE_ram/RASEL: FDCPE port map (ram/RASEL,ram/RASEL_D,CLK_FSB,'0','0'); FDCPE_ram/RASEL: FDCPE port map (ram/RASEL,ram/RASEL_D,CLK_FSB,'0','0');
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;ram/RASEL_D <= ((ram/RS_FSM_FFd2.EXP) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;ram/RASEL_D <= ((A_FSB(22) AND cs/nOverlay1 AND NOT cnt/RefDone AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND NOT ram/Once AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND NOT ram/Once AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND fsb/ASrf)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(22) AND NOT A_FSB(21) AND NOT cnt/RefDone AND NOT nAS_FSB AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(22) AND NOT A_FSB(21) AND NOT cnt/RefDone AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(22) AND cs/nOverlay1 AND NOT cnt/RefDone AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (RA_5_OBUF.EXP)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(22) AND cs/nOverlay1 AND NOT cnt/RefDone AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(22) AND NOT cs/nOverlay1 AND NOT cnt/RefDone AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(22) AND NOT cs/nOverlay1 AND NOT cnt/RefDone AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr)
@ -872,6 +905,17 @@ FDCPE_ram/RASEL: FDCPE port map (ram/RASEL,ram/RASEL_D,CLK_FSB,'0','0');
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT cnt/RefDone AND nAS_FSB AND ram/RS_FSM_FFd1 AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT cnt/RefDone AND nAS_FSB AND ram/RS_FSM_FFd1 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; ram/RS_FSM_FFd3 AND cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7) AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; ram/RS_FSM_FFd3 AND cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT fsb/ASrf) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT fsb/ASrf)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (nDinOE_OBUF.EXP)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND NOT ram/Once AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND NOT ram/Once AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND fsb/ASrf)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(22) AND NOT A_FSB(21) AND NOT cnt/RefDone AND NOT nAS_FSB AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(22) AND NOT A_FSB(21) AND NOT cnt/RefDone AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(22) AND cs/nOverlay1 AND NOT cnt/RefDone AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd3) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd3)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT ram/RS_FSM_FFd3) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT ram/RS_FSM_FFd3)
@ -884,9 +928,6 @@ FDCPE_ram/RASEL: FDCPE port map (ram/RASEL,ram/RASEL_D,CLK_FSB,'0','0');
</td></tr><tr><td> </td></tr><tr><td>
FTCPE_ram/RS_FSM_FFd1: FTCPE port map (ram/RS_FSM_FFd1,ram/RS_FSM_FFd1_T,CLK_FSB,'0','0'); FTCPE_ram/RS_FSM_FFd1: FTCPE port map (ram/RS_FSM_FFd1,ram/RS_FSM_FFd1_T,CLK_FSB,'0','0');
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;ram/RS_FSM_FFd1_T <= ((ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd3) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;ram/RS_FSM_FFd1_T <= ((ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd3)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT cs/nOverlay1 AND NOT ram/Once AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT ram/RS_FSM_FFd3 AND fsb/ASrf)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND NOT ram/Once AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND NOT ram/Once AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT ram/RS_FSM_FFd3) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT ram/RS_FSM_FFd3)
@ -894,34 +935,47 @@ FTCPE_ram/RS_FSM_FFd1: FTCPE port map (ram/RS_FSM_FFd1,ram/RS_FSM_FFd1_T,CLK_FSB
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND fsb/ASrf) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND fsb/ASrf)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT cs/nOverlay1 AND NOT ram/Once AND NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT cs/nOverlay1 AND NOT ram/Once AND NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3)); <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT cs/nOverlay1 AND NOT ram/Once AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT ram/RS_FSM_FFd3 AND fsb/ASrf));
</td></tr><tr><td> </td></tr><tr><td>
FTCPE_ram/RS_FSM_FFd2: FTCPE port map (ram/RS_FSM_FFd2,ram/RS_FSM_FFd2_T,CLK_FSB,'0','0'); FTCPE_ram/RS_FSM_FFd2: FTCPE port map (ram/RS_FSM_FFd2,ram/RS_FSM_FFd2_T,CLK_FSB,'0','0');
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;ram/RS_FSM_FFd2_T <= ((RA_1_OBUF.EXP) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;ram/RS_FSM_FFd2_T <= ((nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT cnt/RefCnt(5) AND ram/BACTr)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND ram/BACTr AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT cnt/RefCnt(7))
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT cnt/RefCnt(5) AND NOT fsb/ASrf) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT cnt/RefCnt(5) AND NOT fsb/ASrf)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT cnt/RefCnt(6) AND NOT fsb/ASrf) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT cnt/RefCnt(6) AND NOT fsb/ASrf)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT cnt/RefCnt(7) AND NOT fsb/ASrf) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT cnt/RefCnt(7) AND NOT fsb/ASrf)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND NOT nAS_FSB AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND fsb/ASrf)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT cs/nOverlay1 AND NOT nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT cs/nOverlay1 AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND fsb/ASrf)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT cnt/RefDone AND nAS_FSB AND ram/RS_FSM_FFd2 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; ram/RS_FSM_FFd1 AND cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT fsb/ASrf)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (cnt/RefDone AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (cnt/RefDone AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT cnt/RefCnt(6) AND ram/BACTr)); <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT cnt/RefCnt(5) AND ram/BACTr)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT cnt/RefCnt(6) AND ram/BACTr)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND ram/BACTr AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT cnt/RefCnt(7)));
</td></tr><tr><td> </td></tr><tr><td>
FTCPE_ram/RS_FSM_FFd3: FTCPE port map (ram/RS_FSM_FFd3,ram/RS_FSM_FFd3_T,CLK_FSB,'0','0'); FTCPE_ram/RS_FSM_FFd3: FTCPE port map (ram/RS_FSM_FFd3,ram/RS_FSM_FFd3_T,CLK_FSB,'0','0');
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;ram/RS_FSM_FFd3_T <= ((A_FSB(22) AND NOT A_FSB(21) AND NOT ram/RS_FSM_FFd2 AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;ram/RS_FSM_FFd3_T <= ((A_FSB(22) AND NOT A_FSB(21) AND NOT ram/RS_FSM_FFd2 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(22) AND cs/nOverlay1 AND NOT ram/RS_FSM_FFd2 AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(22) AND cs/nOverlay1 AND NOT ram/RS_FSM_FFd2 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(22) AND NOT cs/nOverlay1 AND NOT ram/RS_FSM_FFd2 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT ram/RS_FSM_FFd3 AND NOT fsb/ASrf) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT ram/RS_FSM_FFd3 AND NOT fsb/ASrf)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(22) AND NOT cs/nOverlay1 AND NOT ram/RS_FSM_FFd2 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT cnt/RefDone AND NOT nAS_FSB AND ram/RS_FSM_FFd2 AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT cnt/RefDone AND NOT nAS_FSB AND ram/RS_FSM_FFd2 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd3 AND cnt/RefCnt(5) AND cnt/RefCnt(6) AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd3 AND cnt/RefCnt(5) AND cnt/RefCnt(6) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; cnt/RefCnt(7)) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; cnt/RefCnt(7))

File diff suppressed because it is too large Load Diff

View File

@ -15,58 +15,58 @@
</tr> </tr>
<tr> <tr>
<td align="center"><a href="javascript:showFBDetail('FB1');" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB1</a></td> <td align="center"><a href="javascript:showFBDetail('FB1');" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB1</a></td>
<td align="center">7 / 18</td> <td align="center">9 / 18</td>
<td align="center">37 / 54</td> <td align="center">36 / 54</td>
<td align="center">81 / 90</td> <td align="center">80 / 90</td>
<td align="center">10 / 11</td> <td align="center">10 / 11</td>
</tr> </tr>
<tr> <tr>
<td align="center"><a href="javascript:showFBDetail('FB2');" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB2</a></td> <td align="center"><a href="javascript:showFBDetail('FB2');" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB2</a></td>
<td align="center">18 / 18</td> <td align="center">18 / 18</td>
<td align="center">25 / 54</td> <td align="center">35 / 54</td>
<td align="center">49 / 90</td> <td align="center">34 / 90</td>
<td align="center">6 / 10</td> <td align="center">6 / 10</td>
</tr> </tr>
<tr> <tr>
<td align="center"><a href="javascript:showFBDetail('FB3');" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB3</a></td> <td align="center"><a href="javascript:showFBDetail('FB3');" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB3</a></td>
<td align="center">14 / 18</td> <td align="center">14 / 18</td>
<td align="center">39 / 54</td> <td align="center">35 / 54</td>
<td align="center">81 / 90</td> <td align="center">77 / 90</td>
<td align="center">6 / 10</td> <td align="center">5 / 10</td>
</tr> </tr>
<tr> <tr>
<td align="center"><a href="javascript:showFBDetail('FB4');" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB4</a></td> <td align="center"><a href="javascript:showFBDetail('FB4');" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB4</a></td>
<td align="center">9 / 18</td> <td align="center">10 / 18</td>
<td align="center">25 / 54</td> <td align="center">36 / 54</td>
<td align="center">81 / 90</td> <td align="center">82 / 90</td>
<td align="center">10 / 10</td> <td align="center">10 / 10</td>
</tr> </tr>
<tr> <tr>
<td align="center"><a href="javascript:showFBDetail('FB5');" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB5</a></td> <td align="center"><a href="javascript:showFBDetail('FB5');" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB5</a></td>
<td align="center">4 / 18</td> <td align="center">17 / 18</td>
<td align="center">7 / 54</td> <td align="center">35 / 54</td>
<td align="center">4 / 90</td> <td align="center">47 / 90</td>
<td align="center">3 / 10</td> <td align="center">4 / 10</td>
</tr> </tr>
<tr> <tr>
<td align="center"><a href="javascript:showFBDetail('FB6');" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB6</a></td> <td align="center"><a href="javascript:showFBDetail('FB6');" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB6</a></td>
<td align="center">18 / 18</td> <td align="center">18 / 18</td>
<td align="center">23 / 54</td> <td align="center">24 / 54</td>
<td align="center">26 / 90</td> <td align="center">37 / 90</td>
<td align="center">10 / 10</td> <td align="center">10 / 10</td>
</tr> </tr>
<tr> <tr>
<td align="center"><a href="javascript:showFBDetail('FB7');" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB7</a></td> <td align="center"><a href="javascript:showFBDetail('FB7');" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB7</a></td>
<td align="center">18 / 18</td> <td align="center">17 / 18</td>
<td align="center">22 / 54</td> <td align="center">35 / 54</td>
<td align="center">34 / 90</td> <td align="center">76 / 90</td>
<td align="center">10 / 10</td> <td align="center">10 / 10</td>
</tr> </tr>
<tr> <tr>
<td align="center"><a href="javascript:showFBDetail('FB8');" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB8</a></td> <td align="center"><a href="javascript:showFBDetail('FB8');" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB8</a></td>
<td align="center">18 / 18</td> <td align="center">7 / 18</td>
<td align="center">36 / 54</td> <td align="center">8 / 54</td>
<td align="center">55 / 90</td> <td align="center">6 / 90</td>
<td align="center">10 / 10</td> <td align="center">10 / 10</td>
</tr> </tr>
</table></span><form><span class="pgRef"><table width="90%" align="center"><tr> </table></span><form><span class="pgRef"><table width="90%" align="center"><tr>

View File

@ -37,23 +37,13 @@
<td align="center" width="10%">(b)</td> <td align="center" width="10%">(b)</td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%">(unused)</td>
<td align="center" width="10%">0</td>
<td align="center" width="30%"> </td>
<td align="center" width="10%">MC2</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">11</td>
<td width="8%" align="center">I/O</td>
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB&lt;11&gt;'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('nDTACK_FSB')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nDTACK_FSB</a></td> <td align="center" width="10%"><a href="Javascript:showEqn('nDTACK_FSB')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nDTACK_FSB</a></td>
<td align="center" width="10%">25</td> <td align="center" width="10%">23</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB1_2_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_1</a> <a href="Javascript:showPT('FB1_2_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_2</a> <a href="Javascript:showPT('FB1_2_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_3</a> <a href="Javascript:showPT('FB1_2_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_4</a> <a href="Javascript:showPT('FB1_2_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_5</a> <a href="Javascript:showPT('FB1_3_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_1</a> <a href="Javascript:showPT('FB1_3_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_2</a> <a href="Javascript:showPT('FB1_3_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_3</a> <a href="Javascript:showPT('FB1_3_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_4</a> <a href="Javascript:showPT('FB1_3_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_5</a> <a href="Javascript:showPT('FB1_4_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">4_1</a> <a href="Javascript:showPT('FB1_4_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">4_2</a> <a href="Javascript:showPT('FB1_4_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">4_3</a> <a href="Javascript:showPT('FB1_4_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">4_4</a> <a href="Javascript:showPT('FB1_4_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">4_5</a> <a href="Javascript:showPT('FB1_5_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">5_1</a> <a href="Javascript:showPT('FB1_5_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">5_2</a> <a href="Javascript:showPT('FB1_5_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">5_3</a> <a href="Javascript:showPT('FB1_5_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">5_4</a> <a href="Javascript:showPT('FB1_5_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">5_5</a> <a href="Javascript:showPT('FB1_6_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">6_1</a> <a href="Javascript:showPT('FB1_6_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">6_2</a> <a href="Javascript:showPT('FB1_6_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">6_3</a> <a href="Javascript:showPT('FB1_6_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">6_4</a> <a href="Javascript:showPT('FB1_6_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">6_5</a> <td align="center" width="30%"> <a href="Javascript:showPT('FB1_18_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_1</a> <a href="Javascript:showPT('FB1_18_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_2</a> <a href="Javascript:showPT('FB1_18_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_3</a> <a href="Javascript:showPT('FB1_18_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_4</a> <a href="Javascript:showPT('FB1_18_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_5</a> <a href="Javascript:showPT('FB1_1_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_1</a> <a href="Javascript:showPT('FB1_1_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_2</a> <a href="Javascript:showPT('FB1_1_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_3</a> <a href="Javascript:showPT('FB1_1_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_4</a> <a href="Javascript:showPT('FB1_1_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_5</a> <a href="Javascript:showPT('FB1_2_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_1</a> <a href="Javascript:showPT('FB1_2_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_2</a> <a href="Javascript:showPT('FB1_2_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_3</a> <a href="Javascript:showPT('FB1_2_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_4</a> <a href="Javascript:showPT('FB1_2_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_5</a> <a href="Javascript:showPT('FB1_3_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_1</a> <a href="Javascript:showPT('FB1_3_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_2</a> <a href="Javascript:showPT('FB1_3_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_3</a> <a href="Javascript:showPT('FB1_3_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_4</a> <a href="Javascript:showPT('FB1_3_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_5</a> <a href="Javascript:showPT('FB1_4_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">4_3</a> <a href="Javascript:showPT('FB1_4_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">4_4</a> <a href="Javascript:showPT('FB1_4_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">4_5</a>
</td> </td>
<td align="center" width="10%">MC3</td> <td align="center" width="10%">MC2</td>
<td align="center" width="10%">STD</td> <td align="center" width="10%">STD</td>
<td align="center" width="10%">12</td> <td align="center" width="10%">11</td>
<td width="8%" align="center">I/O</td> <td width="8%" align="center">I/O</td>
<td align="center" width="10%">O</td> <td align="center" width="10%">O</td>
</tr> </tr>
@ -61,8 +51,19 @@
<td align="center" width="10%">(unused)</td> <td align="center" width="10%">(unused)</td>
<td align="center" width="10%">0</td> <td align="center" width="10%">0</td>
<td align="center" width="30%"> </td> <td align="center" width="30%"> </td>
<td align="center" width="10%">MC4</td> <td align="center" width="10%">MC3</td>
<td align="center" width="10%"> </td> <td align="center" width="10%"> </td>
<td align="center" width="10%">12</td>
<td width="8%" align="center">I/O</td>
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB&lt;9&gt;'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('OpTxFX_DC606_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">$OpTx$FX_DC$606</a></td>
<td align="center" width="10%">5</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB1_4_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">4_1</a> <a href="Javascript:showPT('FB1_4_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">4_2</a> <a href="Javascript:showPT('FB1_5_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">5_1</a> <a href="Javascript:showPT('FB1_5_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">5_2</a> <a href="Javascript:showPT('FB1_5_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">5_3</a>
</td>
<td align="center" width="10%">MC4</td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%"> </td> <td align="center" width="10%"> </td>
<td align="center" width="10%">(b)</td> <td align="center" width="10%">(b)</td>
<td align="center" width="10%">(b)</td> <td align="center" width="10%">(b)</td>
@ -75,27 +76,29 @@
<td align="center" width="10%"> </td> <td align="center" width="10%"> </td>
<td align="center" width="10%">13</td> <td align="center" width="10%">13</td>
<td width="8%" align="center">I/O</td> <td width="8%" align="center">I/O</td>
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB&lt;13&gt;'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td> <td align="center" width="10%"><a href="#" onmouseover="this._tip = 'nAS_FSB'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%">(unused)</td> <td align="center" width="10%"><a href="Javascript:showEqn('nBERR_FSB')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nBERR_FSB</a></td>
<td align="center" width="10%">0</td> <td align="center" width="10%">3</td>
<td align="center" width="30%"> </td> <td align="center" width="30%"> <a href="Javascript:showPT('FB1_6_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">6_1</a> <a href="Javascript:showPT('FB1_6_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">6_2</a> <a href="Javascript:showPT('FB1_6_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">6_3</a>
</td>
<td align="center" width="10%">MC6</td> <td align="center" width="10%">MC6</td>
<td align="center" width="10%"> </td> <td align="center" width="10%">STD</td>
<td align="center" width="10%">14</td> <td align="center" width="10%">14</td>
<td width="8%" align="center">I/O</td> <td width="8%" align="center">I/O</td>
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB&lt;14&gt;'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td> <td align="center" width="10%">O</td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%">(unused)</td> <td align="center" width="10%"><a href="Javascript:showEqn('fsbReady1r_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">fsb/Ready1r</a></td>
<td align="center" width="10%">0</td> <td align="center" width="10%">7</td>
<td align="center" width="30%"> </td> <td align="center" width="30%"> <a href="Javascript:showPT('FB1_6_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">6_4</a> <a href="Javascript:showPT('FB1_6_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">6_5</a> <a href="Javascript:showPT('FB1_7_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_1</a> <a href="Javascript:showPT('FB1_7_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_2</a> <a href="Javascript:showPT('FB1_7_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_3</a> <a href="Javascript:showPT('FB1_7_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_4</a> <a href="Javascript:showPT('FB1_7_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_5</a>
</td>
<td align="center" width="10%">MC7</td> <td align="center" width="10%">MC7</td>
<td align="center" width="10%"> </td> <td align="center" width="10%">STD</td>
<td align="center" width="10%"> </td> <td align="center" width="10%"> </td>
<td align="center" width="10%">(b)</td> <td align="center" width="10%">(b)</td>
<td align="center" width="10%"> </td> <td align="center" width="10%">(b)</td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%">(unused)</td> <td align="center" width="10%">(unused)</td>
@ -105,23 +108,22 @@
<td align="center" width="10%"> </td> <td align="center" width="10%"> </td>
<td align="center" width="10%">15</td> <td align="center" width="10%">15</td>
<td width="8%" align="center">I/O</td> <td width="8%" align="center">I/O</td>
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB&lt;15&gt;'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td> <td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB&lt;5&gt;'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('nADoutLE1')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nADoutLE1</a></td> <td align="center" width="10%">(unused)</td>
<td align="center" width="10%">13</td> <td align="center" width="10%">0</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB1_10_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_3</a> <a href="Javascript:showPT('FB1_10_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_4</a> <a href="Javascript:showPT('FB1_10_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_5</a> <a href="Javascript:showPT('FB1_8_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_1</a> <a href="Javascript:showPT('FB1_8_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_2</a> <a href="Javascript:showPT('FB1_8_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_3</a> <a href="Javascript:showPT('FB1_8_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_4</a> <a href="Javascript:showPT('FB1_8_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_5</a> <a href="Javascript:showPT('FB1_9_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_1</a> <a href="Javascript:showPT('FB1_9_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_2</a> <a href="Javascript:showPT('FB1_9_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_3</a> <a href="Javascript:showPT('FB1_9_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_4</a> <a href="Javascript:showPT('FB1_9_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_5</a> <td align="center" width="30%"> </td>
</td>
<td align="center" width="10%">MC9</td> <td align="center" width="10%">MC9</td>
<td align="center" width="10%">STD</td> <td align="center" width="10%"> </td>
<td align="center" width="10%">16</td> <td align="center" width="10%">16</td>
<td width="8%" align="center">I/O</td> <td width="8%" align="center">I/O</td>
<td align="center" width="10%">O</td> <td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB&lt;2&gt;'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('fsbBERR0r_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">fsb/BERR0r</a></td> <td align="center" width="10%"><a href="Javascript:showEqn('fsbVPA__or00001fsbVPA__or00001_D2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">fsb/VPA__or00001/fsb/VPA__or00001_D2</a></td>
<td align="center" width="10%">3</td> <td align="center" width="10%">8</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB1_10_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_1</a> <a href="Javascript:showPT('FB1_10_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_2</a> <a href="Javascript:showPT('FB1_11_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">11_1</a> <td align="center" width="30%"> <a href="Javascript:showPT('FB1_10_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_1</a> <a href="Javascript:showPT('FB1_10_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_2</a> <a href="Javascript:showPT('FB1_10_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_3</a> <a href="Javascript:showPT('FB1_10_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_4</a> <a href="Javascript:showPT('FB1_10_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_5</a> <a href="Javascript:showPT('FB1_9_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_1</a> <a href="Javascript:showPT('FB1_9_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_2</a> <a href="Javascript:showPT('FB1_9_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_3</a>
</td> </td>
<td align="center" width="10%">MC10</td> <td align="center" width="10%">MC10</td>
<td align="center" width="10%">STD</td> <td align="center" width="10%">STD</td>
@ -130,25 +132,26 @@
<td align="center" width="10%">(b)</td> <td align="center" width="10%">(b)</td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%">(unused)</td> <td align="center" width="10%"><a href="Javascript:showEqn('nOE')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nOE</a></td>
<td align="center" width="10%">0</td> <td align="center" width="10%">1</td>
<td align="center" width="30%"> </td> <td align="center" width="30%"> <a href="Javascript:showPT('FB1_11_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">11_1</a>
</td>
<td align="center" width="10%">MC11</td> <td align="center" width="10%">MC11</td>
<td align="center" width="10%"> </td> <td align="center" width="10%">STD</td>
<td align="center" width="10%">17</td> <td align="center" width="10%">17</td>
<td width="8%" align="center">I/O</td> <td width="8%" align="center">I/O</td>
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB&lt;1&gt;'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td> <td align="center" width="10%">O</td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('fsbReady2r_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">fsb/Ready2r</a></td> <td align="center" width="10%"><a href="Javascript:showEqn('fsbReady2r_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">fsb/Ready2r</a></td>
<td align="center" width="10%">5</td> <td align="center" width="10%">9</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB1_12_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_1</a> <a href="Javascript:showPT('FB1_12_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_2</a> <a href="Javascript:showPT('FB1_12_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_3</a> <a href="Javascript:showPT('FB1_12_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_4</a> <a href="Javascript:showPT('FB1_12_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_5</a> <td align="center" width="30%"> <a href="Javascript:showPT('FB1_11_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">11_2</a> <a href="Javascript:showPT('FB1_11_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">11_3</a> <a href="Javascript:showPT('FB1_11_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">11_4</a> <a href="Javascript:showPT('FB1_11_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">11_5</a> <a href="Javascript:showPT('FB1_12_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_1</a> <a href="Javascript:showPT('FB1_12_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_2</a> <a href="Javascript:showPT('FB1_12_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_3</a> <a href="Javascript:showPT('FB1_12_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_4</a> <a href="Javascript:showPT('FB1_12_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_5</a>
</td> </td>
<td align="center" width="10%">MC12</td> <td align="center" width="10%">MC12</td>
<td align="center" width="10%">STD</td> <td align="center" width="10%">STD</td>
<td align="center" width="10%">18</td> <td align="center" width="10%">18</td>
<td width="8%" align="center">I/O</td> <td width="8%" align="center">I/O</td>
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB&lt;2&gt;'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td> <td align="center" width="10%"><a href="#" onmouseover="this._tip = 'nBERR_IOB'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%">(unused)</td> <td align="center" width="10%">(unused)</td>
@ -161,41 +164,40 @@
<td align="center" width="10%">(b)</td> <td align="center" width="10%">(b)</td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('OpTxINV223_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">$OpTx$INV$223</a></td> <td align="center" width="10%">(unused)</td>
<td align="center" width="10%">10</td> <td align="center" width="10%">0</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB1_13_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_1</a> <a href="Javascript:showPT('FB1_13_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_2</a> <a href="Javascript:showPT('FB1_13_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_3</a> <a href="Javascript:showPT('FB1_13_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_4</a> <a href="Javascript:showPT('FB1_13_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_5</a> <a href="Javascript:showPT('FB1_14_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_1</a> <a href="Javascript:showPT('FB1_14_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_2</a> <a href="Javascript:showPT('FB1_14_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_3</a> <a href="Javascript:showPT('FB1_14_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_4</a> <a href="Javascript:showPT('FB1_14_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_5</a> <td align="center" width="30%"> </td>
</td>
<td align="center" width="10%">MC14</td> <td align="center" width="10%">MC14</td>
<td align="center" width="10%">STD</td> <td align="center" width="10%"> </td>
<td align="center" width="10%">19</td> <td align="center" width="10%">19</td>
<td width="8%" align="center">I/O</td> <td width="8%" align="center">I/O</td>
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB&lt;6&gt;'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td> <td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB&lt;6&gt;'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('fsbVPA_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">fsb/VPA</a></td>
<td align="center" width="10%">22</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB1_13_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_1</a> <a href="Javascript:showPT('FB1_13_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_2</a> <a href="Javascript:showPT('FB1_13_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_3</a> <a href="Javascript:showPT('FB1_13_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_4</a> <a href="Javascript:showPT('FB1_13_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_5</a> <a href="Javascript:showPT('FB1_14_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_1</a> <a href="Javascript:showPT('FB1_14_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_2</a> <a href="Javascript:showPT('FB1_14_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_3</a> <a href="Javascript:showPT('FB1_14_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_4</a> <a href="Javascript:showPT('FB1_14_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_5</a> <a href="Javascript:showPT('FB1_15_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_1</a> <a href="Javascript:showPT('FB1_15_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_2</a> <a href="Javascript:showPT('FB1_15_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_3</a> <a href="Javascript:showPT('FB1_15_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_4</a> <a href="Javascript:showPT('FB1_15_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_5</a> <a href="Javascript:showPT('FB1_16_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_1</a> <a href="Javascript:showPT('FB1_16_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_2</a> <a href="Javascript:showPT('FB1_16_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_3</a> <a href="Javascript:showPT('FB1_16_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_4</a> <a href="Javascript:showPT('FB1_16_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_5</a> <a href="Javascript:showPT('FB1_17_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_3</a> <a href="Javascript:showPT('FB1_17_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_4</a>
</td>
<td align="center" width="10%">MC15</td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%">20</td>
<td width="8%" align="center">I/O</td>
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB&lt;7&gt;'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
</tr>
<tr>
<td align="center" width="10%">(unused)</td> <td align="center" width="10%">(unused)</td>
<td align="center" width="10%">0</td> <td align="center" width="10%">0</td>
<td align="center" width="30%"> </td> <td align="center" width="30%"> </td>
<td align="center" width="10%">MC15</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">20</td>
<td width="8%" align="center">I/O</td>
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'nUDS_FSB'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('IORW0')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">IORW0</a></td>
<td align="center" width="10%">14</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB1_15_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_1</a> <a href="Javascript:showPT('FB1_15_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_2</a> <a href="Javascript:showPT('FB1_15_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_3</a> <a href="Javascript:showPT('FB1_15_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_4</a> <a href="Javascript:showPT('FB1_15_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_5</a> <a href="Javascript:showPT('FB1_16_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_1</a> <a href="Javascript:showPT('FB1_16_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_2</a> <a href="Javascript:showPT('FB1_16_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_3</a> <a href="Javascript:showPT('FB1_16_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_4</a> <a href="Javascript:showPT('FB1_16_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_5</a> <a href="Javascript:showPT('FB1_17_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_2</a> <a href="Javascript:showPT('FB1_17_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_3</a> <a href="Javascript:showPT('FB1_17_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_4</a> <a href="Javascript:showPT('FB1_17_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_5</a>
</td>
<td align="center" width="10%">MC16</td> <td align="center" width="10%">MC16</td>
<td align="center" width="10%">STD</td> <td align="center" width="10%"> </td>
<td align="center" width="10%"> </td> <td align="center" width="10%"> </td>
<td align="center" width="10%">(b)</td> <td align="center" width="10%">(b)</td>
<td align="center" width="10%">(b)</td> <td align="center" width="10%">(b)</td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('IOREQ')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">IOREQ</a></td> <td align="center" width="10%"><a href="Javascript:showEqn('OpTxFX_DC602_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">$OpTx$FX_DC$602</a></td>
<td align="center" width="10%">11</td> <td align="center" width="10%">2</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB1_17_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_1</a> <a href="Javascript:showPT('FB1_18_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_1</a> <a href="Javascript:showPT('FB1_18_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_2</a> <a href="Javascript:showPT('FB1_18_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_3</a> <a href="Javascript:showPT('FB1_18_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_4</a> <a href="Javascript:showPT('FB1_18_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_5</a> <a href="Javascript:showPT('FB1_1_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_1</a> <a href="Javascript:showPT('FB1_1_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_2</a> <a href="Javascript:showPT('FB1_1_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_3</a> <a href="Javascript:showPT('FB1_1_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_4</a> <a href="Javascript:showPT('FB1_1_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_5</a> <td align="center" width="30%"> <a href="Javascript:showPT('FB1_17_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_1</a> <a href="Javascript:showPT('FB1_17_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_2</a>
</td> </td>
<td align="center" width="10%">MC17</td> <td align="center" width="10%">MC17</td>
<td align="center" width="10%">STD</td> <td align="center" width="10%">STD</td>
@ -216,6 +218,8 @@
</table></span></div> </table></span></div>
<div id="tipBox"></div> <div id="tipBox"></div>
<br><span id="fbsiguse" class="pgRef"><b>Signals Used By Logic in Function Block</b><br><ol> <br><span id="fbsiguse" class="pgRef"><b>Signals Used By Logic in Function Block</b><br><ol>
<li><a href="Javascript:showEqn('OpTxFX_DC602_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">$OpTx$FX_DC$602</a></li>
<li><a href="Javascript:showEqn('OpTxFX_DC606_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">$OpTx$FX_DC$606</a></li>
<li>A_FSB&lt;10&gt;</li> <li>A_FSB&lt;10&gt;</li>
<li>A_FSB&lt;11&gt;</li> <li>A_FSB&lt;11&gt;</li>
<li>A_FSB&lt;12&gt;</li> <li>A_FSB&lt;12&gt;</li>
@ -230,9 +234,9 @@
<li>A_FSB&lt;21&gt;</li> <li>A_FSB&lt;21&gt;</li>
<li>A_FSB&lt;22&gt;</li> <li>A_FSB&lt;22&gt;</li>
<li>A_FSB&lt;23&gt;</li> <li>A_FSB&lt;23&gt;</li>
<li>A_FSB&lt;8&gt;</li>
<li>A_FSB&lt;9&gt;</li> <li>A_FSB&lt;9&gt;</li>
<li><a href="Javascript:showEqn('BERR_IOBS')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">BERR_IOBS</a></li> <li><a href="Javascript:showEqn('BERR_IOBS')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">BERR_IOBS</a></li>
<li><a href="Javascript:showEqn('IORW0')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">IORW0</a></li>
<li><a href="Javascript:showEqn('TimeoutA')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">TimeoutA</a></li> <li><a href="Javascript:showEqn('TimeoutA')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">TimeoutA</a></li>
<li><a href="Javascript:showEqn('TimeoutB')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">TimeoutB</a></li> <li><a href="Javascript:showEqn('TimeoutB')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">TimeoutB</a></li>
<li><a href="Javascript:showEqn('csnOverlay1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cs/nOverlay1</a></li> <li><a href="Javascript:showEqn('csnOverlay1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cs/nOverlay1</a></li>
@ -242,12 +246,9 @@
<li><a href="Javascript:showEqn('fsbReady0r_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">fsb/Ready0r</a></li> <li><a href="Javascript:showEqn('fsbReady0r_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">fsb/Ready0r</a></li>
<li><a href="Javascript:showEqn('fsbReady1r_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">fsb/Ready1r</a></li> <li><a href="Javascript:showEqn('fsbReady1r_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">fsb/Ready1r</a></li>
<li><a href="Javascript:showEqn('fsbReady2r_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">fsb/Ready2r</a></li> <li><a href="Javascript:showEqn('fsbReady2r_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">fsb/Ready2r</a></li>
<li><a href="Javascript:showEqn('iobsIOACTr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/IOACTr</a></li> <li><a href="Javascript:showEqn('fsbVPA_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">fsb/VPA</a></li>
<li><a href="Javascript:showEqn('iobsIORW1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/IORW1</a></li> <li><a href="Javascript:showEqn('fsbVPA__or00001fsbVPA__or00001_D2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">fsb/VPA__or00001/fsb/VPA__or00001_D2</a></li>
<li><a href="Javascript:showEqn('iobsIOReady_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/IOReady</a></li> <li><a href="Javascript:showEqn('iobsIOReady_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/IOReady</a></li>
<li><a href="Javascript:showEqn('iobsOnce_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/Once</a></li>
<li><a href="Javascript:showEqn('iobsPS_FSM_FFd1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/PS_FSM_FFd1</a></li>
<li><a href="Javascript:showEqn('iobsPS_FSM_FFd2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/PS_FSM_FFd2</a></li>
<li><a href="Javascript:showEqn('nADoutLE1')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nADoutLE1</a></li> <li><a href="Javascript:showEqn('nADoutLE1')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nADoutLE1</a></li>
<li>nAS_FSB</li> <li>nAS_FSB</li>
<li><a href="Javascript:showEqn('nDTACK_FSB')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nDTACK_FSB</a></li> <li><a href="Javascript:showEqn('nDTACK_FSB')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nDTACK_FSB</a></li>

View File

@ -27,9 +27,9 @@
<th width="10%">Pin Use</th> <th width="10%">Pin Use</th>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('iobmIOS_FSM_FFd7_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOS_FSM_FFd7</a></td> <td align="center" width="10%"><a href="Javascript:showEqn('iobmVPArr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/VPArr</a></td>
<td align="center" width="10%">1</td> <td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB2_2_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_2</a> <td align="center" width="30%"> <a href="Javascript:showPT('FB2_1_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_1</a>
</td> </td>
<td align="center" width="10%">MC1</td> <td align="center" width="10%">MC1</td>
<td align="center" width="10%">STD</td> <td align="center" width="10%">STD</td>
@ -38,7 +38,7 @@
<td align="center" width="10%">(b)</td> <td align="center" width="10%">(b)</td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('iobmIOS_FSM_FFd6_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOS_FSM_FFd6</a></td> <td align="center" width="10%"><a href="Javascript:showEqn('iobmVPArf_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/VPArf</a></td>
<td align="center" width="10%">1</td> <td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB2_2_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_1</a> <td align="center" width="30%"> <a href="Javascript:showPT('FB2_2_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_1</a>
</td> </td>
@ -49,7 +49,7 @@
<td align="center" width="10%">GSR/I</td> <td align="center" width="10%">GSR/I</td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('iobmIOS_FSM_FFd5_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOS_FSM_FFd5</a></td> <td align="center" width="10%"><a href="Javascript:showEqn('iobmRESrr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/RESrr</a></td>
<td align="center" width="10%">1</td> <td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB2_3_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_1</a> <td align="center" width="30%"> <a href="Javascript:showPT('FB2_3_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_1</a>
</td> </td>
@ -60,7 +60,7 @@
<td align="center" width="10%">(b)</td> <td align="center" width="10%">(b)</td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('iobmIOS_FSM_FFd4_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOS_FSM_FFd4</a></td> <td align="center" width="10%"><a href="Javascript:showEqn('iobmRESrf_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/RESrf</a></td>
<td align="center" width="10%">1</td> <td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB2_4_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">4_1</a> <td align="center" width="30%"> <a href="Javascript:showPT('FB2_4_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">4_1</a>
</td> </td>
@ -71,7 +71,7 @@
<td align="center" width="10%">(b)</td> <td align="center" width="10%">(b)</td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('iobmIOS_FSM_FFd1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOS_FSM_FFd1</a></td> <td align="center" width="10%"><a href="Javascript:showEqn('iobmIOREQr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOREQr</a></td>
<td align="center" width="10%">1</td> <td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB2_5_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">5_1</a> <td align="center" width="30%"> <a href="Javascript:showPT('FB2_5_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">5_1</a>
</td> </td>
@ -82,7 +82,7 @@
<td align="center" width="10%">(b)</td> <td align="center" width="10%">(b)</td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('iobmBERRrr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/BERRrr</a></td> <td align="center" width="10%"><a href="Javascript:showEqn('cntRefCnt3_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/RefCnt&lt;3&gt;</a></td>
<td align="center" width="10%">1</td> <td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB2_6_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">6_1</a> <td align="center" width="30%"> <a href="Javascript:showPT('FB2_6_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">6_1</a>
</td> </td>
@ -93,7 +93,7 @@
<td align="center" width="10%">(b)</td> <td align="center" width="10%">(b)</td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('iobmBERRrf_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/BERRrf</a></td> <td align="center" width="10%"><a href="Javascript:showEqn('cntRefCnt2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/RefCnt&lt;2&gt;</a></td>
<td align="center" width="10%">1</td> <td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB2_7_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_1</a> <td align="center" width="30%"> <a href="Javascript:showPT('FB2_7_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_1</a>
</td> </td>
@ -104,9 +104,9 @@
<td align="center" width="10%">(b)</td> <td align="center" width="10%">(b)</td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('iobmIOS_FSM_FFd8_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOS_FSM_FFd8</a></td> <td align="center" width="10%"><a href="Javascript:showEqn('cntRefCnt1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/RefCnt&lt;1&gt;</a></td>
<td align="center" width="10%">2</td> <td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB2_8_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_1</a> <a href="Javascript:showPT('FB2_8_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_2</a> <td align="center" width="30%"> <a href="Javascript:showPT('FB2_8_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_1</a>
</td> </td>
<td align="center" width="10%">MC8</td> <td align="center" width="10%">MC8</td>
<td align="center" width="10%">STD</td> <td align="center" width="10%">STD</td>
@ -115,7 +115,7 @@
<td align="center" width="10%">(b)</td> <td align="center" width="10%">(b)</td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('ALE0M')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ALE0M</a></td> <td align="center" width="10%"><a href="Javascript:showEqn('fsbBERR1r_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">fsb/BERR1r</a></td>
<td align="center" width="10%">2</td> <td align="center" width="10%">2</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB2_9_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_1</a> <a href="Javascript:showPT('FB2_9_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_2</a> <td align="center" width="30%"> <a href="Javascript:showPT('FB2_9_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_1</a> <a href="Javascript:showPT('FB2_9_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_2</a>
</td> </td>
@ -126,9 +126,9 @@
<td align="center" width="10%">(b)</td> <td align="center" width="10%">(b)</td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('iobmIOS_FSM_FFd2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOS_FSM_FFd2</a></td> <td align="center" width="10%"><a href="Javascript:showEqn('cntRefDone_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/RefDone</a></td>
<td align="center" width="10%">4</td> <td align="center" width="10%">2</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB2_10_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_1</a> <a href="Javascript:showPT('FB2_10_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_2</a> <a href="Javascript:showPT('FB2_10_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_3</a> <a href="Javascript:showPT('FB2_10_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_4</a> <td align="center" width="30%"> <a href="Javascript:showPT('FB2_10_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_1</a> <a href="Javascript:showPT('FB2_10_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_2</a>
</td> </td>
<td align="center" width="10%">MC10</td> <td align="center" width="10%">MC10</td>
<td align="center" width="10%">STD</td> <td align="center" width="10%">STD</td>
@ -159,9 +159,9 @@
<td align="center" width="10%">O</td> <td align="center" width="10%">O</td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('iobmIOS_FSM_FFd3_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOS_FSM_FFd3</a></td> <td align="center" width="10%"><a href="Javascript:showEqn('cntTimeoutBPre_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/TimeoutBPre</a></td>
<td align="center" width="10%">5</td> <td align="center" width="10%">3</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB2_13_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_1</a> <a href="Javascript:showPT('FB2_13_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_2</a> <a href="Javascript:showPT('FB2_13_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_3</a> <a href="Javascript:showPT('FB2_13_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_4</a> <a href="Javascript:showPT('FB2_13_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_5</a> <td align="center" width="30%"> <a href="Javascript:showPT('FB2_13_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_1</a> <a href="Javascript:showPT('FB2_13_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_2</a> <a href="Javascript:showPT('FB2_13_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_3</a>
</td> </td>
<td align="center" width="10%">MC13</td> <td align="center" width="10%">MC13</td>
<td align="center" width="10%">STD</td> <td align="center" width="10%">STD</td>
@ -170,7 +170,7 @@
<td align="center" width="10%">(b)</td> <td align="center" width="10%">(b)</td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('RA0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">RA&lt;0&gt;</a></td> <td align="center" width="10%"><a href="Javascript:showEqn('nDoutOE')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nDoutOE</a></td>
<td align="center" width="10%">2</td> <td align="center" width="10%">2</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB2_14_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_1</a> <a href="Javascript:showPT('FB2_14_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_2</a> <td align="center" width="30%"> <a href="Javascript:showPT('FB2_14_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_1</a> <a href="Javascript:showPT('FB2_14_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_2</a>
</td> </td>
@ -181,20 +181,20 @@
<td align="center" width="10%">O</td> <td align="center" width="10%">O</td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('nDoutOE')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nDoutOE</a></td> <td align="center" width="10%"><a href="Javascript:showEqn('TimeoutB')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">TimeoutB</a></td>
<td align="center" width="10%">2</td> <td align="center" width="10%">3</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB2_15_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_1</a> <a href="Javascript:showPT('FB2_15_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_2</a> <td align="center" width="30%"> <a href="Javascript:showPT('FB2_15_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_1</a> <a href="Javascript:showPT('FB2_15_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_2</a> <a href="Javascript:showPT('FB2_15_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_3</a>
</td> </td>
<td align="center" width="10%">MC15</td> <td align="center" width="10%">MC15</td>
<td align="center" width="10%">STD</td> <td align="center" width="10%">STD</td>
<td align="center" width="10%">9</td> <td align="center" width="10%">9</td>
<td width="8%" align="center">I/O</td> <td width="8%" align="center">I/O</td>
<td align="center" width="10%">O</td> <td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB&lt;22&gt;'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('IOBERR')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">IOBERR</a></td> <td align="center" width="10%"><a href="Javascript:showEqn('TimeoutA')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">TimeoutA</a></td>
<td align="center" width="10%">8</td> <td align="center" width="10%">3</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB2_15_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_3</a> <a href="Javascript:showPT('FB2_15_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_4</a> <a href="Javascript:showPT('FB2_16_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_1</a> <a href="Javascript:showPT('FB2_16_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_2</a> <a href="Javascript:showPT('FB2_16_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_3</a> <a href="Javascript:showPT('FB2_16_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_4</a> <a href="Javascript:showPT('FB2_16_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_5</a> <a href="Javascript:showPT('FB2_17_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_2</a> <td align="center" width="30%"> <a href="Javascript:showPT('FB2_16_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_1</a> <a href="Javascript:showPT('FB2_16_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_2</a> <a href="Javascript:showPT('FB2_16_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_3</a>
</td> </td>
<td align="center" width="10%">MC16</td> <td align="center" width="10%">MC16</td>
<td align="center" width="10%">STD</td> <td align="center" width="10%">STD</td>
@ -214,9 +214,9 @@
<td align="center" width="10%">O</td> <td align="center" width="10%">O</td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('IOACT')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">IOACT</a></td> <td align="center" width="10%"><a href="Javascript:showEqn('iobsIOReady_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/IOReady</a></td>
<td align="center" width="10%">10</td> <td align="center" width="10%">4</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB2_18_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_1</a> <a href="Javascript:showPT('FB2_18_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_2</a> <a href="Javascript:showPT('FB2_18_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_3</a> <a href="Javascript:showPT('FB2_18_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_4</a> <a href="Javascript:showPT('FB2_18_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_5</a> <a href="Javascript:showPT('FB2_1_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_1</a> <a href="Javascript:showPT('FB2_1_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_2</a> <a href="Javascript:showPT('FB2_1_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_3</a> <a href="Javascript:showPT('FB2_1_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_4</a> <a href="Javascript:showPT('FB2_1_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_5</a> <td align="center" width="30%"> <a href="Javascript:showPT('FB2_18_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_1</a> <a href="Javascript:showPT('FB2_18_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_2</a> <a href="Javascript:showPT('FB2_18_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_3</a> <a href="Javascript:showPT('FB2_18_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_4</a>
</td> </td>
<td align="center" width="10%">MC18</td> <td align="center" width="10%">MC18</td>
<td align="center" width="10%">STD</td> <td align="center" width="10%">STD</td>
@ -227,31 +227,41 @@
</table></span></div> </table></span></div>
<div id="tipBox"></div> <div id="tipBox"></div>
<br><span id="fbsiguse" class="pgRef"><b>Signals Used By Logic in Function Block</b><br><ol> <br><span id="fbsiguse" class="pgRef"><b>Signals Used By Logic in Function Block</b><br><ol>
<li>A_FSB&lt;10&gt;</li> <li><a href="Javascript:showEqn('BERR_IOBS')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">BERR_IOBS</a></li>
<li>A_FSB&lt;1&gt;</li>
<li>CLK_IOB</li>
<li><a href="Javascript:showEqn('IOBERR')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">IOBERR</a></li> <li><a href="Javascript:showEqn('IOBERR')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">IOBERR</a></li>
<li><a href="Javascript:showEqn('IOL0')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">IOL0</a></li> <li><a href="Javascript:showEqn('IOL0')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">IOL0</a></li>
<li><a href="Javascript:showEqn('IOREQ')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">IOREQ</a></li>
<li><a href="Javascript:showEqn('IORW0')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">IORW0</a></li> <li><a href="Javascript:showEqn('IORW0')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">IORW0</a></li>
<li><a href="Javascript:showEqn('IOU0')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">IOU0</a></li> <li><a href="Javascript:showEqn('IOU0')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">IOU0</a></li>
<li><a href="Javascript:showEqn('iobmBERRrf_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/BERRrf</a></li> <li><a href="Javascript:showEqn('RefAck')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">RefAck</a></li>
<li><a href="Javascript:showEqn('iobmBERRrr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/BERRrr</a></li> <li><a href="Javascript:showEqn('TimeoutA')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">TimeoutA</a></li>
<li><a href="Javascript:showEqn('iobmDTACKrf_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/DTACKrf</a></li> <li><a href="Javascript:showEqn('TimeoutB')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">TimeoutB</a></li>
<li><a href="Javascript:showEqn('iobmDTACKrr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/DTACKrr</a></li> <li><a href="Javascript:showEqn('cntRefCnt0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/RefCnt&lt;0&gt;</a></li>
<li><a href="Javascript:showEqn('iobmETACK_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/ETACK</a></li> <li><a href="Javascript:showEqn('cntRefCnt1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/RefCnt&lt;1&gt;</a></li>
<li><a href="Javascript:showEqn('iobmIOREQr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOREQr</a></li> <li><a href="Javascript:showEqn('cntRefCnt2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/RefCnt&lt;2&gt;</a></li>
<li><a href="Javascript:showEqn('iobmIOS_FSM_FFd1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOS_FSM_FFd1</a></li> <li><a href="Javascript:showEqn('cntRefCnt3_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/RefCnt&lt;3&gt;</a></li>
<li><a href="Javascript:showEqn('cntRefCnt4_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/RefCnt&lt;4&gt;</a></li>
<li><a href="Javascript:showEqn('cntRefCnt5_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/RefCnt&lt;5&gt;</a></li>
<li><a href="Javascript:showEqn('cntRefCnt6_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/RefCnt&lt;6&gt;</a></li>
<li><a href="Javascript:showEqn('cntRefCnt7_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/RefCnt&lt;7&gt;</a></li>
<li><a href="Javascript:showEqn('cntRefDone_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/RefDone</a></li>
<li><a href="Javascript:showEqn('cntTimeoutBPre_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/TimeoutBPre</a></li>
<li><a href="Javascript:showEqn('fsbASrf_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">fsb/ASrf</a></li>
<li><a href="Javascript:showEqn('fsbBERR1r_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">fsb/BERR1r</a></li>
<li><a href="Javascript:showEqn('iobmIOS_FSM_FFd2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOS_FSM_FFd2</a></li> <li><a href="Javascript:showEqn('iobmIOS_FSM_FFd2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOS_FSM_FFd2</a></li>
<li><a href="Javascript:showEqn('iobmIOS_FSM_FFd3_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOS_FSM_FFd3</a></li> <li><a href="Javascript:showEqn('iobmIOS_FSM_FFd3_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOS_FSM_FFd3</a></li>
<li><a href="Javascript:showEqn('iobmIOS_FSM_FFd4_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOS_FSM_FFd4</a></li> <li><a href="Javascript:showEqn('iobmIOS_FSM_FFd4_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOS_FSM_FFd4</a></li>
<li><a href="Javascript:showEqn('iobmIOS_FSM_FFd5_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOS_FSM_FFd5</a></li> <li><a href="Javascript:showEqn('iobmIOS_FSM_FFd5_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOS_FSM_FFd5</a></li>
<li><a href="Javascript:showEqn('iobmIOS_FSM_FFd6_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOS_FSM_FFd6</a></li> <li><a href="Javascript:showEqn('iobmIOS_FSM_FFd6_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOS_FSM_FFd6</a></li>
<li><a href="Javascript:showEqn('iobmIOS_FSM_FFd7_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOS_FSM_FFd7</a></li> <li><a href="Javascript:showEqn('iobmIOS_FSM_FFd7_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOS_FSM_FFd7</a></li>
<li><a href="Javascript:showEqn('iobmIOS_FSM_FFd8_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOS_FSM_FFd8</a></li> <li><a href="Javascript:showEqn('iobsIOACTr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/IOACTr</a></li>
<li><a href="Javascript:showEqn('iobmRESrf_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/RESrf</a></li> <li><a href="Javascript:showEqn('iobsIOReady_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/IOReady</a></li>
<li><a href="Javascript:showEqn('iobmRESrr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/RESrr</a></li> <li><a href="Javascript:showEqn('iobsOnce_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/Once</a></li>
<li>nBERR_IOB</li> <li><a href="Javascript:showEqn('iobsPS_FSM_FFd2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/PS_FSM_FFd2</a></li>
<li><a href="Javascript:showEqn('ramRASEL_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RASEL</a></li> <li><a href="Javascript:showEqn('nADoutLE1')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nADoutLE1</a></li>
<li>nAS_FSB</li>
<li>nRES</li>
<li>nVPA_IOB</li>
</ol></span><form><span class="pgRef"><table width="90%" align="center"><tr> </ol></span><form><span class="pgRef"><table width="90%" align="center"><tr>
<td align="left"><input type="button" onclick="javascript:parent.leftnav.showTop()" onmouseover="window.status='goto top of page'; return true;" onmouseout="window.status=''" value="back to top"></td> <td align="left"><input type="button" onclick="javascript:parent.leftnav.showTop()" onmouseover="window.status='goto top of page'; return true;" onmouseout="window.status=''" value="back to top"></td>
<td align="center"><table align="center" width="90%" border="0" cellpadding="0" cellspacing="0"><tr><td width="100%" align="center"> <td align="center"><table align="center" width="90%" border="0" cellpadding="0" cellspacing="0"><tr><td width="100%" align="center">

View File

@ -47,9 +47,9 @@
<td align="center" width="10%">GCK</td> <td align="center" width="10%">GCK</td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('iobsIORW1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/IORW1</a></td> <td align="center" width="10%"><a href="Javascript:showEqn('IORW0')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">IORW0</a></td>
<td align="center" width="10%">15</td> <td align="center" width="10%">18</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB3_2_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_1</a> <a href="Javascript:showPT('FB3_2_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_2</a> <a href="Javascript:showPT('FB3_2_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_3</a> <a href="Javascript:showPT('FB3_2_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_4</a> <a href="Javascript:showPT('FB3_2_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_5</a> <a href="Javascript:showPT('FB3_3_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_1</a> <a href="Javascript:showPT('FB3_3_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_2</a> <a href="Javascript:showPT('FB3_3_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_3</a> <a href="Javascript:showPT('FB3_3_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_4</a> <a href="Javascript:showPT('FB3_3_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_5</a> <a href="Javascript:showPT('FB3_4_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">4_1</a> <a href="Javascript:showPT('FB3_4_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">4_2</a> <a href="Javascript:showPT('FB3_4_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">4_3</a> <a href="Javascript:showPT('FB3_4_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">4_4</a> <a href="Javascript:showPT('FB3_4_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">4_5</a> <td align="center" width="30%"> <a href="Javascript:showPT('FB3_1_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_1</a> <a href="Javascript:showPT('FB3_1_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_2</a> <a href="Javascript:showPT('FB3_1_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_3</a> <a href="Javascript:showPT('FB3_1_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_4</a> <a href="Javascript:showPT('FB3_2_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_1</a> <a href="Javascript:showPT('FB3_2_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_2</a> <a href="Javascript:showPT('FB3_2_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_3</a> <a href="Javascript:showPT('FB3_2_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_4</a> <a href="Javascript:showPT('FB3_2_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_5</a> <a href="Javascript:showPT('FB3_3_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_1</a> <a href="Javascript:showPT('FB3_3_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_2</a> <a href="Javascript:showPT('FB3_3_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_3</a> <a href="Javascript:showPT('FB3_3_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_4</a> <a href="Javascript:showPT('FB3_3_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_5</a> <a href="Javascript:showPT('FB3_4_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">4_2</a> <a href="Javascript:showPT('FB3_4_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">4_3</a> <a href="Javascript:showPT('FB3_4_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">4_4</a> <a href="Javascript:showPT('FB3_4_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">4_5</a>
</td> </td>
<td align="center" width="10%">MC3</td> <td align="center" width="10%">MC3</td>
<td align="center" width="10%">STD</td> <td align="center" width="10%">STD</td>
@ -58,9 +58,9 @@
<td align="center" width="10%">(b)</td> <td align="center" width="10%">(b)</td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('iobmETACK_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/ETACK</a></td> <td align="center" width="10%"><a href="Javascript:showEqn('iobsIOACTr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/IOACTr</a></td>
<td align="center" width="10%">1</td> <td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB3_5_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">5_4</a> <td align="center" width="30%"> <a href="Javascript:showPT('FB3_4_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">4_1</a>
</td> </td>
<td align="center" width="10%">MC4</td> <td align="center" width="10%">MC4</td>
<td align="center" width="10%">STD</td> <td align="center" width="10%">STD</td>
@ -80,20 +80,20 @@
<td align="center" width="10%">O</td> <td align="center" width="10%">O</td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('iobsPS_FSM_FFd1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/PS_FSM_FFd1</a></td> <td align="center" width="10%"><a href="Javascript:showEqn('iobsClear1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/Clear1</a></td>
<td align="center" width="10%">2</td> <td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB3_6_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">6_1</a> <a href="Javascript:showPT('FB3_6_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">6_2</a> <td align="center" width="30%"> <a href="Javascript:showPT('FB3_6_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">6_1</a>
</td> </td>
<td align="center" width="10%">MC6</td> <td align="center" width="10%">MC6</td>
<td align="center" width="10%">STD</td> <td align="center" width="10%">STD</td>
<td align="center" width="10%">25</td> <td align="center" width="10%">25</td>
<td width="8%" align="center">I/O</td> <td width="8%" align="center">I/O</td>
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB&lt;5&gt;'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td> <td align="center" width="10%"><a href="#" onmouseover="this._tip = 'nLDS_FSB'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('iobsIOReady_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/IOReady</a></td> <td align="center" width="10%"><a href="Javascript:showEqn('fsbASrf_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">fsb/ASrf</a></td>
<td align="center" width="10%">4</td> <td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB3_7_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_1</a> <a href="Javascript:showPT('FB3_7_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_2</a> <a href="Javascript:showPT('FB3_7_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_3</a> <a href="Javascript:showPT('FB3_7_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_4</a> <td align="center" width="30%"> <a href="Javascript:showPT('FB3_7_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_1</a>
</td> </td>
<td align="center" width="10%">MC7</td> <td align="center" width="10%">MC7</td>
<td align="center" width="10%">STD</td> <td align="center" width="10%">STD</td>
@ -102,9 +102,9 @@
<td align="center" width="10%">(b)</td> <td align="center" width="10%">(b)</td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('BERR_IOBS')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">BERR_IOBS</a></td> <td align="center" width="10%"><a href="Javascript:showEqn('ALE0S')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ALE0S</a></td>
<td align="center" width="10%">4</td> <td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB3_7_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_5</a> <a href="Javascript:showPT('FB3_8_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_1</a> <a href="Javascript:showPT('FB3_8_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_2</a> <a href="Javascript:showPT('FB3_8_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_3</a> <td align="center" width="30%"> <a href="Javascript:showPT('FB3_8_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_1</a>
</td> </td>
<td align="center" width="10%">MC8</td> <td align="center" width="10%">MC8</td>
<td align="center" width="10%">STD</td> <td align="center" width="10%">STD</td>
@ -113,31 +113,30 @@
<td align="center" width="10%">GCK/I</td> <td align="center" width="10%">GCK/I</td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('ramRS_FSM_FFd1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RS_FSM_FFd1</a></td> <td align="center" width="10%"><a href="Javascript:showEqn('iobsPS_FSM_FFd2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/PS_FSM_FFd2</a></td>
<td align="center" width="10%">5</td> <td align="center" width="10%">14</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB3_8_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_4</a> <a href="Javascript:showPT('FB3_8_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_5</a> <a href="Javascript:showPT('FB3_9_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_1</a> <a href="Javascript:showPT('FB3_9_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_2</a> <a href="Javascript:showPT('FB3_9_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_3</a> <td align="center" width="30%"> <a href="Javascript:showPT('FB3_10_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_1</a> <a href="Javascript:showPT('FB3_10_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_2</a> <a href="Javascript:showPT('FB3_10_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_3</a> <a href="Javascript:showPT('FB3_10_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_4</a> <a href="Javascript:showPT('FB3_10_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_5</a> <a href="Javascript:showPT('FB3_8_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_2</a> <a href="Javascript:showPT('FB3_8_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_3</a> <a href="Javascript:showPT('FB3_8_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_4</a> <a href="Javascript:showPT('FB3_8_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_5</a> <a href="Javascript:showPT('FB3_9_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_1</a> <a href="Javascript:showPT('FB3_9_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_2</a> <a href="Javascript:showPT('FB3_9_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_3</a> <a href="Javascript:showPT('FB3_9_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_4</a> <a href="Javascript:showPT('FB3_9_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_5</a>
</td> </td>
<td align="center" width="10%">MC9</td> <td align="center" width="10%">MC9</td>
<td align="center" width="10%">STD</td> <td align="center" width="10%">STD</td>
<td align="center" width="10%">28</td> <td align="center" width="10%">28</td>
<td width="8%" align="center">I/O</td> <td width="8%" align="center">I/O</td>
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'nVPA_IOB'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td> <td align="center" width="10%">(b)</td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('ramOnce_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/Once</a></td> <td align="center" width="10%">(unused)</td>
<td align="center" width="10%">5</td> <td align="center" width="10%">0</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB3_10_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_1</a> <a href="Javascript:showPT('FB3_10_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_2</a> <a href="Javascript:showPT('FB3_10_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_3</a> <a href="Javascript:showPT('FB3_9_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_3</a> <a href="Javascript:showPT('FB3_9_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_5</a> <td align="center" width="30%"> </td>
</td>
<td align="center" width="10%">MC10</td> <td align="center" width="10%">MC10</td>
<td align="center" width="10%">STD</td> <td align="center" width="10%"> </td>
<td align="center" width="10%"> </td> <td align="center" width="10%"> </td>
<td align="center" width="10%">(b)</td> <td align="center" width="10%">(b)</td>
<td align="center" width="10%">(b)</td> <td align="center" width="10%">(b)</td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('nVMA_IOB')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nVMA_IOB</a></td> <td align="center" width="10%"><a href="Javascript:showEqn('nADoutLE1')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nADoutLE1</a></td>
<td align="center" width="10%">2</td> <td align="center" width="10%">2</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB3_10_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_4</a> <a href="Javascript:showPT('FB3_10_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_5</a> <td align="center" width="30%"> <a href="Javascript:showPT('FB3_11_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">11_1</a> <a href="Javascript:showPT('FB3_11_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">11_2</a>
</td> </td>
<td align="center" width="10%">MC11</td> <td align="center" width="10%">MC11</td>
<td align="center" width="10%">STD</td> <td align="center" width="10%">STD</td>
@ -146,9 +145,9 @@
<td align="center" width="10%">O</td> <td align="center" width="10%">O</td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('iobsPS_FSM_FFd2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/PS_FSM_FFd2</a></td> <td align="center" width="10%"><a href="Javascript:showEqn('iobsPS_FSM_FFd1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/PS_FSM_FFd1</a></td>
<td align="center" width="10%">10</td> <td align="center" width="10%">2</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB3_11_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">11_1</a> <a href="Javascript:showPT('FB3_11_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">11_2</a> <a href="Javascript:showPT('FB3_11_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">11_3</a> <a href="Javascript:showPT('FB3_11_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">11_4</a> <a href="Javascript:showPT('FB3_11_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">11_5</a> <a href="Javascript:showPT('FB3_12_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_1</a> <a href="Javascript:showPT('FB3_12_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_2</a> <a href="Javascript:showPT('FB3_12_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_3</a> <a href="Javascript:showPT('FB3_12_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_4</a> <a href="Javascript:showPT('FB3_12_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_5</a> <td align="center" width="30%"> <a href="Javascript:showPT('FB3_11_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">11_3</a> <a href="Javascript:showPT('FB3_11_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">11_4</a>
</td> </td>
<td align="center" width="10%">MC12</td> <td align="center" width="10%">MC12</td>
<td align="center" width="10%">STD</td> <td align="center" width="10%">STD</td>
@ -157,19 +156,20 @@
<td align="center" width="10%">(b)</td> <td align="center" width="10%">(b)</td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%">(unused)</td> <td align="center" width="10%"><a href="Javascript:showEqn('IOREQ')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">IOREQ</a></td>
<td align="center" width="10%">0</td> <td align="center" width="10%">14</td>
<td align="center" width="30%"> </td> <td align="center" width="30%"> <a href="Javascript:showPT('FB3_12_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_1</a> <a href="Javascript:showPT('FB3_12_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_2</a> <a href="Javascript:showPT('FB3_12_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_3</a> <a href="Javascript:showPT('FB3_12_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_4</a> <a href="Javascript:showPT('FB3_12_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_5</a> <a href="Javascript:showPT('FB3_13_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_1</a> <a href="Javascript:showPT('FB3_13_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_2</a> <a href="Javascript:showPT('FB3_13_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_3</a> <a href="Javascript:showPT('FB3_13_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_4</a> <a href="Javascript:showPT('FB3_13_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_5</a> <a href="Javascript:showPT('FB3_14_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_2</a> <a href="Javascript:showPT('FB3_14_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_3</a> <a href="Javascript:showPT('FB3_14_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_4</a> <a href="Javascript:showPT('FB3_14_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_5</a>
</td>
<td align="center" width="10%">MC13</td> <td align="center" width="10%">MC13</td>
<td align="center" width="10%"> </td> <td align="center" width="10%">STD</td>
<td align="center" width="10%"> </td> <td align="center" width="10%"> </td>
<td align="center" width="10%">(b)</td> <td align="center" width="10%">(b)</td>
<td align="center" width="10%">(b)</td> <td align="center" width="10%">(b)</td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('iobsOnce_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/Once</a></td> <td align="center" width="10%"><a href="Javascript:showEqn('iobmETACK_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/ETACK</a></td>
<td align="center" width="10%">11</td> <td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB3_13_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_1</a> <a href="Javascript:showPT('FB3_13_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_2</a> <a href="Javascript:showPT('FB3_13_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_3</a> <a href="Javascript:showPT('FB3_13_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_4</a> <a href="Javascript:showPT('FB3_13_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_5</a> <a href="Javascript:showPT('FB3_14_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_1</a> <a href="Javascript:showPT('FB3_14_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_2</a> <a href="Javascript:showPT('FB3_14_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_3</a> <a href="Javascript:showPT('FB3_14_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_4</a> <a href="Javascript:showPT('FB3_14_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_5</a> <a href="Javascript:showPT('FB3_15_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_2</a> <td align="center" width="30%"> <a href="Javascript:showPT('FB3_14_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_1</a>
</td> </td>
<td align="center" width="10%">MC14</td> <td align="center" width="10%">MC14</td>
<td align="center" width="10%">STD</td> <td align="center" width="10%">STD</td>
@ -178,9 +178,9 @@
<td align="center" width="10%">(b)</td> <td align="center" width="10%">(b)</td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('nRAMLWE')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nRAMLWE</a></td> <td align="center" width="10%"><a href="Javascript:showEqn('nVMA_IOB')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nVMA_IOB</a></td>
<td align="center" width="10%">1</td> <td align="center" width="10%">2</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB3_15_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_1</a> <td align="center" width="30%"> <a href="Javascript:showPT('FB3_15_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_1</a> <a href="Javascript:showPT('FB3_15_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_2</a>
</td> </td>
<td align="center" width="10%">MC15</td> <td align="center" width="10%">MC15</td>
<td align="center" width="10%">STD</td> <td align="center" width="10%">STD</td>
@ -189,30 +189,30 @@
<td align="center" width="10%">O</td> <td align="center" width="10%">O</td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('fsbReady1r_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">fsb/Ready1r</a></td> <td align="center" width="10%">(unused)</td>
<td align="center" width="10%">5</td> <td align="center" width="10%">0</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB3_16_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_1</a> <a href="Javascript:showPT('FB3_16_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_2</a> <a href="Javascript:showPT('FB3_16_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_3</a> <a href="Javascript:showPT('FB3_16_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_4</a> <a href="Javascript:showPT('FB3_16_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_5</a> <td align="center" width="30%"> </td>
</td>
<td align="center" width="10%">MC16</td> <td align="center" width="10%">MC16</td>
<td align="center" width="10%">STD</td> <td align="center" width="10%"> </td>
<td align="center" width="10%"> </td> <td align="center" width="10%"> </td>
<td align="center" width="10%">(b)</td> <td align="center" width="10%">(b)</td>
<td align="center" width="10%">(b)</td> <td align="center" width="10%">(b)</td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%">(unused)</td> <td align="center" width="10%"><a href="Javascript:showEqn('iobsIORW1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/IORW1</a></td>
<td align="center" width="10%">0</td> <td align="center" width="10%">16</td>
<td align="center" width="30%"> </td> <td align="center" width="30%"> <a href="Javascript:showPT('FB3_15_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_3</a> <a href="Javascript:showPT('FB3_15_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_4</a> <a href="Javascript:showPT('FB3_16_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_1</a> <a href="Javascript:showPT('FB3_16_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_2</a> <a href="Javascript:showPT('FB3_16_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_3</a> <a href="Javascript:showPT('FB3_16_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_4</a> <a href="Javascript:showPT('FB3_16_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_5</a> <a href="Javascript:showPT('FB3_17_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_1</a> <a href="Javascript:showPT('FB3_17_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_2</a> <a href="Javascript:showPT('FB3_17_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_3</a> <a href="Javascript:showPT('FB3_17_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_4</a> <a href="Javascript:showPT('FB3_17_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_5</a> <a href="Javascript:showPT('FB3_18_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_2</a> <a href="Javascript:showPT('FB3_18_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_3</a> <a href="Javascript:showPT('FB3_18_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_4</a> <a href="Javascript:showPT('FB3_18_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_5</a>
</td>
<td align="center" width="10%">MC17</td> <td align="center" width="10%">MC17</td>
<td align="center" width="10%"> </td> <td align="center" width="10%">STD</td>
<td align="center" width="10%">34</td> <td align="center" width="10%">34</td>
<td width="8%" align="center">I/O</td> <td width="8%" align="center">I/O</td>
<td align="center" width="10%">(b)</td> <td align="center" width="10%">(b)</td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('iobsLoad1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/Load1</a></td> <td align="center" width="10%"><a href="Javascript:showEqn('ramBACTr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/BACTr</a></td>
<td align="center" width="10%">13</td> <td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB3_17_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_1</a> <a href="Javascript:showPT('FB3_17_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_2</a> <a href="Javascript:showPT('FB3_17_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_3</a> <a href="Javascript:showPT('FB3_17_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_4</a> <a href="Javascript:showPT('FB3_17_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_5</a> <a href="Javascript:showPT('FB3_18_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_1</a> <a href="Javascript:showPT('FB3_18_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_2</a> <a href="Javascript:showPT('FB3_18_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_3</a> <a href="Javascript:showPT('FB3_18_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_4</a> <a href="Javascript:showPT('FB3_18_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_5</a> <a href="Javascript:showPT('FB3_1_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_1</a> <a href="Javascript:showPT('FB3_1_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_2</a> <a href="Javascript:showPT('FB3_1_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_3</a> <td align="center" width="30%"> <a href="Javascript:showPT('FB3_18_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_1</a>
</td> </td>
<td align="center" width="10%">MC18</td> <td align="center" width="10%">MC18</td>
<td align="center" width="10%">STD</td> <td align="center" width="10%">STD</td>
@ -223,6 +223,8 @@
</table></span></div> </table></span></div>
<div id="tipBox"></div> <div id="tipBox"></div>
<br><span id="fbsiguse" class="pgRef"><b>Signals Used By Logic in Function Block</b><br><ol> <br><span id="fbsiguse" class="pgRef"><b>Signals Used By Logic in Function Block</b><br><ol>
<li>A_FSB&lt;13&gt;</li>
<li>A_FSB&lt;14&gt;</li>
<li>A_FSB&lt;16&gt;</li> <li>A_FSB&lt;16&gt;</li>
<li>A_FSB&lt;17&gt;</li> <li>A_FSB&lt;17&gt;</li>
<li>A_FSB&lt;18&gt;</li> <li>A_FSB&lt;18&gt;</li>
@ -231,13 +233,11 @@
<li>A_FSB&lt;21&gt;</li> <li>A_FSB&lt;21&gt;</li>
<li>A_FSB&lt;22&gt;</li> <li>A_FSB&lt;22&gt;</li>
<li>A_FSB&lt;23&gt;</li> <li>A_FSB&lt;23&gt;</li>
<li><a href="Javascript:showEqn('BERR_IOBS')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">BERR_IOBS</a></li>
<li><a href="Javascript:showEqn('IOACT')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">IOACT</a></li> <li><a href="Javascript:showEqn('IOACT')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">IOACT</a></li>
<li><a href="Javascript:showEqn('IOBERR')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">IOBERR</a></li> <li><a href="Javascript:showEqn('IORW0')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">IORW0</a></li>
<li><a href="Javascript:showEqn('RefAck')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">RefAck</a></li> <li><a href="Javascript:showEqn('RefAck')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">RefAck</a></li>
<li><a href="Javascript:showEqn('csnOverlay1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cs/nOverlay1</a></li> <li><a href="Javascript:showEqn('csnOverlay1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cs/nOverlay1</a></li>
<li><a href="Javascript:showEqn('fsbASrf_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">fsb/ASrf</a></li> <li><a href="Javascript:showEqn('fsbASrf_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">fsb/ASrf</a></li>
<li><a href="Javascript:showEqn('fsbReady1r_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">fsb/Ready1r</a></li>
<li><a href="Javascript:showEqn('iobmES0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/ES&lt;0&gt;</a></li> <li><a href="Javascript:showEqn('iobmES0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/ES&lt;0&gt;</a></li>
<li><a href="Javascript:showEqn('iobmES1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/ES&lt;1&gt;</a></li> <li><a href="Javascript:showEqn('iobmES1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/ES&lt;1&gt;</a></li>
<li><a href="Javascript:showEqn('iobmES2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/ES&lt;2&gt;</a></li> <li><a href="Javascript:showEqn('iobmES2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/ES&lt;2&gt;</a></li>
@ -245,23 +245,19 @@
<li><a href="Javascript:showEqn('iobmES4_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/ES&lt;4&gt;</a></li> <li><a href="Javascript:showEqn('iobmES4_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/ES&lt;4&gt;</a></li>
<li><a href="Javascript:showEqn('iobmVPArf_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/VPArf</a></li> <li><a href="Javascript:showEqn('iobmVPArf_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/VPArf</a></li>
<li><a href="Javascript:showEqn('iobmVPArr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/VPArr</a></li> <li><a href="Javascript:showEqn('iobmVPArr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/VPArr</a></li>
<li><a href="Javascript:showEqn('iobsClear1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/Clear1</a></li>
<li><a href="Javascript:showEqn('iobsIOACTr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/IOACTr</a></li> <li><a href="Javascript:showEqn('iobsIOACTr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/IOACTr</a></li>
<li><a href="Javascript:showEqn('iobsIORW1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/IORW1</a></li> <li><a href="Javascript:showEqn('iobsIORW1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/IORW1</a></li>
<li><a href="Javascript:showEqn('iobsIOReady_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/IOReady</a></li> <li><a href="Javascript:showEqn('iobsLoad1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/Load1</a></li>
<li><a href="Javascript:showEqn('iobsOnce_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/Once</a></li> <li><a href="Javascript:showEqn('iobsOnce_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/Once</a></li>
<li><a href="Javascript:showEqn('iobsPS_FSM_FFd1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/PS_FSM_FFd1</a></li> <li><a href="Javascript:showEqn('iobsPS_FSM_FFd1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/PS_FSM_FFd1</a></li>
<li><a href="Javascript:showEqn('iobsPS_FSM_FFd2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/PS_FSM_FFd2</a></li> <li><a href="Javascript:showEqn('iobsPS_FSM_FFd2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/PS_FSM_FFd2</a></li>
<li><a href="Javascript:showEqn('nADoutLE1')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nADoutLE1</a></li> <li><a href="Javascript:showEqn('nADoutLE1')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nADoutLE1</a></li>
<li>nAS_FSB</li> <li>nAS_FSB</li>
<li>nLDS_FSB</li>
<li><a href="Javascript:showEqn('nVMA_IOB')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nVMA_IOB</a></li> <li><a href="Javascript:showEqn('nVMA_IOB')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nVMA_IOB</a></li>
<li>nWE_FSB</li> <li>nWE_FSB</li>
<li><a href="Javascript:showEqn('ramOnce_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/Once</a></li>
<li><a href="Javascript:showEqn('ramRAMDIS1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RAMDIS1</a></li> <li><a href="Javascript:showEqn('ramRAMDIS1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RAMDIS1</a></li>
<li><a href="Javascript:showEqn('ramRAMDIS2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RAMDIS2</a></li> <li><a href="Javascript:showEqn('ramRAMDIS2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RAMDIS2</a></li>
<li><a href="Javascript:showEqn('ramRS_FSM_FFd1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RS_FSM_FFd1</a></li>
<li><a href="Javascript:showEqn('ramRS_FSM_FFd2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RS_FSM_FFd2</a></li>
<li><a href="Javascript:showEqn('ramRS_FSM_FFd3_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RS_FSM_FFd3</a></li>
</ol></span><form><span class="pgRef"><table width="90%" align="center"><tr> </ol></span><form><span class="pgRef"><table width="90%" align="center"><tr>
<td align="left"><input type="button" onclick="javascript:parent.leftnav.showTop()" onmouseover="window.status='goto top of page'; return true;" onmouseout="window.status=''" value="back to top"></td> <td align="left"><input type="button" onclick="javascript:parent.leftnav.showTop()" onmouseover="window.status='goto top of page'; return true;" onmouseout="window.status=''" value="back to top"></td>
<td align="center"><table align="center" width="90%" border="0" cellpadding="0" cellspacing="0"><tr><td width="100%" align="center"> <td align="center"><table align="center" width="90%" border="0" cellpadding="0" cellspacing="0"><tr><td width="100%" align="center">

View File

@ -27,19 +27,20 @@
<th width="10%">Pin Use</th> <th width="10%">Pin Use</th>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%">(unused)</td> <td align="center" width="10%"><a href="Javascript:showEqn('ramRASEL_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RASEL</a></td>
<td align="center" width="10%">0</td> <td align="center" width="10%">20</td>
<td align="center" width="30%"> </td> <td align="center" width="30%"> <a href="Javascript:showPT('FB4_17_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_1</a> <a href="Javascript:showPT('FB4_17_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_2</a> <a href="Javascript:showPT('FB4_17_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_3</a> <a href="Javascript:showPT('FB4_17_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_4</a> <a href="Javascript:showPT('FB4_17_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_5</a> <a href="Javascript:showPT('FB4_18_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_1</a> <a href="Javascript:showPT('FB4_18_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_2</a> <a href="Javascript:showPT('FB4_18_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_3</a> <a href="Javascript:showPT('FB4_18_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_4</a> <a href="Javascript:showPT('FB4_18_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_5</a> <a href="Javascript:showPT('FB4_1_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_1</a> <a href="Javascript:showPT('FB4_1_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_2</a> <a href="Javascript:showPT('FB4_1_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_3</a> <a href="Javascript:showPT('FB4_1_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_4</a> <a href="Javascript:showPT('FB4_1_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_5</a> <a href="Javascript:showPT('FB4_2_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_1</a> <a href="Javascript:showPT('FB4_2_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_2</a> <a href="Javascript:showPT('FB4_2_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_3</a> <a href="Javascript:showPT('FB4_2_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_4</a> <a href="Javascript:showPT('FB4_2_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_5</a>
</td>
<td align="center" width="10%">MC1</td> <td align="center" width="10%">MC1</td>
<td align="center" width="10%"> </td> <td align="center" width="10%">STD</td>
<td align="center" width="10%"> </td> <td align="center" width="10%"> </td>
<td align="center" width="10%">(b)</td> <td align="center" width="10%">(b)</td>
<td align="center" width="10%">(b)</td> <td align="center" width="10%">(b)</td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('RA1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">RA&lt;1&gt;</a></td> <td align="center" width="10%"><a href="Javascript:showEqn('RA0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">RA&lt;0&gt;</a></td>
<td align="center" width="10%">2</td> <td align="center" width="10%">2</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB4_1_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_1</a> <a href="Javascript:showPT('FB4_1_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_2</a> <td align="center" width="30%"> <a href="Javascript:showPT('FB4_3_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_3</a> <a href="Javascript:showPT('FB4_3_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_4</a>
</td> </td>
<td align="center" width="10%">MC2</td> <td align="center" width="10%">MC2</td>
<td align="center" width="10%">STD</td> <td align="center" width="10%">STD</td>
@ -48,25 +49,25 @@
<td align="center" width="10%">O</td> <td align="center" width="10%">O</td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%">(unused)</td> <td align="center" width="10%"><a href="Javascript:showEqn('csnOverlay1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cs/nOverlay1</a></td>
<td align="center" width="10%">0</td> <td align="center" width="10%">2</td>
<td align="center" width="30%"> </td> <td align="center" width="30%"> <a href="Javascript:showPT('FB4_3_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_1</a> <a href="Javascript:showPT('FB4_3_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_2</a>
</td>
<td align="center" width="10%">MC3</td> <td align="center" width="10%">MC3</td>
<td align="center" width="10%"> </td> <td align="center" width="10%">STD</td>
<td align="center" width="10%"> </td> <td align="center" width="10%"> </td>
<td align="center" width="10%">(b)</td> <td align="center" width="10%">(b)</td>
<td align="center" width="10%">(b)</td> <td align="center" width="10%">(b)</td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('ramRS_FSM_FFd2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RS_FSM_FFd2</a></td> <td align="center" width="10%">(unused)</td>
<td align="center" width="10%">13</td> <td align="center" width="10%">0</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB4_2_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_1</a> <a href="Javascript:showPT('FB4_2_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_2</a> <a href="Javascript:showPT('FB4_2_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_3</a> <a href="Javascript:showPT('FB4_2_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_4</a> <a href="Javascript:showPT('FB4_2_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_5</a> <a href="Javascript:showPT('FB4_3_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_1</a> <a href="Javascript:showPT('FB4_3_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_2</a> <a href="Javascript:showPT('FB4_3_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_3</a> <a href="Javascript:showPT('FB4_3_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_4</a> <a href="Javascript:showPT('FB4_3_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_5</a> <a href="Javascript:showPT('FB4_4_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">4_1</a> <a href="Javascript:showPT('FB4_4_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">4_2</a> <a href="Javascript:showPT('FB4_4_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">4_3</a> <td align="center" width="30%"> </td>
</td>
<td align="center" width="10%">MC4</td> <td align="center" width="10%">MC4</td>
<td align="center" width="10%">STD</td> <td align="center" width="10%"> </td>
<td align="center" width="10%"> </td> <td align="center" width="10%"> </td>
<td align="center" width="10%">(b)</td> <td align="center" width="10%">(b)</td>
<td align="center" width="10%">(b)</td> <td align="center" width="10%"> </td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%">(unused)</td> <td align="center" width="10%">(unused)</td>
@ -76,18 +77,18 @@
<td align="center" width="10%"> </td> <td align="center" width="10%"> </td>
<td align="center" width="10%">89</td> <td align="center" width="10%">89</td>
<td width="8%" align="center">I/O</td> <td width="8%" align="center">I/O</td>
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB&lt;16&gt;'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td> <td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB&lt;10&gt;'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('ramRASEL_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RASEL</a></td> <td align="center" width="10%"><a href="Javascript:showEqn('iobsLoad1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/Load1</a></td>
<td align="center" width="10%">20</td> <td align="center" width="10%">14</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB4_4_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">4_4</a> <a href="Javascript:showPT('FB4_4_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">4_5</a> <a href="Javascript:showPT('FB4_5_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">5_1</a> <a href="Javascript:showPT('FB4_5_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">5_2</a> <a href="Javascript:showPT('FB4_5_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">5_3</a> <a href="Javascript:showPT('FB4_5_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">5_4</a> <a href="Javascript:showPT('FB4_5_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">5_5</a> <a href="Javascript:showPT('FB4_6_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">6_1</a> <a href="Javascript:showPT('FB4_6_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">6_2</a> <a href="Javascript:showPT('FB4_6_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">6_3</a> <a href="Javascript:showPT('FB4_6_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">6_4</a> <a href="Javascript:showPT('FB4_6_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">6_5</a> <a href="Javascript:showPT('FB4_7_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_1</a> <a href="Javascript:showPT('FB4_7_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_2</a> <a href="Javascript:showPT('FB4_7_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_3</a> <a href="Javascript:showPT('FB4_7_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_4</a> <a href="Javascript:showPT('FB4_7_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_5</a> <a href="Javascript:showPT('FB4_8_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_3</a> <a href="Javascript:showPT('FB4_8_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_4</a> <a href="Javascript:showPT('FB4_8_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_5</a> <td align="center" width="30%"> <a href="Javascript:showPT('FB4_5_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">5_1</a> <a href="Javascript:showPT('FB4_5_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">5_2</a> <a href="Javascript:showPT('FB4_5_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">5_3</a> <a href="Javascript:showPT('FB4_5_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">5_4</a> <a href="Javascript:showPT('FB4_6_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">6_1</a> <a href="Javascript:showPT('FB4_6_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">6_2</a> <a href="Javascript:showPT('FB4_6_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">6_3</a> <a href="Javascript:showPT('FB4_6_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">6_4</a> <a href="Javascript:showPT('FB4_6_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">6_5</a> <a href="Javascript:showPT('FB4_7_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_1</a> <a href="Javascript:showPT('FB4_7_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_2</a> <a href="Javascript:showPT('FB4_7_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_3</a> <a href="Javascript:showPT('FB4_7_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_4</a> <a href="Javascript:showPT('FB4_7_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_5</a>
</td> </td>
<td align="center" width="10%">MC6</td> <td align="center" width="10%">MC6</td>
<td align="center" width="10%">STD</td> <td align="center" width="10%">STD</td>
<td align="center" width="10%">90</td> <td align="center" width="10%">90</td>
<td width="8%" align="center">I/O</td> <td width="8%" align="center">I/O</td>
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB&lt;17&gt;'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td> <td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB&lt;1&gt;'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%">(unused)</td> <td align="center" width="10%">(unused)</td>
@ -100,7 +101,7 @@
<td align="center" width="10%">(b)</td> <td align="center" width="10%">(b)</td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('RA5_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">RA&lt;5&gt;</a></td> <td align="center" width="10%"><a href="Javascript:showEqn('RA2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">RA&lt;2&gt;</a></td>
<td align="center" width="10%">2</td> <td align="center" width="10%">2</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB4_8_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_1</a> <a href="Javascript:showPT('FB4_8_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_2</a> <td align="center" width="30%"> <a href="Javascript:showPT('FB4_8_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_1</a> <a href="Javascript:showPT('FB4_8_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_2</a>
</td> </td>
@ -118,12 +119,12 @@
<td align="center" width="10%"> </td> <td align="center" width="10%"> </td>
<td align="center" width="10%">92</td> <td align="center" width="10%">92</td>
<td width="8%" align="center">I/O</td> <td width="8%" align="center">I/O</td>
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB&lt;18&gt;'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td> <td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB&lt;12&gt;'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('ramRAMDIS1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RAMDIS1</a></td> <td align="center" width="10%"><a href="Javascript:showEqn('iobsOnce_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/Once</a></td>
<td align="center" width="10%">18</td> <td align="center" width="10%">17</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB4_10_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_1</a> <a href="Javascript:showPT('FB4_10_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_2</a> <a href="Javascript:showPT('FB4_10_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_3</a> <a href="Javascript:showPT('FB4_10_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_4</a> <a href="Javascript:showPT('FB4_10_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_5</a> <a href="Javascript:showPT('FB4_11_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">11_1</a> <a href="Javascript:showPT('FB4_11_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">11_2</a> <a href="Javascript:showPT('FB4_11_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">11_3</a> <a href="Javascript:showPT('FB4_11_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">11_4</a> <a href="Javascript:showPT('FB4_11_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">11_5</a> <a href="Javascript:showPT('FB4_12_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_3</a> <a href="Javascript:showPT('FB4_12_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_4</a> <a href="Javascript:showPT('FB4_12_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_5</a> <a href="Javascript:showPT('FB4_9_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_1</a> <a href="Javascript:showPT('FB4_9_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_2</a> <a href="Javascript:showPT('FB4_9_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_3</a> <a href="Javascript:showPT('FB4_9_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_4</a> <a href="Javascript:showPT('FB4_9_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_5</a> <td align="center" width="30%"> <a href="Javascript:showPT('FB4_10_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_1</a> <a href="Javascript:showPT('FB4_10_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_2</a> <a href="Javascript:showPT('FB4_10_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_3</a> <a href="Javascript:showPT('FB4_10_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_4</a> <a href="Javascript:showPT('FB4_10_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_5</a> <a href="Javascript:showPT('FB4_11_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">11_1</a> <a href="Javascript:showPT('FB4_11_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">11_2</a> <a href="Javascript:showPT('FB4_11_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">11_3</a> <a href="Javascript:showPT('FB4_11_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">11_4</a> <a href="Javascript:showPT('FB4_11_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">11_5</a> <a href="Javascript:showPT('FB4_8_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_3</a> <a href="Javascript:showPT('FB4_8_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_4</a> <a href="Javascript:showPT('FB4_9_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_1</a> <a href="Javascript:showPT('FB4_9_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_2</a> <a href="Javascript:showPT('FB4_9_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_3</a> <a href="Javascript:showPT('FB4_9_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_4</a> <a href="Javascript:showPT('FB4_9_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_5</a>
</td> </td>
<td align="center" width="10%">MC10</td> <td align="center" width="10%">MC10</td>
<td align="center" width="10%">STD</td> <td align="center" width="10%">STD</td>
@ -139,10 +140,10 @@
<td align="center" width="10%"> </td> <td align="center" width="10%"> </td>
<td align="center" width="10%">93</td> <td align="center" width="10%">93</td>
<td width="8%" align="center">I/O</td> <td width="8%" align="center">I/O</td>
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB&lt;20&gt;'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td> <td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB&lt;14&gt;'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('nDinOE')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nDinOE</a></td> <td align="center" width="10%"><a href="Javascript:showEqn('RA4_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">RA&lt;4&gt;</a></td>
<td align="center" width="10%">2</td> <td align="center" width="10%">2</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB4_12_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_1</a> <a href="Javascript:showPT('FB4_12_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_2</a> <td align="center" width="30%"> <a href="Javascript:showPT('FB4_12_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_1</a> <a href="Javascript:showPT('FB4_12_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_2</a>
</td> </td>
@ -163,15 +164,15 @@
<td align="center" width="10%">(b)</td> <td align="center" width="10%">(b)</td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('ramRAMReady_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RAMReady</a></td> <td align="center" width="10%"><a href="Javascript:showEqn('ramRAMDIS1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RAMDIS1</a></td>
<td align="center" width="10%">16</td> <td align="center" width="10%">18</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB4_13_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_1</a> <a href="Javascript:showPT('FB4_13_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_2</a> <a href="Javascript:showPT('FB4_13_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_3</a> <a href="Javascript:showPT('FB4_13_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_4</a> <a href="Javascript:showPT('FB4_13_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_5</a> <a href="Javascript:showPT('FB4_14_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_1</a> <a href="Javascript:showPT('FB4_14_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_2</a> <a href="Javascript:showPT('FB4_14_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_3</a> <a href="Javascript:showPT('FB4_14_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_4</a> <a href="Javascript:showPT('FB4_14_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_5</a> <a href="Javascript:showPT('FB4_15_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_1</a> <a href="Javascript:showPT('FB4_15_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_2</a> <a href="Javascript:showPT('FB4_15_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_3</a> <a href="Javascript:showPT('FB4_15_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_4</a> <a href="Javascript:showPT('FB4_15_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_5</a> <a href="Javascript:showPT('FB4_16_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_1</a> <td align="center" width="30%"> <a href="Javascript:showPT('FB4_12_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_3</a> <a href="Javascript:showPT('FB4_12_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_4</a> <a href="Javascript:showPT('FB4_12_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_5</a> <a href="Javascript:showPT('FB4_13_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_1</a> <a href="Javascript:showPT('FB4_13_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_2</a> <a href="Javascript:showPT('FB4_13_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_3</a> <a href="Javascript:showPT('FB4_13_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_4</a> <a href="Javascript:showPT('FB4_13_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_5</a> <a href="Javascript:showPT('FB4_14_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_1</a> <a href="Javascript:showPT('FB4_14_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_2</a> <a href="Javascript:showPT('FB4_14_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_3</a> <a href="Javascript:showPT('FB4_14_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_4</a> <a href="Javascript:showPT('FB4_14_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_5</a> <a href="Javascript:showPT('FB4_15_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_1</a> <a href="Javascript:showPT('FB4_15_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_2</a> <a href="Javascript:showPT('FB4_15_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_3</a> <a href="Javascript:showPT('FB4_15_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_4</a> <a href="Javascript:showPT('FB4_15_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_5</a>
</td> </td>
<td align="center" width="10%">MC14</td> <td align="center" width="10%">MC14</td>
<td align="center" width="10%">STD</td> <td align="center" width="10%">STD</td>
<td align="center" width="10%">95</td> <td align="center" width="10%">95</td>
<td width="8%" align="center">I/O</td> <td width="8%" align="center">I/O</td>
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB&lt;22&gt;'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td> <td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB&lt;16&gt;'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%">(unused)</td> <td align="center" width="10%">(unused)</td>
@ -181,22 +182,23 @@
<td align="center" width="10%"> </td> <td align="center" width="10%"> </td>
<td align="center" width="10%">96</td> <td align="center" width="10%">96</td>
<td width="8%" align="center">I/O</td> <td width="8%" align="center">I/O</td>
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB&lt;7&gt;'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td> <td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB&lt;17&gt;'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%">(unused)</td> <td align="center" width="10%"><a href="Javascript:showEqn('fsbBERR0r_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">fsb/BERR0r</a></td>
<td align="center" width="10%">0</td> <td align="center" width="10%">3</td>
<td align="center" width="30%"> </td> <td align="center" width="30%"> <a href="Javascript:showPT('FB4_16_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_1</a> <a href="Javascript:showPT('FB4_16_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_2</a> <a href="Javascript:showPT('FB4_16_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_3</a>
</td>
<td align="center" width="10%">MC16</td> <td align="center" width="10%">MC16</td>
<td align="center" width="10%"> </td> <td align="center" width="10%">STD</td>
<td align="center" width="10%"> </td> <td align="center" width="10%"> </td>
<td align="center" width="10%">(b)</td> <td align="center" width="10%">(b)</td>
<td align="center" width="10%">(b)</td> <td align="center" width="10%">(b)</td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('nRAMUWE')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nRAMUWE</a></td> <td align="center" width="10%"><a href="Javascript:showEqn('nDinOE')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nDinOE</a></td>
<td align="center" width="10%">1</td> <td align="center" width="10%">2</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB4_17_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_1</a> <td align="center" width="30%"> <a href="Javascript:showPT('FB4_16_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_4</a> <a href="Javascript:showPT('FB4_16_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_5</a>
</td> </td>
<td align="center" width="10%">MC17</td> <td align="center" width="10%">MC17</td>
<td align="center" width="10%">STD</td> <td align="center" width="10%">STD</td>
@ -205,12 +207,11 @@
<td align="center" width="10%">O</td> <td align="center" width="10%">O</td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('ramRAMDIS2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RAMDIS2</a></td> <td align="center" width="10%">(unused)</td>
<td align="center" width="10%">7</td> <td align="center" width="10%">0</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB4_17_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_2</a> <a href="Javascript:showPT('FB4_17_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_3</a> <a href="Javascript:showPT('FB4_18_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_1</a> <a href="Javascript:showPT('FB4_18_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_2</a> <a href="Javascript:showPT('FB4_18_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_3</a> <a href="Javascript:showPT('FB4_18_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_4</a> <a href="Javascript:showPT('FB4_18_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_5</a> <td align="center" width="30%"> </td>
</td>
<td align="center" width="10%">MC18</td> <td align="center" width="10%">MC18</td>
<td align="center" width="10%">STD</td> <td align="center" width="10%"> </td>
<td align="center" width="10%"> </td> <td align="center" width="10%"> </td>
<td align="center" width="10%">(b)</td> <td align="center" width="10%">(b)</td>
<td align="center" width="10%">(b)</td> <td align="center" width="10%">(b)</td>
@ -218,27 +219,38 @@
</table></span></div> </table></span></div>
<div id="tipBox"></div> <div id="tipBox"></div>
<br><span id="fbsiguse" class="pgRef"><b>Signals Used By Logic in Function Block</b><br><ol> <br><span id="fbsiguse" class="pgRef"><b>Signals Used By Logic in Function Block</b><br><ol>
<li>A_FSB&lt;11&gt;</li> <li>A_FSB&lt;10&gt;</li>
<li>A_FSB&lt;15&gt;</li> <li>A_FSB&lt;12&gt;</li>
<li>A_FSB&lt;13&gt;</li>
<li>A_FSB&lt;14&gt;</li>
<li>A_FSB&lt;16&gt;</li>
<li>A_FSB&lt;17&gt;</li>
<li>A_FSB&lt;18&gt;</li>
<li>A_FSB&lt;19&gt;</li>
<li>A_FSB&lt;1&gt;</li>
<li>A_FSB&lt;20&gt;</li> <li>A_FSB&lt;20&gt;</li>
<li>A_FSB&lt;21&gt;</li> <li>A_FSB&lt;21&gt;</li>
<li>A_FSB&lt;22&gt;</li> <li>A_FSB&lt;22&gt;</li>
<li>A_FSB&lt;23&gt;</li> <li>A_FSB&lt;23&gt;</li>
<li>A_FSB&lt;2&gt;</li> <li>A_FSB&lt;3&gt;</li>
<li>A_FSB&lt;6&gt;</li> <li>A_FSB&lt;5&gt;</li>
<li><a href="Javascript:showEqn('TimeoutB')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">TimeoutB</a></li>
<li><a href="Javascript:showEqn('cntRefCnt5_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/RefCnt&lt;5&gt;</a></li> <li><a href="Javascript:showEqn('cntRefCnt5_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/RefCnt&lt;5&gt;</a></li>
<li><a href="Javascript:showEqn('cntRefCnt6_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/RefCnt&lt;6&gt;</a></li> <li><a href="Javascript:showEqn('cntRefCnt6_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/RefCnt&lt;6&gt;</a></li>
<li><a href="Javascript:showEqn('cntRefCnt7_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/RefCnt&lt;7&gt;</a></li> <li><a href="Javascript:showEqn('cntRefCnt7_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/RefCnt&lt;7&gt;</a></li>
<li><a href="Javascript:showEqn('cntRefDone_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/RefDone</a></li> <li><a href="Javascript:showEqn('cntRefDone_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/RefDone</a></li>
<li><a href="Javascript:showEqn('csnOverlay0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cs/nOverlay0</a></li>
<li><a href="Javascript:showEqn('csnOverlay1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cs/nOverlay1</a></li> <li><a href="Javascript:showEqn('csnOverlay1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cs/nOverlay1</a></li>
<li><a href="Javascript:showEqn('fsbASrf_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">fsb/ASrf</a></li> <li><a href="Javascript:showEqn('fsbASrf_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">fsb/ASrf</a></li>
<li><a href="Javascript:showEqn('fsbBERR0r_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">fsb/BERR0r</a></li>
<li><a href="Javascript:showEqn('iobsOnce_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/Once</a></li>
<li><a href="Javascript:showEqn('iobsPS_FSM_FFd1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/PS_FSM_FFd1</a></li>
<li><a href="Javascript:showEqn('iobsPS_FSM_FFd2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/PS_FSM_FFd2</a></li>
<li><a href="Javascript:showEqn('nADoutLE1')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nADoutLE1</a></li>
<li>nAS_FSB</li> <li>nAS_FSB</li>
<li>nUDS_FSB</li>
<li>nWE_FSB</li> <li>nWE_FSB</li>
<li><a href="Javascript:showEqn('ramBACTr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/BACTr</a></li> <li><a href="Javascript:showEqn('ramBACTr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/BACTr</a></li>
<li><a href="Javascript:showEqn('ramOnce_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/Once</a></li> <li><a href="Javascript:showEqn('ramOnce_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/Once</a></li>
<li><a href="Javascript:showEqn('ramRAMDIS1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RAMDIS1</a></li>
<li><a href="Javascript:showEqn('ramRAMDIS2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RAMDIS2</a></li>
<li><a href="Javascript:showEqn('ramRASEL_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RASEL</a></li> <li><a href="Javascript:showEqn('ramRASEL_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RASEL</a></li>
<li><a href="Javascript:showEqn('ramRS_FSM_FFd1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RS_FSM_FFd1</a></li> <li><a href="Javascript:showEqn('ramRS_FSM_FFd1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RS_FSM_FFd1</a></li>
<li><a href="Javascript:showEqn('ramRS_FSM_FFd2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RS_FSM_FFd2</a></li> <li><a href="Javascript:showEqn('ramRS_FSM_FFd2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RS_FSM_FFd2</a></li>

View File

@ -27,17 +27,18 @@
<th width="10%">Pin Use</th> <th width="10%">Pin Use</th>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%">(unused)</td> <td align="center" width="10%"><a href="Javascript:showEqn('IOACT')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">IOACT</a></td>
<td align="center" width="10%">0</td> <td align="center" width="10%">10</td>
<td align="center" width="30%"> </td> <td align="center" width="30%"> <a href="Javascript:showPT('FB5_18_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_4</a> <a href="Javascript:showPT('FB5_18_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_5</a> <a href="Javascript:showPT('FB5_1_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_1</a> <a href="Javascript:showPT('FB5_1_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_2</a> <a href="Javascript:showPT('FB5_1_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_3</a> <a href="Javascript:showPT('FB5_1_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_4</a> <a href="Javascript:showPT('FB5_1_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_5</a> <a href="Javascript:showPT('FB5_2_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_3</a> <a href="Javascript:showPT('FB5_2_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_4</a> <a href="Javascript:showPT('FB5_2_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_5</a>
</td>
<td align="center" width="10%">MC1</td> <td align="center" width="10%">MC1</td>
<td align="center" width="10%"> </td> <td align="center" width="10%">STD</td>
<td align="center" width="10%"> </td> <td align="center" width="10%"> </td>
<td align="center" width="10%">(b)</td> <td align="center" width="10%">(b)</td>
<td align="center" width="10%"> </td> <td align="center" width="10%">(b)</td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('nROMCS')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nROMCS</a></td> <td align="center" width="10%"><a href="Javascript:showEqn('RA1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">RA&lt;1&gt;</a></td>
<td align="center" width="10%">2</td> <td align="center" width="10%">2</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB5_2_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_1</a> <a href="Javascript:showPT('FB5_2_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_2</a> <td align="center" width="30%"> <a href="Javascript:showPT('FB5_2_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_1</a> <a href="Javascript:showPT('FB5_2_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_2</a>
</td> </td>
@ -48,139 +49,152 @@
<td align="center" width="10%">O</td> <td align="center" width="10%">O</td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%">(unused)</td> <td align="center" width="10%"><a href="Javascript:showEqn('iobmIOS_FSM_FFd7_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOS_FSM_FFd7</a></td>
<td align="center" width="10%">0</td> <td align="center" width="10%">1</td>
<td align="center" width="30%"> </td> <td align="center" width="30%"> <a href="Javascript:showPT('FB5_3_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_1</a>
</td>
<td align="center" width="10%">MC3</td> <td align="center" width="10%">MC3</td>
<td align="center" width="10%"> </td> <td align="center" width="10%">STD</td>
<td align="center" width="10%"> </td> <td align="center" width="10%"> </td>
<td align="center" width="10%">(b)</td> <td align="center" width="10%">(b)</td>
<td align="center" width="10%"> </td> <td align="center" width="10%">(b)</td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%">(unused)</td> <td align="center" width="10%"><a href="Javascript:showEqn('iobmIOS_FSM_FFd6_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOS_FSM_FFd6</a></td>
<td align="center" width="10%">0</td> <td align="center" width="10%">1</td>
<td align="center" width="30%"> </td> <td align="center" width="30%"> <a href="Javascript:showPT('FB5_4_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">4_1</a>
</td>
<td align="center" width="10%">MC4</td> <td align="center" width="10%">MC4</td>
<td align="center" width="10%"> </td> <td align="center" width="10%">STD</td>
<td align="center" width="10%"> </td> <td align="center" width="10%"> </td>
<td align="center" width="10%">(b)</td> <td align="center" width="10%">(b)</td>
<td align="center" width="10%"> </td> <td align="center" width="10%">(b)</td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%">(unused)</td> <td align="center" width="10%"><a href="Javascript:showEqn('iobmIOS_FSM_FFd5_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOS_FSM_FFd5</a></td>
<td align="center" width="10%">0</td> <td align="center" width="10%">1</td>
<td align="center" width="30%"> </td> <td align="center" width="30%"> <a href="Javascript:showPT('FB5_5_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">5_1</a>
</td>
<td align="center" width="10%">MC5</td> <td align="center" width="10%">MC5</td>
<td align="center" width="10%"> </td> <td align="center" width="10%">STD</td>
<td align="center" width="10%">36</td> <td align="center" width="10%">36</td>
<td width="8%" align="center">I/O</td> <td width="8%" align="center">I/O</td>
<td align="center" width="10%"> </td> <td align="center" width="10%">(b)</td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%">(unused)</td> <td align="center" width="10%"><a href="Javascript:showEqn('iobmIOS_FSM_FFd4_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOS_FSM_FFd4</a></td>
<td align="center" width="10%">0</td> <td align="center" width="10%">1</td>
<td align="center" width="30%"> </td> <td align="center" width="30%"> <a href="Javascript:showPT('FB5_6_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">6_1</a>
</td>
<td align="center" width="10%">MC6</td> <td align="center" width="10%">MC6</td>
<td align="center" width="10%"> </td> <td align="center" width="10%">STD</td>
<td align="center" width="10%">37</td> <td align="center" width="10%">37</td>
<td width="8%" align="center">I/O</td> <td width="8%" align="center">I/O</td>
<td align="center" width="10%"> </td> <td align="center" width="10%">(b)</td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%">(unused)</td> <td align="center" width="10%"><a href="Javascript:showEqn('iobmBERRrr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/BERRrr</a></td>
<td align="center" width="10%">0</td> <td align="center" width="10%">1</td>
<td align="center" width="30%"> </td> <td align="center" width="30%"> <a href="Javascript:showPT('FB5_7_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_1</a>
</td>
<td align="center" width="10%">MC7</td> <td align="center" width="10%">MC7</td>
<td align="center" width="10%"> </td> <td align="center" width="10%">STD</td>
<td align="center" width="10%"> </td> <td align="center" width="10%"> </td>
<td align="center" width="10%">(b)</td> <td align="center" width="10%">(b)</td>
<td align="center" width="10%"> </td> <td align="center" width="10%">(b)</td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%">(unused)</td> <td align="center" width="10%"><a href="Javascript:showEqn('RA3_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">RA&lt;3&gt;</a></td>
<td align="center" width="10%">0</td> <td align="center" width="10%">2</td>
<td align="center" width="30%"> </td> <td align="center" width="30%"> <a href="Javascript:showPT('FB5_8_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_1</a> <a href="Javascript:showPT('FB5_8_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_2</a>
</td>
<td align="center" width="10%">MC8</td> <td align="center" width="10%">MC8</td>
<td align="center" width="10%"> </td> <td align="center" width="10%">STD</td>
<td align="center" width="10%">39</td> <td align="center" width="10%">39</td>
<td width="8%" align="center">I/O</td> <td width="8%" align="center">I/O</td>
<td align="center" width="10%"> </td> <td align="center" width="10%">O</td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%">(unused)</td> <td align="center" width="10%"><a href="Javascript:showEqn('iobmBERRrf_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/BERRrf</a></td>
<td align="center" width="10%">0</td> <td align="center" width="10%">1</td>
<td align="center" width="30%"> </td> <td align="center" width="30%"> <a href="Javascript:showPT('FB5_9_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_1</a>
</td>
<td align="center" width="10%">MC9</td> <td align="center" width="10%">MC9</td>
<td align="center" width="10%"> </td> <td align="center" width="10%">STD</td>
<td align="center" width="10%">40</td> <td align="center" width="10%">40</td>
<td width="8%" align="center">I/O</td> <td width="8%" align="center">I/O</td>
<td align="center" width="10%"> </td> <td align="center" width="10%">(b)</td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%">(unused)</td> <td align="center" width="10%"><a href="Javascript:showEqn('cntRefCnt0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/RefCnt&lt;0&gt;</a></td>
<td align="center" width="10%">0</td> <td align="center" width="10%">0</td>
<td align="center" width="30%"> </td> <td align="center" width="30%"> <a href="Javascript:showPT('VCC')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''"></a>
</td>
<td align="center" width="10%">MC10</td> <td align="center" width="10%">MC10</td>
<td align="center" width="10%"> </td> <td align="center" width="10%">STD</td>
<td align="center" width="10%"> </td> <td align="center" width="10%"> </td>
<td align="center" width="10%">(b)</td> <td align="center" width="10%">(b)</td>
<td align="center" width="10%"> </td> <td align="center" width="10%">(b)</td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%">(unused)</td> <td align="center" width="10%"><a href="Javascript:showEqn('iobmIOS_FSM_FFd8_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOS_FSM_FFd8</a></td>
<td align="center" width="10%">0</td> <td align="center" width="10%">2</td>
<td align="center" width="30%"> </td> <td align="center" width="30%"> <a href="Javascript:showPT('FB5_11_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">11_1</a> <a href="Javascript:showPT('FB5_11_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">11_2</a>
</td>
<td align="center" width="10%">MC11</td> <td align="center" width="10%">MC11</td>
<td align="center" width="10%"> </td> <td align="center" width="10%">STD</td>
<td align="center" width="10%">41</td> <td align="center" width="10%">41</td>
<td width="8%" align="center">I/O</td> <td width="8%" align="center">I/O</td>
<td align="center" width="10%"> </td> <td align="center" width="10%">(b)</td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%">(unused)</td> <td align="center" width="10%"><a href="Javascript:showEqn('nROMCS')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nROMCS</a></td>
<td align="center" width="10%">0</td> <td align="center" width="10%">2</td>
<td align="center" width="30%"> </td> <td align="center" width="30%"> <a href="Javascript:showPT('FB5_12_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_1</a> <a href="Javascript:showPT('FB5_12_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_2</a>
</td>
<td align="center" width="10%">MC12</td> <td align="center" width="10%">MC12</td>
<td align="center" width="10%"> </td> <td align="center" width="10%">STD</td>
<td align="center" width="10%">42</td> <td align="center" width="10%">42</td>
<td width="8%" align="center">I/O</td> <td width="8%" align="center">I/O</td>
<td align="center" width="10%"> </td> <td align="center" width="10%">O</td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%">(unused)</td> <td align="center" width="10%"><a href="Javascript:showEqn('ALE0M')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ALE0M</a></td>
<td align="center" width="10%">0</td> <td align="center" width="10%">2</td>
<td align="center" width="30%"> </td> <td align="center" width="30%"> <a href="Javascript:showPT('FB5_13_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_1</a> <a href="Javascript:showPT('FB5_13_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_2</a>
</td>
<td align="center" width="10%">MC13</td> <td align="center" width="10%">MC13</td>
<td align="center" width="10%"> </td> <td align="center" width="10%">STD</td>
<td align="center" width="10%"> </td> <td align="center" width="10%"> </td>
<td align="center" width="10%">(b)</td> <td align="center" width="10%">(b)</td>
<td align="center" width="10%"> </td> <td align="center" width="10%">(b)</td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%">(unused)</td> <td align="center" width="10%"><a href="Javascript:showEqn('iobmIOS_FSM_FFd2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOS_FSM_FFd2</a></td>
<td align="center" width="10%">0</td> <td align="center" width="10%">4</td>
<td align="center" width="30%"> </td> <td align="center" width="30%"> <a href="Javascript:showPT('FB5_14_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_1</a> <a href="Javascript:showPT('FB5_14_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_2</a> <a href="Javascript:showPT('FB5_14_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_3</a> <a href="Javascript:showPT('FB5_14_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_4</a>
</td>
<td align="center" width="10%">MC14</td> <td align="center" width="10%">MC14</td>
<td align="center" width="10%"> </td> <td align="center" width="10%">STD</td>
<td align="center" width="10%">43</td> <td align="center" width="10%">43</td>
<td width="8%" align="center">I/O</td> <td width="8%" align="center">I/O</td>
<td align="center" width="10%"> </td> <td align="center" width="10%">(b)</td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%">(unused)</td> <td align="center" width="10%"><a href="Javascript:showEqn('BERR_IOBS')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">BERR_IOBS</a></td>
<td align="center" width="10%">0</td> <td align="center" width="10%">4</td>
<td align="center" width="30%"> </td> <td align="center" width="30%"> <a href="Javascript:showPT('FB5_15_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_1</a> <a href="Javascript:showPT('FB5_15_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_2</a> <a href="Javascript:showPT('FB5_15_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_3</a> <a href="Javascript:showPT('FB5_15_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_4</a>
</td>
<td align="center" width="10%">MC15</td> <td align="center" width="10%">MC15</td>
<td align="center" width="10%"> </td> <td align="center" width="10%">STD</td>
<td align="center" width="10%">46</td> <td align="center" width="10%">46</td>
<td width="8%" align="center">I/O</td> <td width="8%" align="center">I/O</td>
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'E_IOB'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td> <td align="center" width="10%">(b)</td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('iobmVPArr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/VPArr</a></td> <td align="center" width="10%"><a href="Javascript:showEqn('iobmIOS_FSM_FFd3_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOS_FSM_FFd3</a></td>
<td align="center" width="10%">1</td> <td align="center" width="10%">5</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB5_16_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_1</a> <td align="center" width="30%"> <a href="Javascript:showPT('FB5_16_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_1</a> <a href="Javascript:showPT('FB5_16_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_2</a> <a href="Javascript:showPT('FB5_16_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_3</a> <a href="Javascript:showPT('FB5_16_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_4</a> <a href="Javascript:showPT('FB5_16_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_5</a>
</td> </td>
<td align="center" width="10%">MC16</td> <td align="center" width="10%">MC16</td>
<td align="center" width="10%">STD</td> <td align="center" width="10%">STD</td>
@ -189,20 +203,19 @@
<td align="center" width="10%">(b)</td> <td align="center" width="10%">(b)</td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('iobmEr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/Er</a></td> <td align="center" width="10%">(unused)</td>
<td align="center" width="10%">1</td> <td align="center" width="10%">0</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB5_17_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_1</a> <td align="center" width="30%"> </td>
</td>
<td align="center" width="10%">MC17</td> <td align="center" width="10%">MC17</td>
<td align="center" width="10%">STD</td> <td align="center" width="10%"> </td>
<td align="center" width="10%">49</td> <td align="center" width="10%">49</td>
<td width="8%" align="center">I/O</td> <td width="8%" align="center">I/O</td>
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'nDTACK_IOB'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td> <td align="center" width="10%"><a href="#" onmouseover="this._tip = 'E_IOB'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('cntRefCnt0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/RefCnt&lt;0&gt;</a></td> <td align="center" width="10%"><a href="Javascript:showEqn('IOBERR')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">IOBERR</a></td>
<td align="center" width="10%">0</td> <td align="center" width="10%">8</td>
<td align="center" width="30%"> <a href="Javascript:showPT('VCC')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''"></a> <td align="center" width="30%"> <a href="Javascript:showPT('FB5_17_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_1</a> <a href="Javascript:showPT('FB5_17_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_2</a> <a href="Javascript:showPT('FB5_17_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_3</a> <a href="Javascript:showPT('FB5_17_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_4</a> <a href="Javascript:showPT('FB5_17_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_5</a> <a href="Javascript:showPT('FB5_18_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_1</a> <a href="Javascript:showPT('FB5_18_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_2</a> <a href="Javascript:showPT('FB5_18_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_3</a>
</td> </td>
<td align="center" width="10%">MC18</td> <td align="center" width="10%">MC18</td>
<td align="center" width="10%">STD</td> <td align="center" width="10%">STD</td>
@ -213,13 +226,41 @@
</table></span></div> </table></span></div>
<div id="tipBox"></div> <div id="tipBox"></div>
<br><span id="fbsiguse" class="pgRef"><b>Signals Used By Logic in Function Block</b><br><ol> <br><span id="fbsiguse" class="pgRef"><b>Signals Used By Logic in Function Block</b><br><ol>
<li>A_FSB&lt;11&gt;</li>
<li>A_FSB&lt;13&gt;</li>
<li>A_FSB&lt;20&gt;</li> <li>A_FSB&lt;20&gt;</li>
<li>A_FSB&lt;21&gt;</li> <li>A_FSB&lt;21&gt;</li>
<li>A_FSB&lt;22&gt;</li> <li>A_FSB&lt;22&gt;</li>
<li>A_FSB&lt;23&gt;</li> <li>A_FSB&lt;23&gt;</li>
<li>E_IOB</li> <li>A_FSB&lt;2&gt;</li>
<li>A_FSB&lt;4&gt;</li>
<li><a href="Javascript:showEqn('BERR_IOBS')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">BERR_IOBS</a></li>
<li>CLK_IOB</li>
<li><a href="Javascript:showEqn('IOBERR')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">IOBERR</a></li>
<li><a href="Javascript:showEqn('csnOverlay1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cs/nOverlay1</a></li> <li><a href="Javascript:showEqn('csnOverlay1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cs/nOverlay1</a></li>
<li>nVPA_IOB</li> <li><a href="Javascript:showEqn('fsbASrf_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">fsb/ASrf</a></li>
<li><a href="Javascript:showEqn('iobmBERRrf_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/BERRrf</a></li>
<li><a href="Javascript:showEqn('iobmBERRrr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/BERRrr</a></li>
<li><a href="Javascript:showEqn('iobmDTACKrf_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/DTACKrf</a></li>
<li><a href="Javascript:showEqn('iobmDTACKrr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/DTACKrr</a></li>
<li><a href="Javascript:showEqn('iobmETACK_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/ETACK</a></li>
<li><a href="Javascript:showEqn('iobmIOREQr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOREQr</a></li>
<li><a href="Javascript:showEqn('iobmIOS_FSM_FFd1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOS_FSM_FFd1</a></li>
<li><a href="Javascript:showEqn('iobmIOS_FSM_FFd3_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOS_FSM_FFd3</a></li>
<li><a href="Javascript:showEqn('iobmIOS_FSM_FFd4_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOS_FSM_FFd4</a></li>
<li><a href="Javascript:showEqn('iobmIOS_FSM_FFd5_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOS_FSM_FFd5</a></li>
<li><a href="Javascript:showEqn('iobmIOS_FSM_FFd6_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOS_FSM_FFd6</a></li>
<li><a href="Javascript:showEqn('iobmIOS_FSM_FFd7_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOS_FSM_FFd7</a></li>
<li><a href="Javascript:showEqn('iobmIOS_FSM_FFd8_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOS_FSM_FFd8</a></li>
<li><a href="Javascript:showEqn('iobmRESrf_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/RESrf</a></li>
<li><a href="Javascript:showEqn('iobmRESrr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/RESrr</a></li>
<li><a href="Javascript:showEqn('iobsIOACTr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/IOACTr</a></li>
<li><a href="Javascript:showEqn('iobsOnce_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/Once</a></li>
<li><a href="Javascript:showEqn('iobsPS_FSM_FFd2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/PS_FSM_FFd2</a></li>
<li><a href="Javascript:showEqn('nADoutLE1')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nADoutLE1</a></li>
<li>nAS_FSB</li>
<li>nBERR_IOB</li>
<li><a href="Javascript:showEqn('ramRASEL_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RASEL</a></li>
</ol></span><form><span class="pgRef"><table width="90%" align="center"><tr> </ol></span><form><span class="pgRef"><table width="90%" align="center"><tr>
<td align="left"><input type="button" onclick="javascript:parent.leftnav.showTop()" onmouseover="window.status='goto top of page'; return true;" onmouseout="window.status=''" value="back to top"></td> <td align="left"><input type="button" onclick="javascript:parent.leftnav.showTop()" onmouseover="window.status='goto top of page'; return true;" onmouseout="window.status=''" value="back to top"></td>
<td align="center"><table align="center" width="90%" border="0" cellpadding="0" cellspacing="0"><tr><td width="100%" align="center"> <td align="center"><table align="center" width="90%" border="0" cellpadding="0" cellspacing="0"><tr><td width="100%" align="center">

View File

@ -27,7 +27,7 @@
<th width="10%">Pin Use</th> <th width="10%">Pin Use</th>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('ramBACTr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/BACTr</a></td> <td align="center" width="10%"><a href="Javascript:showEqn('iobmEr2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/Er2</a></td>
<td align="center" width="10%">1</td> <td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB6_1_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_1</a> <td align="center" width="30%"> <a href="Javascript:showPT('FB6_1_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_1</a>
</td> </td>
@ -38,9 +38,9 @@
<td align="center" width="10%">(b)</td> <td align="center" width="10%">(b)</td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('RA3_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">RA&lt;3&gt;</a></td> <td align="center" width="10%"><a href="Javascript:showEqn('nCAS')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nCAS</a></td>
<td align="center" width="10%">2</td> <td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB6_2_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_1</a> <a href="Javascript:showPT('FB6_2_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_2</a> <td align="center" width="30%"> <a href="Javascript:showPT('FB6_2_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_1</a>
</td> </td>
<td align="center" width="10%">MC2</td> <td align="center" width="10%">MC2</td>
<td align="center" width="10%">STD</td> <td align="center" width="10%">STD</td>
@ -49,7 +49,7 @@
<td align="center" width="10%">O</td> <td align="center" width="10%">O</td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('iobsIOACTr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/IOACTr</a></td> <td align="center" width="10%"><a href="Javascript:showEqn('iobmDTACKrr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/DTACKrr</a></td>
<td align="center" width="10%">1</td> <td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB6_3_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_1</a> <td align="center" width="30%"> <a href="Javascript:showPT('FB6_3_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_1</a>
</td> </td>
@ -60,7 +60,7 @@
<td align="center" width="10%">(b)</td> <td align="center" width="10%">(b)</td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('fsbASrf_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">fsb/ASrf</a></td> <td align="center" width="10%"><a href="Javascript:showEqn('iobmDTACKrf_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/DTACKrf</a></td>
<td align="center" width="10%">1</td> <td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB6_4_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">4_1</a> <td align="center" width="30%"> <a href="Javascript:showPT('FB6_4_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">4_1</a>
</td> </td>
@ -71,7 +71,7 @@
<td align="center" width="10%">(b)</td> <td align="center" width="10%">(b)</td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('cntRefCnt3_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/RefCnt&lt;3&gt;</a></td> <td align="center" width="10%"><a href="Javascript:showEqn('RefAck')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">RefAck</a></td>
<td align="center" width="10%">1</td> <td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB6_5_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">5_1</a> <td align="center" width="30%"> <a href="Javascript:showPT('FB6_5_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">5_1</a>
</td> </td>
@ -79,12 +79,12 @@
<td align="center" width="10%">STD</td> <td align="center" width="10%">STD</td>
<td align="center" width="10%">76</td> <td align="center" width="10%">76</td>
<td width="8%" align="center">I/O</td> <td width="8%" align="center">I/O</td>
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB&lt;23&gt;'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td> <td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB&lt;18&gt;'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('RA4_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">RA&lt;4&gt;</a></td> <td align="center" width="10%"><a href="Javascript:showEqn('nRAMLWE')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nRAMLWE</a></td>
<td align="center" width="10%">2</td> <td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB6_6_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">6_1</a> <a href="Javascript:showPT('FB6_6_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">6_2</a> <td align="center" width="30%"> <a href="Javascript:showPT('FB6_6_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">6_1</a>
</td> </td>
<td align="center" width="10%">MC6</td> <td align="center" width="10%">MC6</td>
<td align="center" width="10%">STD</td> <td align="center" width="10%">STD</td>
@ -93,9 +93,9 @@
<td align="center" width="10%">O</td> <td align="center" width="10%">O</td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('cntRefCnt2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/RefCnt&lt;2&gt;</a></td> <td align="center" width="10%"><a href="Javascript:showEqn('iobsIOU1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/IOU1</a></td>
<td align="center" width="10%">1</td> <td align="center" width="10%">2</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB6_7_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_1</a> <td align="center" width="30%"> <a href="Javascript:showPT('FB6_7_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_1</a> <a href="Javascript:showPT('FB6_7_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_2</a>
</td> </td>
<td align="center" width="10%">MC7</td> <td align="center" width="10%">MC7</td>
<td align="center" width="10%">STD</td> <td align="center" width="10%">STD</td>
@ -104,9 +104,9 @@
<td align="center" width="10%">(b)</td> <td align="center" width="10%">(b)</td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('cntRefCnt1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/RefCnt&lt;1&gt;</a></td> <td align="center" width="10%"><a href="Javascript:showEqn('iobsIOL1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/IOL1</a></td>
<td align="center" width="10%">1</td> <td align="center" width="10%">2</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB6_8_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_1</a> <td align="center" width="30%"> <a href="Javascript:showPT('FB6_8_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_1</a> <a href="Javascript:showPT('FB6_8_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_2</a>
</td> </td>
<td align="center" width="10%">MC8</td> <td align="center" width="10%">MC8</td>
<td align="center" width="10%">STD</td> <td align="center" width="10%">STD</td>
@ -115,7 +115,7 @@
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB&lt;3&gt;'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td> <td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB&lt;3&gt;'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('nCAS')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nCAS</a></td> <td align="center" width="10%"><a href="Javascript:showEqn('nRAMUWE')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nRAMUWE</a></td>
<td align="center" width="10%">1</td> <td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB6_9_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_1</a> <td align="center" width="30%"> <a href="Javascript:showPT('FB6_9_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_1</a>
</td> </td>
@ -126,9 +126,9 @@
<td align="center" width="10%">O</td> <td align="center" width="10%">O</td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('RefAck')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">RefAck</a></td> <td align="center" width="10%"><a href="Javascript:showEqn('iobmES3_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/ES&lt;3&gt;</a></td>
<td align="center" width="10%">1</td> <td align="center" width="10%">3</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB6_10_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_1</a> <td align="center" width="30%"> <a href="Javascript:showPT('FB6_10_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_1</a> <a href="Javascript:showPT('FB6_10_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_2</a> <a href="Javascript:showPT('FB6_10_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_3</a>
</td> </td>
<td align="center" width="10%">MC10</td> <td align="center" width="10%">MC10</td>
<td align="center" width="10%">STD</td> <td align="center" width="10%">STD</td>
@ -137,18 +137,18 @@
<td align="center" width="10%">(b)</td> <td align="center" width="10%">(b)</td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('ALE0S')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ALE0S</a></td> <td align="center" width="10%"><a href="Javascript:showEqn('iobmES1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/ES&lt;1&gt;</a></td>
<td align="center" width="10%">1</td> <td align="center" width="10%">3</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB6_11_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">11_1</a> <td align="center" width="30%"> <a href="Javascript:showPT('FB6_11_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">11_1</a> <a href="Javascript:showPT('FB6_11_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">11_2</a> <a href="Javascript:showPT('FB6_11_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">11_3</a>
</td> </td>
<td align="center" width="10%">MC11</td> <td align="center" width="10%">MC11</td>
<td align="center" width="10%">STD</td> <td align="center" width="10%">STD</td>
<td align="center" width="10%">80</td> <td align="center" width="10%">80</td>
<td width="8%" align="center">I/O</td> <td width="8%" align="center">I/O</td>
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB&lt;21&gt;'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td> <td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB&lt;15&gt;'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('nOE')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nOE</a></td> <td align="center" width="10%"><a href="Javascript:showEqn('nROMWE')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nROMWE</a></td>
<td align="center" width="10%">1</td> <td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB6_12_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_1</a> <td align="center" width="30%"> <a href="Javascript:showPT('FB6_12_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_1</a>
</td> </td>
@ -159,9 +159,9 @@
<td align="center" width="10%">O</td> <td align="center" width="10%">O</td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('iobsIOU1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/IOU1</a></td> <td align="center" width="10%"><a href="Javascript:showEqn('iobmES0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/ES&lt;0&gt;</a></td>
<td align="center" width="10%">2</td> <td align="center" width="10%">3</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB6_13_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_1</a> <a href="Javascript:showPT('FB6_13_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_2</a> <td align="center" width="30%"> <a href="Javascript:showPT('FB6_13_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_1</a> <a href="Javascript:showPT('FB6_13_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_2</a> <a href="Javascript:showPT('FB6_13_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_3</a>
</td> </td>
<td align="center" width="10%">MC13</td> <td align="center" width="10%">MC13</td>
<td align="center" width="10%">STD</td> <td align="center" width="10%">STD</td>
@ -170,18 +170,18 @@
<td align="center" width="10%">(b)</td> <td align="center" width="10%">(b)</td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('iobsIOL1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/IOL1</a></td> <td align="center" width="10%"><a href="Javascript:showEqn('IOU0')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">IOU0</a></td>
<td align="center" width="10%">2</td> <td align="center" width="10%">3</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB6_14_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_1</a> <a href="Javascript:showPT('FB6_14_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_2</a> <td align="center" width="30%"> <a href="Javascript:showPT('FB6_14_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_1</a> <a href="Javascript:showPT('FB6_14_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_2</a> <a href="Javascript:showPT('FB6_14_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_3</a>
</td> </td>
<td align="center" width="10%">MC14</td> <td align="center" width="10%">MC14</td>
<td align="center" width="10%">STD</td> <td align="center" width="10%">STD</td>
<td align="center" width="10%">82</td> <td align="center" width="10%">82</td>
<td width="8%" align="center">I/O</td> <td width="8%" align="center">I/O</td>
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB&lt;19&gt;'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td> <td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB&lt;13&gt;'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('nROMWE')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nROMWE</a></td> <td align="center" width="10%"><a href="Javascript:showEqn('nVPA_FSB')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nVPA_FSB</a></td>
<td align="center" width="10%">1</td> <td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB6_15_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_1</a> <td align="center" width="30%"> <a href="Javascript:showPT('FB6_15_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_1</a>
</td> </td>
@ -192,7 +192,7 @@
<td align="center" width="10%">O</td> <td align="center" width="10%">O</td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('IOU0')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">IOU0</a></td> <td align="center" width="10%"><a href="Javascript:showEqn('IOL0')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">IOL0</a></td>
<td align="center" width="10%">3</td> <td align="center" width="10%">3</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB6_16_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_1</a> <a href="Javascript:showPT('FB6_16_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_2</a> <a href="Javascript:showPT('FB6_16_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_3</a> <td align="center" width="30%"> <a href="Javascript:showPT('FB6_16_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_1</a> <a href="Javascript:showPT('FB6_16_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_2</a> <a href="Javascript:showPT('FB6_16_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_3</a>
</td> </td>
@ -203,20 +203,20 @@
<td align="center" width="10%">(b)</td> <td align="center" width="10%">(b)</td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('nVPA_FSB')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nVPA_FSB</a></td> <td align="center" width="10%"><a href="Javascript:showEqn('iobmES4_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/ES&lt;4&gt;</a></td>
<td align="center" width="10%">1</td> <td align="center" width="10%">4</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB6_17_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_1</a> <td align="center" width="30%"> <a href="Javascript:showPT('FB6_17_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_1</a> <a href="Javascript:showPT('FB6_17_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_2</a> <a href="Javascript:showPT('FB6_17_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_3</a> <a href="Javascript:showPT('FB6_17_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_4</a>
</td> </td>
<td align="center" width="10%">MC17</td> <td align="center" width="10%">MC17</td>
<td align="center" width="10%">STD</td> <td align="center" width="10%">STD</td>
<td align="center" width="10%">86</td> <td align="center" width="10%">86</td>
<td width="8%" align="center">I/O</td> <td width="8%" align="center">I/O</td>
<td align="center" width="10%">O</td> <td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB&lt;11&gt;'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('IOL0')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">IOL0</a></td> <td align="center" width="10%"><a href="Javascript:showEqn('iobmES2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/ES&lt;2&gt;</a></td>
<td align="center" width="10%">3</td> <td align="center" width="10%">5</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB6_18_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_1</a> <a href="Javascript:showPT('FB6_18_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_2</a> <a href="Javascript:showPT('FB6_18_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_3</a> <td align="center" width="30%"> <a href="Javascript:showPT('FB6_18_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_1</a> <a href="Javascript:showPT('FB6_18_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_2</a> <a href="Javascript:showPT('FB6_18_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_3</a> <a href="Javascript:showPT('FB6_18_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_4</a> <a href="Javascript:showPT('FB6_18_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_5</a>
</td> </td>
<td align="center" width="10%">MC18</td> <td align="center" width="10%">MC18</td>
<td align="center" width="10%">STD</td> <td align="center" width="10%">STD</td>
@ -227,16 +227,14 @@
</table></span></div> </table></span></div>
<div id="tipBox"></div> <div id="tipBox"></div>
<br><span id="fbsiguse" class="pgRef"><b>Signals Used By Logic in Function Block</b><br><ol> <br><span id="fbsiguse" class="pgRef"><b>Signals Used By Logic in Function Block</b><br><ol>
<li>A_FSB&lt;13&gt;</li>
<li>A_FSB&lt;14&gt;</li>
<li>A_FSB&lt;4&gt;</li>
<li>A_FSB&lt;5&gt;</li>
<li><a href="Javascript:showEqn('IOACT')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">IOACT</a></li>
<li><a href="Javascript:showEqn('cntRefCnt0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/RefCnt&lt;0&gt;</a></li>
<li><a href="Javascript:showEqn('cntRefCnt1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/RefCnt&lt;1&gt;</a></li>
<li><a href="Javascript:showEqn('cntRefCnt2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/RefCnt&lt;2&gt;</a></li>
<li><a href="Javascript:showEqn('fsbASrf_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">fsb/ASrf</a></li>
<li><a href="Javascript:showEqn('fsbVPA_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">fsb/VPA</a></li> <li><a href="Javascript:showEqn('fsbVPA_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">fsb/VPA</a></li>
<li><a href="Javascript:showEqn('iobmES0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/ES&lt;0&gt;</a></li>
<li><a href="Javascript:showEqn('iobmES1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/ES&lt;1&gt;</a></li>
<li><a href="Javascript:showEqn('iobmES2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/ES&lt;2&gt;</a></li>
<li><a href="Javascript:showEqn('iobmES3_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/ES&lt;3&gt;</a></li>
<li><a href="Javascript:showEqn('iobmES4_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/ES&lt;4&gt;</a></li>
<li><a href="Javascript:showEqn('iobmEr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/Er</a></li>
<li><a href="Javascript:showEqn('iobmEr2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/Er2</a></li>
<li><a href="Javascript:showEqn('iobsIOL1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/IOL1</a></li> <li><a href="Javascript:showEqn('iobsIOL1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/IOL1</a></li>
<li><a href="Javascript:showEqn('iobsIOU1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/IOU1</a></li> <li><a href="Javascript:showEqn('iobsIOU1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/IOU1</a></li>
<li><a href="Javascript:showEqn('iobsLoad1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/Load1</a></li> <li><a href="Javascript:showEqn('iobsLoad1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/Load1</a></li>
@ -244,9 +242,12 @@
<li><a href="Javascript:showEqn('iobsPS_FSM_FFd2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/PS_FSM_FFd2</a></li> <li><a href="Javascript:showEqn('iobsPS_FSM_FFd2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/PS_FSM_FFd2</a></li>
<li><a href="Javascript:showEqn('nADoutLE1')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nADoutLE1</a></li> <li><a href="Javascript:showEqn('nADoutLE1')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nADoutLE1</a></li>
<li>nAS_FSB</li> <li>nAS_FSB</li>
<li>nDTACK_IOB</li>
<li>nLDS_FSB</li> <li>nLDS_FSB</li>
<li>nUDS_FSB</li> <li>nUDS_FSB</li>
<li>nWE_FSB</li> <li>nWE_FSB</li>
<li><a href="Javascript:showEqn('ramRAMDIS1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RAMDIS1</a></li>
<li><a href="Javascript:showEqn('ramRAMDIS2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RAMDIS2</a></li>
<li><a href="Javascript:showEqn('ramRASEL_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RASEL</a></li> <li><a href="Javascript:showEqn('ramRASEL_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RASEL</a></li>
<li><a href="Javascript:showEqn('ramRS_FSM_FFd1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RS_FSM_FFd1</a></li> <li><a href="Javascript:showEqn('ramRS_FSM_FFd1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RS_FSM_FFd1</a></li>
<li><a href="Javascript:showEqn('ramRS_FSM_FFd2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RS_FSM_FFd2</a></li> <li><a href="Javascript:showEqn('ramRS_FSM_FFd2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RS_FSM_FFd2</a></li>

View File

@ -27,7 +27,7 @@
<th width="10%">Pin Use</th> <th width="10%">Pin Use</th>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('iobmVPArf_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/VPArf</a></td> <td align="center" width="10%"><a href="Javascript:showEqn('cntRefCnt5_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/RefCnt&lt;5&gt;</a></td>
<td align="center" width="10%">1</td> <td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB7_1_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_1</a> <td align="center" width="30%"> <a href="Javascript:showPT('FB7_1_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_1</a>
</td> </td>
@ -38,7 +38,7 @@
<td align="center" width="10%">(b)</td> <td align="center" width="10%">(b)</td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('RA7_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">RA&lt;7&gt;</a></td> <td align="center" width="10%"><a href="Javascript:showEqn('RA5_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">RA&lt;5&gt;</a></td>
<td align="center" width="10%">2</td> <td align="center" width="10%">2</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB7_2_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_1</a> <a href="Javascript:showPT('FB7_2_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_2</a> <td align="center" width="30%"> <a href="Javascript:showPT('FB7_2_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_1</a> <a href="Javascript:showPT('FB7_2_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_2</a>
</td> </td>
@ -49,7 +49,7 @@
<td align="center" width="10%">O</td> <td align="center" width="10%">O</td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('iobmRESrr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/RESrr</a></td> <td align="center" width="10%"><a href="Javascript:showEqn('cntRefCnt4_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/RefCnt&lt;4&gt;</a></td>
<td align="center" width="10%">1</td> <td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB7_3_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_1</a> <td align="center" width="30%"> <a href="Javascript:showPT('FB7_3_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_1</a>
</td> </td>
@ -60,9 +60,9 @@
<td align="center" width="10%">(b)</td> <td align="center" width="10%">(b)</td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('iobmRESrf_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/RESrf</a></td> <td align="center" width="10%"><a href="Javascript:showEqn('csnOverlay0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cs/nOverlay0</a></td>
<td align="center" width="10%">1</td> <td align="center" width="10%">2</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB7_4_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">4_1</a> <td align="center" width="30%"> <a href="Javascript:showPT('FB7_4_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">4_1</a> <a href="Javascript:showPT('FB7_4_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">4_2</a>
</td> </td>
<td align="center" width="10%">MC4</td> <td align="center" width="10%">MC4</td>
<td align="center" width="10%">STD</td> <td align="center" width="10%">STD</td>
@ -71,18 +71,18 @@
<td align="center" width="10%">(b)</td> <td align="center" width="10%">(b)</td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('iobmIOREQr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOREQr</a></td> <td align="center" width="10%"><a href="Javascript:showEqn('ramRS_FSM_FFd3_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RS_FSM_FFd3</a></td>
<td align="center" width="10%">1</td> <td align="center" width="10%">11</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB7_5_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">5_1</a> <td align="center" width="30%"> <a href="Javascript:showPT('FB7_4_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">4_3</a> <a href="Javascript:showPT('FB7_4_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">4_4</a> <a href="Javascript:showPT('FB7_4_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">4_5</a> <a href="Javascript:showPT('FB7_5_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">5_1</a> <a href="Javascript:showPT('FB7_5_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">5_2</a> <a href="Javascript:showPT('FB7_5_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">5_3</a> <a href="Javascript:showPT('FB7_5_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">5_4</a> <a href="Javascript:showPT('FB7_5_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">5_5</a> <a href="Javascript:showPT('FB7_6_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">6_3</a> <a href="Javascript:showPT('FB7_6_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">6_4</a> <a href="Javascript:showPT('FB7_6_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">6_5</a>
</td> </td>
<td align="center" width="10%">MC5</td> <td align="center" width="10%">MC5</td>
<td align="center" width="10%">STD</td> <td align="center" width="10%">STD</td>
<td align="center" width="10%">52</td> <td align="center" width="10%">52</td>
<td width="8%" align="center">I/O</td> <td width="8%" align="center">I/O</td>
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB&lt;8&gt;'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td> <td align="center" width="10%"><a href="#" onmouseover="this._tip = 'nUDS_FSB'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('RA8_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">RA&lt;8&gt;</a></td> <td align="center" width="10%"><a href="Javascript:showEqn('RA6_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">RA&lt;6&gt;</a></td>
<td align="center" width="10%">2</td> <td align="center" width="10%">2</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB7_6_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">6_1</a> <a href="Javascript:showPT('FB7_6_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">6_2</a> <td align="center" width="30%"> <a href="Javascript:showPT('FB7_6_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">6_1</a> <a href="Javascript:showPT('FB7_6_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">6_2</a>
</td> </td>
@ -93,9 +93,9 @@
<td align="center" width="10%">O</td> <td align="center" width="10%">O</td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('iobmEr2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/Er2</a></td> <td align="center" width="10%"><a href="Javascript:showEqn('fsbReady0r_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">fsb/Ready0r</a></td>
<td align="center" width="10%">1</td> <td align="center" width="10%">3</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB7_7_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_1</a> <td align="center" width="30%"> <a href="Javascript:showPT('FB7_7_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_1</a> <a href="Javascript:showPT('FB7_7_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_2</a> <a href="Javascript:showPT('FB7_7_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_3</a>
</td> </td>
<td align="center" width="10%">MC7</td> <td align="center" width="10%">MC7</td>
<td align="center" width="10%">STD</td> <td align="center" width="10%">STD</td>
@ -104,18 +104,18 @@
<td align="center" width="10%">(b)</td> <td align="center" width="10%">(b)</td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('iobmDTACKrr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/DTACKrr</a></td> <td align="center" width="10%"><a href="Javascript:showEqn('ramRS_FSM_FFd1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RS_FSM_FFd1</a></td>
<td align="center" width="10%">1</td> <td align="center" width="10%">5</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB7_8_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_1</a> <td align="center" width="30%"> <a href="Javascript:showPT('FB7_8_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_1</a> <a href="Javascript:showPT('FB7_8_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_2</a> <a href="Javascript:showPT('FB7_8_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_3</a> <a href="Javascript:showPT('FB7_8_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_4</a> <a href="Javascript:showPT('FB7_8_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_5</a>
</td> </td>
<td align="center" width="10%">MC8</td> <td align="center" width="10%">MC8</td>
<td align="center" width="10%">STD</td> <td align="center" width="10%">STD</td>
<td align="center" width="10%">54</td> <td align="center" width="10%">54</td>
<td width="8%" align="center">I/O</td> <td width="8%" align="center">I/O</td>
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB&lt;4&gt;'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td> <td align="center" width="10%"><a href="#" onmouseover="this._tip = 'nDTACK_IOB'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('RA9_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">RA&lt;9&gt;</a></td> <td align="center" width="10%"><a href="Javascript:showEqn('RA7_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">RA&lt;7&gt;</a></td>
<td align="center" width="10%">2</td> <td align="center" width="10%">2</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB7_9_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_1</a> <a href="Javascript:showPT('FB7_9_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_2</a> <td align="center" width="30%"> <a href="Javascript:showPT('FB7_9_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_1</a> <a href="Javascript:showPT('FB7_9_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_2</a>
</td> </td>
@ -126,9 +126,9 @@
<td align="center" width="10%">O</td> <td align="center" width="10%">O</td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('iobmDTACKrf_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/DTACKrf</a></td> <td align="center" width="10%"><a href="Javascript:showEqn('ramOnce_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/Once</a></td>
<td align="center" width="10%">1</td> <td align="center" width="10%">5</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB7_10_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_1</a> <td align="center" width="30%"> <a href="Javascript:showPT('FB7_10_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_1</a> <a href="Javascript:showPT('FB7_10_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_2</a> <a href="Javascript:showPT('FB7_9_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_3</a> <a href="Javascript:showPT('FB7_9_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_4</a> <a href="Javascript:showPT('FB7_9_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_5</a>
</td> </td>
<td align="center" width="10%">MC10</td> <td align="center" width="10%">MC10</td>
<td align="center" width="10%">STD</td> <td align="center" width="10%">STD</td>
@ -137,20 +137,20 @@
<td align="center" width="10%">(b)</td> <td align="center" width="10%">(b)</td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('iobmES3_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/ES&lt;3&gt;</a></td> <td align="center" width="10%"><a href="Javascript:showEqn('ramRAMDIS2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RAMDIS2</a></td>
<td align="center" width="10%">3</td> <td align="center" width="10%">7</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB7_11_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">11_1</a> <a href="Javascript:showPT('FB7_11_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">11_2</a> <a href="Javascript:showPT('FB7_11_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">11_3</a> <td align="center" width="30%"> <a href="Javascript:showPT('FB7_10_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_3</a> <a href="Javascript:showPT('FB7_10_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_4</a> <a href="Javascript:showPT('FB7_10_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_5</a> <a href="Javascript:showPT('FB7_11_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">11_1</a> <a href="Javascript:showPT('FB7_11_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">11_2</a> <a href="Javascript:showPT('FB7_11_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">11_3</a> <a href="Javascript:showPT('FB7_11_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">11_4</a>
</td> </td>
<td align="center" width="10%">MC11</td> <td align="center" width="10%">MC11</td>
<td align="center" width="10%">STD</td> <td align="center" width="10%">STD</td>
<td align="center" width="10%">56</td> <td align="center" width="10%">56</td>
<td width="8%" align="center">I/O</td> <td width="8%" align="center">I/O</td>
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'nBERR_IOB'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td> <td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB&lt;4&gt;'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('RA11_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">RA&lt;11&gt;</a></td> <td align="center" width="10%"><a href="Javascript:showEqn('RA8_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">RA&lt;8&gt;</a></td>
<td align="center" width="10%">1</td> <td align="center" width="10%">2</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB7_12_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_1</a> <td align="center" width="30%"> <a href="Javascript:showPT('FB7_11_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">11_5</a> <a href="Javascript:showPT('FB7_12_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_1</a>
</td> </td>
<td align="center" width="10%">MC12</td> <td align="center" width="10%">MC12</td>
<td align="center" width="10%">STD</td> <td align="center" width="10%">STD</td>
@ -159,9 +159,9 @@
<td align="center" width="10%">O</td> <td align="center" width="10%">O</td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('iobmES1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/ES&lt;1&gt;</a></td> <td align="center" width="10%"><a href="Javascript:showEqn('ramRS_FSM_FFd2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RS_FSM_FFd2</a></td>
<td align="center" width="10%">3</td> <td align="center" width="10%">13</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB7_13_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_1</a> <a href="Javascript:showPT('FB7_13_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_2</a> <a href="Javascript:showPT('FB7_13_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_3</a> <td align="center" width="30%"> <a href="Javascript:showPT('FB7_12_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_2</a> <a href="Javascript:showPT('FB7_12_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_3</a> <a href="Javascript:showPT('FB7_12_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_4</a> <a href="Javascript:showPT('FB7_12_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_5</a> <a href="Javascript:showPT('FB7_13_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_1</a> <a href="Javascript:showPT('FB7_13_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_2</a> <a href="Javascript:showPT('FB7_13_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_3</a> <a href="Javascript:showPT('FB7_13_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_4</a> <a href="Javascript:showPT('FB7_13_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_5</a> <a href="Javascript:showPT('FB7_14_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_2</a> <a href="Javascript:showPT('FB7_14_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_3</a> <a href="Javascript:showPT('FB7_14_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_4</a> <a href="Javascript:showPT('FB7_14_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_5</a>
</td> </td>
<td align="center" width="10%">MC13</td> <td align="center" width="10%">MC13</td>
<td align="center" width="10%">STD</td> <td align="center" width="10%">STD</td>
@ -170,20 +170,20 @@
<td align="center" width="10%">(b)</td> <td align="center" width="10%">(b)</td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('iobmES0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/ES&lt;0&gt;</a></td> <td align="center" width="10%"><a href="Javascript:showEqn('cntRefCnt7_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/RefCnt&lt;7&gt;</a></td>
<td align="center" width="10%">3</td> <td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB7_14_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_1</a> <a href="Javascript:showPT('FB7_14_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_2</a> <a href="Javascript:showPT('FB7_14_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_3</a> <td align="center" width="30%"> <a href="Javascript:showPT('FB7_14_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_1</a>
</td> </td>
<td align="center" width="10%">MC14</td> <td align="center" width="10%">MC14</td>
<td align="center" width="10%">STD</td> <td align="center" width="10%">STD</td>
<td align="center" width="10%">59</td> <td align="center" width="10%">59</td>
<td width="8%" align="center">I/O</td> <td width="8%" align="center">I/O</td>
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB&lt;9&gt;'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td> <td align="center" width="10%"><a href="#" onmouseover="this._tip = 'nVPA_IOB'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('nADoutLE0')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nADoutLE0</a></td> <td align="center" width="10%"><a href="Javascript:showEqn('RA9_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">RA&lt;9&gt;</a></td>
<td align="center" width="10%">1</td> <td align="center" width="10%">2</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB7_15_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_1</a> <td align="center" width="30%"> <a href="Javascript:showPT('FB7_15_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_1</a> <a href="Javascript:showPT('FB7_15_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_2</a>
</td> </td>
<td align="center" width="10%">MC15</td> <td align="center" width="10%">MC15</td>
<td align="center" width="10%">STD</td> <td align="center" width="10%">STD</td>
@ -192,9 +192,9 @@
<td align="center" width="10%">O</td> <td align="center" width="10%">O</td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('iobmES4_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/ES&lt;4&gt;</a></td> <td align="center" width="10%"><a href="Javascript:showEqn('cntRefCnt6_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/RefCnt&lt;6&gt;</a></td>
<td align="center" width="10%">4</td> <td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB7_16_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_1</a> <a href="Javascript:showPT('FB7_16_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_2</a> <a href="Javascript:showPT('FB7_16_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_3</a> <a href="Javascript:showPT('FB7_16_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_4</a> <td align="center" width="30%"> <a href="Javascript:showPT('FB7_15_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_3</a>
</td> </td>
<td align="center" width="10%">MC16</td> <td align="center" width="10%">MC16</td>
<td align="center" width="10%">STD</td> <td align="center" width="10%">STD</td>
@ -203,23 +203,22 @@
<td align="center" width="10%">(b)</td> <td align="center" width="10%">(b)</td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('nDinLE')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nDinLE</a></td> <td align="center" width="10%"><a href="Javascript:showEqn('ramRAMReady_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RAMReady</a></td>
<td align="center" width="10%">1</td> <td align="center" width="10%">16</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB7_17_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_1</a> <td align="center" width="30%"> <a href="Javascript:showPT('FB7_16_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_1</a> <a href="Javascript:showPT('FB7_16_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_2</a> <a href="Javascript:showPT('FB7_16_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_3</a> <a href="Javascript:showPT('FB7_16_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_4</a> <a href="Javascript:showPT('FB7_16_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_5</a> <a href="Javascript:showPT('FB7_17_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_1</a> <a href="Javascript:showPT('FB7_17_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_2</a> <a href="Javascript:showPT('FB7_17_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_3</a> <a href="Javascript:showPT('FB7_17_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_4</a> <a href="Javascript:showPT('FB7_17_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_5</a> <a href="Javascript:showPT('FB7_18_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_1</a> <a href="Javascript:showPT('FB7_18_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_2</a> <a href="Javascript:showPT('FB7_18_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_3</a> <a href="Javascript:showPT('FB7_18_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_4</a> <a href="Javascript:showPT('FB7_18_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_5</a> <a href="Javascript:showPT('FB7_1_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_2</a>
</td> </td>
<td align="center" width="10%">MC17</td> <td align="center" width="10%">MC17</td>
<td align="center" width="10%">STD</td> <td align="center" width="10%">STD</td>
<td align="center" width="10%">61</td> <td align="center" width="10%">61</td>
<td width="8%" align="center">I/O</td> <td width="8%" align="center">I/O</td>
<td align="center" width="10%">O</td> <td align="center" width="10%"><a href="#" onmouseover="this._tip = 'nWE_FSB'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('iobmES2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/ES&lt;2&gt;</a></td> <td align="center" width="10%">(unused)</td>
<td align="center" width="10%">5</td> <td align="center" width="10%">0</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB7_18_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_1</a> <a href="Javascript:showPT('FB7_18_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_2</a> <a href="Javascript:showPT('FB7_18_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_3</a> <a href="Javascript:showPT('FB7_18_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_4</a> <a href="Javascript:showPT('FB7_18_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_5</a> <td align="center" width="30%"> </td>
</td>
<td align="center" width="10%">MC18</td> <td align="center" width="10%">MC18</td>
<td align="center" width="10%">STD</td> <td align="center" width="10%"> </td>
<td align="center" width="10%"> </td> <td align="center" width="10%"> </td>
<td align="center" width="10%">(b)</td> <td align="center" width="10%">(b)</td>
<td align="center" width="10%">(b)</td> <td align="center" width="10%">(b)</td>
@ -227,28 +226,41 @@
</table></span></div> </table></span></div>
<div id="tipBox"></div> <div id="tipBox"></div>
<br><span id="fbsiguse" class="pgRef"><b>Signals Used By Logic in Function Block</b><br><ol> <br><span id="fbsiguse" class="pgRef"><b>Signals Used By Logic in Function Block</b><br><ol>
<li><a href="Javascript:showEqn('ALE0M')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ALE0M</a></li> <li>A_FSB&lt;15&gt;</li>
<li><a href="Javascript:showEqn('ALE0S')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ALE0S</a></li> <li>A_FSB&lt;16&gt;</li>
<li>A_FSB&lt;17&gt;</li> <li>A_FSB&lt;17&gt;</li>
<li>A_FSB&lt;18&gt;</li> <li>A_FSB&lt;18&gt;</li>
<li>A_FSB&lt;19&gt;</li> <li>A_FSB&lt;19&gt;</li>
<li>A_FSB&lt;20&gt;</li> <li>A_FSB&lt;20&gt;</li>
<li>A_FSB&lt;21&gt;</li>
<li>A_FSB&lt;22&gt;</li>
<li>A_FSB&lt;23&gt;</li>
<li>A_FSB&lt;6&gt;</li>
<li>A_FSB&lt;7&gt;</li>
<li>A_FSB&lt;8&gt;</li> <li>A_FSB&lt;8&gt;</li>
<li>A_FSB&lt;9&gt;</li> <li>A_FSB&lt;9&gt;</li>
<li><a href="Javascript:showEqn('IOREQ')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">IOREQ</a></li> <li><a href="Javascript:showEqn('cntRefCnt0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/RefCnt&lt;0&gt;</a></li>
<li><a href="Javascript:showEqn('iobmES0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/ES&lt;0&gt;</a></li> <li><a href="Javascript:showEqn('cntRefCnt1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/RefCnt&lt;1&gt;</a></li>
<li><a href="Javascript:showEqn('iobmES1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/ES&lt;1&gt;</a></li> <li><a href="Javascript:showEqn('cntRefCnt2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/RefCnt&lt;2&gt;</a></li>
<li><a href="Javascript:showEqn('iobmES2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/ES&lt;2&gt;</a></li> <li><a href="Javascript:showEqn('cntRefCnt3_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/RefCnt&lt;3&gt;</a></li>
<li><a href="Javascript:showEqn('iobmES3_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/ES&lt;3&gt;</a></li> <li><a href="Javascript:showEqn('cntRefCnt4_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/RefCnt&lt;4&gt;</a></li>
<li><a href="Javascript:showEqn('iobmES4_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/ES&lt;4&gt;</a></li> <li><a href="Javascript:showEqn('cntRefCnt5_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/RefCnt&lt;5&gt;</a></li>
<li><a href="Javascript:showEqn('iobmEr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/Er</a></li> <li><a href="Javascript:showEqn('cntRefCnt6_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/RefCnt&lt;6&gt;</a></li>
<li><a href="Javascript:showEqn('iobmEr2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/Er2</a></li> <li><a href="Javascript:showEqn('cntRefCnt7_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/RefCnt&lt;7&gt;</a></li>
<li><a href="Javascript:showEqn('iobmIOS_FSM_FFd3_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOS_FSM_FFd3</a></li> <li><a href="Javascript:showEqn('cntRefDone_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/RefDone</a></li>
<li><a href="Javascript:showEqn('iobmIOS_FSM_FFd4_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOS_FSM_FFd4</a></li> <li><a href="Javascript:showEqn('csnOverlay0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cs/nOverlay0</a></li>
<li>nDTACK_IOB</li> <li><a href="Javascript:showEqn('csnOverlay1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cs/nOverlay1</a></li>
<li>nRES</li> <li><a href="Javascript:showEqn('fsbASrf_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">fsb/ASrf</a></li>
<li>nVPA_IOB</li> <li><a href="Javascript:showEqn('fsbReady0r_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">fsb/Ready0r</a></li>
<li>nAS_FSB</li>
<li><a href="Javascript:showEqn('ramBACTr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/BACTr</a></li>
<li><a href="Javascript:showEqn('ramOnce_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/Once</a></li>
<li><a href="Javascript:showEqn('ramRAMDIS2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RAMDIS2</a></li>
<li><a href="Javascript:showEqn('ramRAMReady_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RAMReady</a></li>
<li><a href="Javascript:showEqn('ramRASEL_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RASEL</a></li> <li><a href="Javascript:showEqn('ramRASEL_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RASEL</a></li>
<li><a href="Javascript:showEqn('ramRS_FSM_FFd1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RS_FSM_FFd1</a></li>
<li><a href="Javascript:showEqn('ramRS_FSM_FFd2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RS_FSM_FFd2</a></li>
<li><a href="Javascript:showEqn('ramRS_FSM_FFd3_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RS_FSM_FFd3</a></li>
</ol></span><form><span class="pgRef"><table width="90%" align="center"><tr> </ol></span><form><span class="pgRef"><table width="90%" align="center"><tr>
<td align="left"><input type="button" onclick="javascript:parent.leftnav.showTop()" onmouseover="window.status='goto top of page'; return true;" onmouseout="window.status=''" value="back to top"></td> <td align="left"><input type="button" onclick="javascript:parent.leftnav.showTop()" onmouseover="window.status='goto top of page'; return true;" onmouseout="window.status=''" value="back to top"></td>
<td align="center"><table align="center" width="90%" border="0" cellpadding="0" cellspacing="0"><tr><td width="100%" align="center"> <td align="center"><table align="center" width="90%" border="0" cellpadding="0" cellspacing="0"><tr><td width="100%" align="center">

View File

@ -27,20 +27,19 @@
<th width="10%">Pin Use</th> <th width="10%">Pin Use</th>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('fsbVPA_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">fsb/VPA</a></td> <td align="center" width="10%">(unused)</td>
<td align="center" width="10%">14</td> <td align="center" width="10%">0</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB8_18_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_2</a> <a href="Javascript:showPT('FB8_18_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_3</a> <a href="Javascript:showPT('FB8_18_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_4</a> <a href="Javascript:showPT('FB8_18_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_5</a> <a href="Javascript:showPT('FB8_1_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_1</a> <a href="Javascript:showPT('FB8_1_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_2</a> <a href="Javascript:showPT('FB8_1_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_3</a> <a href="Javascript:showPT('FB8_1_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_4</a> <a href="Javascript:showPT('FB8_1_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_5</a> <a href="Javascript:showPT('FB8_2_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_1</a> <a href="Javascript:showPT('FB8_2_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_2</a> <a href="Javascript:showPT('FB8_2_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_3</a> <a href="Javascript:showPT('FB8_2_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_4</a> <a href="Javascript:showPT('FB8_2_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_5</a> <td align="center" width="30%"> </td>
</td>
<td align="center" width="10%">MC1</td> <td align="center" width="10%">MC1</td>
<td align="center" width="10%">STD</td> <td align="center" width="10%"> </td>
<td align="center" width="10%"> </td> <td align="center" width="10%"> </td>
<td align="center" width="10%">(b)</td> <td align="center" width="10%">(b)</td>
<td align="center" width="10%">(b)</td> <td align="center" width="10%"> </td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('nBERR_FSB')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nBERR_FSB</a></td> <td align="center" width="10%"><a href="Javascript:showEqn('RA11_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">RA&lt;11&gt;</a></td>
<td align="center" width="10%">4</td> <td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB8_3_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_2</a> <a href="Javascript:showPT('FB8_3_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_3</a> <a href="Javascript:showPT('FB8_3_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_4</a> <a href="Javascript:showPT('FB8_3_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_5</a> <td align="center" width="30%"> <a href="Javascript:showPT('FB8_2_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_1</a>
</td> </td>
<td align="center" width="10%">MC2</td> <td align="center" width="10%">MC2</td>
<td align="center" width="10%">STD</td> <td align="center" width="10%">STD</td>
@ -49,42 +48,39 @@
<td align="center" width="10%">O</td> <td align="center" width="10%">O</td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('cntRefCnt6_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/RefCnt&lt;6&gt;</a></td> <td align="center" width="10%">(unused)</td>
<td align="center" width="10%">1</td> <td align="center" width="10%">0</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB8_3_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_1</a> <td align="center" width="30%"> </td>
</td>
<td align="center" width="10%">MC3</td> <td align="center" width="10%">MC3</td>
<td align="center" width="10%">STD</td> <td align="center" width="10%"> </td>
<td align="center" width="10%"> </td> <td align="center" width="10%"> </td>
<td align="center" width="10%">(b)</td> <td align="center" width="10%">(b)</td>
<td align="center" width="10%">(b)</td> <td align="center" width="10%"> </td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('cntRefCnt5_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/RefCnt&lt;5&gt;</a></td> <td align="center" width="10%">(unused)</td>
<td align="center" width="10%">1</td> <td align="center" width="10%">0</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB8_4_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">4_1</a> <td align="center" width="30%"> </td>
</td>
<td align="center" width="10%">MC4</td> <td align="center" width="10%">MC4</td>
<td align="center" width="10%">STD</td> <td align="center" width="10%"> </td>
<td align="center" width="10%"> </td> <td align="center" width="10%"> </td>
<td align="center" width="10%">(b)</td> <td align="center" width="10%">(b)</td>
<td align="center" width="10%">(b)</td> <td align="center" width="10%"> </td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('cntRefCnt4_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/RefCnt&lt;4&gt;</a></td> <td align="center" width="10%">(unused)</td>
<td align="center" width="10%">1</td> <td align="center" width="10%">0</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB8_5_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">5_1</a> <td align="center" width="30%"> </td>
</td>
<td align="center" width="10%">MC5</td> <td align="center" width="10%">MC5</td>
<td align="center" width="10%">STD</td> <td align="center" width="10%"> </td>
<td align="center" width="10%">64</td> <td align="center" width="10%">64</td>
<td width="8%" align="center">I/O</td> <td width="8%" align="center">I/O</td>
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB&lt;12&gt;'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td> <td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB&lt;8&gt;'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('RA2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">RA&lt;2&gt;</a></td> <td align="center" width="10%"><a href="Javascript:showEqn('RA10_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">RA&lt;10&gt;</a></td>
<td align="center" width="10%">2</td> <td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB8_6_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">6_1</a> <a href="Javascript:showPT('FB8_6_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">6_2</a> <td align="center" width="30%"> <a href="Javascript:showPT('FB8_6_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">6_1</a>
</td> </td>
<td align="center" width="10%">MC6</td> <td align="center" width="10%">MC6</td>
<td align="center" width="10%">STD</td> <td align="center" width="10%">STD</td>
@ -93,31 +89,29 @@
<td align="center" width="10%">O</td> <td align="center" width="10%">O</td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('fsbBERR1r_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">fsb/BERR1r</a></td> <td align="center" width="10%">(unused)</td>
<td align="center" width="10%">2</td> <td align="center" width="10%">0</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB8_7_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_1</a> <a href="Javascript:showPT('FB8_7_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_2</a> <td align="center" width="30%"> </td>
</td>
<td align="center" width="10%">MC7</td> <td align="center" width="10%">MC7</td>
<td align="center" width="10%">STD</td> <td align="center" width="10%"> </td>
<td align="center" width="10%"> </td> <td align="center" width="10%"> </td>
<td align="center" width="10%">(b)</td> <td align="center" width="10%">(b)</td>
<td align="center" width="10%">(b)</td> <td align="center" width="10%"> </td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('csnOverlay1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cs/nOverlay1</a></td> <td align="center" width="10%">(unused)</td>
<td align="center" width="10%">2</td> <td align="center" width="10%">0</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB8_8_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_1</a> <a href="Javascript:showPT('FB8_8_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_2</a> <td align="center" width="30%"> </td>
</td>
<td align="center" width="10%">MC8</td> <td align="center" width="10%">MC8</td>
<td align="center" width="10%">STD</td> <td align="center" width="10%"> </td>
<td align="center" width="10%">66</td> <td align="center" width="10%">66</td>
<td width="8%" align="center">I/O</td> <td width="8%" align="center">I/O</td>
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'nWE_FSB'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td> <td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB&lt;23&gt;'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('RA6_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">RA&lt;6&gt;</a></td> <td align="center" width="10%"><a href="Javascript:showEqn('nADoutLE0')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nADoutLE0</a></td>
<td align="center" width="10%">2</td> <td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB8_9_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_1</a> <a href="Javascript:showPT('FB8_9_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_2</a> <td align="center" width="30%"> <a href="Javascript:showPT('FB8_9_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_1</a>
</td> </td>
<td align="center" width="10%">MC9</td> <td align="center" width="10%">MC9</td>
<td align="center" width="10%">STD</td> <td align="center" width="10%">STD</td>
@ -126,31 +120,29 @@
<td align="center" width="10%">O</td> <td align="center" width="10%">O</td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('csnOverlay0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cs/nOverlay0</a></td> <td align="center" width="10%">(unused)</td>
<td align="center" width="10%">2</td> <td align="center" width="10%">0</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB8_10_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_1</a> <a href="Javascript:showPT('FB8_10_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_2</a> <td align="center" width="30%"> </td>
</td>
<td align="center" width="10%">MC10</td> <td align="center" width="10%">MC10</td>
<td align="center" width="10%">STD</td> <td align="center" width="10%"> </td>
<td align="center" width="10%"> </td> <td align="center" width="10%"> </td>
<td align="center" width="10%">(b)</td> <td align="center" width="10%">(b)</td>
<td align="center" width="10%">(b)</td> <td align="center" width="10%"> </td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('cntRefDone_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/RefDone</a></td> <td align="center" width="10%">(unused)</td>
<td align="center" width="10%">2</td> <td align="center" width="10%">0</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB8_11_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">11_1</a> <a href="Javascript:showPT('FB8_11_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">11_2</a> <td align="center" width="30%"> </td>
</td>
<td align="center" width="10%">MC11</td> <td align="center" width="10%">MC11</td>
<td align="center" width="10%">STD</td> <td align="center" width="10%"> </td>
<td align="center" width="10%">68</td> <td align="center" width="10%">68</td>
<td width="8%" align="center">I/O</td> <td width="8%" align="center">I/O</td>
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB&lt;10&gt;'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td> <td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB&lt;21&gt;'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('RA10_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">RA&lt;10&gt;</a></td> <td align="center" width="10%"><a href="Javascript:showEqn('nAoutOE')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nAoutOE</a></td>
<td align="center" width="10%">1</td> <td align="center" width="10%">0</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB8_12_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_1</a> <td align="center" width="30%"> <a href="Javascript:showPT('GND')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''"></a>
</td> </td>
<td align="center" width="10%">MC12</td> <td align="center" width="10%">MC12</td>
<td align="center" width="10%">STD</td> <td align="center" width="10%">STD</td>
@ -159,31 +151,29 @@
<td align="center" width="10%">O</td> <td align="center" width="10%">O</td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('fsbReady0r_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">fsb/Ready0r</a></td> <td align="center" width="10%">(unused)</td>
<td align="center" width="10%">3</td> <td align="center" width="10%">0</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB8_13_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_1</a> <a href="Javascript:showPT('FB8_13_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_2</a> <a href="Javascript:showPT('FB8_13_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_3</a> <td align="center" width="30%"> </td>
</td>
<td align="center" width="10%">MC13</td> <td align="center" width="10%">MC13</td>
<td align="center" width="10%">STD</td> <td align="center" width="10%"> </td>
<td align="center" width="10%"> </td> <td align="center" width="10%"> </td>
<td align="center" width="10%">(b)</td> <td align="center" width="10%">(b)</td>
<td align="center" width="10%">(b)</td> <td align="center" width="10%"> </td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('TimeoutB')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">TimeoutB</a></td> <td align="center" width="10%">(unused)</td>
<td align="center" width="10%">3</td> <td align="center" width="10%">0</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB8_14_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_1</a> <a href="Javascript:showPT('FB8_14_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_2</a> <a href="Javascript:showPT('FB8_14_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_3</a> <td align="center" width="30%"> </td>
</td>
<td align="center" width="10%">MC14</td> <td align="center" width="10%">MC14</td>
<td align="center" width="10%">STD</td> <td align="center" width="10%"> </td>
<td align="center" width="10%">71</td> <td align="center" width="10%">71</td>
<td width="8%" align="center">I/O</td> <td width="8%" align="center">I/O</td>
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'nLDS_FSB'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td> <td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB&lt;20&gt;'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('nAoutOE')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nAoutOE</a></td> <td align="center" width="10%"><a href="Javascript:showEqn('nDinLE')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nDinLE</a></td>
<td align="center" width="10%">0</td> <td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('GND')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''"></a> <td align="center" width="30%"> <a href="Javascript:showPT('FB8_15_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_1</a>
</td> </td>
<td align="center" width="10%">MC15</td> <td align="center" width="10%">MC15</td>
<td align="center" width="10%">STD</td> <td align="center" width="10%">STD</td>
@ -192,29 +182,28 @@
<td align="center" width="10%">O</td> <td align="center" width="10%">O</td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('ramRS_FSM_FFd3_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RS_FSM_FFd3</a></td> <td align="center" width="10%">(unused)</td>
<td align="center" width="10%">11</td> <td align="center" width="10%">0</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB8_15_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_1</a> <a href="Javascript:showPT('FB8_15_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_2</a> <a href="Javascript:showPT('FB8_15_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_3</a> <a href="Javascript:showPT('FB8_15_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_4</a> <a href="Javascript:showPT('FB8_16_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_1</a> <a href="Javascript:showPT('FB8_16_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_2</a> <a href="Javascript:showPT('FB8_16_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_3</a> <a href="Javascript:showPT('FB8_16_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_4</a> <a href="Javascript:showPT('FB8_16_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_5</a> <a href="Javascript:showPT('FB8_17_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_4</a> <a href="Javascript:showPT('FB8_17_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_5</a> <td align="center" width="30%"> </td>
</td>
<td align="center" width="10%">MC16</td> <td align="center" width="10%">MC16</td>
<td align="center" width="10%">STD</td> <td align="center" width="10%"> </td>
<td align="center" width="10%"> </td> <td align="center" width="10%"> </td>
<td align="center" width="10%">(b)</td> <td align="center" width="10%">(b)</td>
<td align="center" width="10%">(b)</td> <td align="center" width="10%"> </td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('TimeoutA')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">TimeoutA</a></td> <td align="center" width="10%"><a href="Javascript:showEqn('iobmIOS_FSM_FFd1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOS_FSM_FFd1</a></td>
<td align="center" width="10%">3</td> <td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB8_17_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_1</a> <a href="Javascript:showPT('FB8_17_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_2</a> <a href="Javascript:showPT('FB8_17_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_3</a> <td align="center" width="30%"> <a href="Javascript:showPT('FB8_17_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_1</a>
</td> </td>
<td align="center" width="10%">MC17</td> <td align="center" width="10%">MC17</td>
<td align="center" width="10%">STD</td> <td align="center" width="10%">STD</td>
<td align="center" width="10%">73</td> <td align="center" width="10%">73</td>
<td width="8%" align="center">I/O</td> <td width="8%" align="center">I/O</td>
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'nAS_FSB'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td> <td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB&lt;19&gt;'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('cntRefCnt7_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/RefCnt&lt;7&gt;</a></td> <td align="center" width="10%"><a href="Javascript:showEqn('iobmEr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/Er</a></td>
<td align="center" width="10%">1</td> <td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB8_18_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_1</a> <td align="center" width="30%"> <a href="Javascript:showPT('FB8_18_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_1</a>
</td> </td>
@ -227,42 +216,14 @@
</table></span></div> </table></span></div>
<div id="tipBox"></div> <div id="tipBox"></div>
<br><span id="fbsiguse" class="pgRef"><b>Signals Used By Logic in Function Block</b><br><ol> <br><span id="fbsiguse" class="pgRef"><b>Signals Used By Logic in Function Block</b><br><ol>
<li><a href="Javascript:showEqn('OpTxINV223_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">$OpTx$INV$223</a></li> <li><a href="Javascript:showEqn('ALE0M')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ALE0M</a></li>
<li>A_FSB&lt;12&gt;</li> <li><a href="Javascript:showEqn('ALE0S')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ALE0S</a></li>
<li>A_FSB&lt;16&gt;</li> <li>A_FSB&lt;19&gt;</li>
<li>A_FSB&lt;20&gt;</li>
<li>A_FSB&lt;21&gt;</li> <li>A_FSB&lt;21&gt;</li>
<li>A_FSB&lt;22&gt;</li> <li>E_IOB</li>
<li>A_FSB&lt;23&gt;</li> <li><a href="Javascript:showEqn('iobmIOS_FSM_FFd2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOS_FSM_FFd2</a></li>
<li>A_FSB&lt;3&gt;</li> <li><a href="Javascript:showEqn('iobmIOS_FSM_FFd3_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOS_FSM_FFd3</a></li>
<li>A_FSB&lt;7&gt;</li> <li><a href="Javascript:showEqn('iobmIOS_FSM_FFd4_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOS_FSM_FFd4</a></li>
<li><a href="Javascript:showEqn('BERR_IOBS')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">BERR_IOBS</a></li>
<li><a href="Javascript:showEqn('RefAck')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">RefAck</a></li>
<li><a href="Javascript:showEqn('TimeoutA')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">TimeoutA</a></li>
<li><a href="Javascript:showEqn('TimeoutB')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">TimeoutB</a></li>
<li><a href="Javascript:showEqn('cntRefCnt0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/RefCnt&lt;0&gt;</a></li>
<li><a href="Javascript:showEqn('cntRefCnt1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/RefCnt&lt;1&gt;</a></li>
<li><a href="Javascript:showEqn('cntRefCnt2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/RefCnt&lt;2&gt;</a></li>
<li><a href="Javascript:showEqn('cntRefCnt3_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/RefCnt&lt;3&gt;</a></li>
<li><a href="Javascript:showEqn('cntRefCnt4_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/RefCnt&lt;4&gt;</a></li>
<li><a href="Javascript:showEqn('cntRefCnt5_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/RefCnt&lt;5&gt;</a></li>
<li><a href="Javascript:showEqn('cntRefCnt6_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/RefCnt&lt;6&gt;</a></li>
<li><a href="Javascript:showEqn('cntRefCnt7_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/RefCnt&lt;7&gt;</a></li>
<li><a href="Javascript:showEqn('cntRefDone_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/RefDone</a></li>
<li><a href="Javascript:showEqn('csnOverlay0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cs/nOverlay0</a></li>
<li><a href="Javascript:showEqn('csnOverlay1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cs/nOverlay1</a></li>
<li><a href="Javascript:showEqn('fsbASrf_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">fsb/ASrf</a></li>
<li><a href="Javascript:showEqn('fsbBERR0r_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">fsb/BERR0r</a></li>
<li><a href="Javascript:showEqn('fsbBERR1r_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">fsb/BERR1r</a></li>
<li><a href="Javascript:showEqn('fsbReady0r_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">fsb/Ready0r</a></li>
<li><a href="Javascript:showEqn('fsbVPA_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">fsb/VPA</a></li>
<li>nAS_FSB</li>
<li><a href="Javascript:showEqn('ramOnce_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/Once</a></li>
<li><a href="Javascript:showEqn('ramRAMReady_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RAMReady</a></li>
<li><a href="Javascript:showEqn('ramRASEL_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RASEL</a></li>
<li><a href="Javascript:showEqn('ramRS_FSM_FFd1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RS_FSM_FFd1</a></li>
<li><a href="Javascript:showEqn('ramRS_FSM_FFd2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RS_FSM_FFd2</a></li>
<li><a href="Javascript:showEqn('ramRS_FSM_FFd3_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RS_FSM_FFd3</a></li>
</ol></span><form><span class="pgRef"><table width="90%" align="center"><tr> </ol></span><form><span class="pgRef"><table width="90%" align="center"><tr>
<td align="left"><input type="button" onclick="javascript:parent.leftnav.showTop()" onmouseover="window.status='goto top of page'; return true;" onmouseout="window.status=''" value="back to top"></td> <td align="left"><input type="button" onclick="javascript:parent.leftnav.showTop()" onmouseover="window.status='goto top of page'; return true;" onmouseout="window.status=''" value="back to top"></td>
<td align="center"><table align="center" width="90%" border="0" cellpadding="0" cellspacing="0"><tr><td width="100%" align="center"><input type="button" onclick="javascript:showFB('FB7')" onmouseover="window.status='show previous Function Block'; return true;" onmouseout="window.status=''" value="prev"></td></tr></table></td> <td align="center"><table align="center" width="90%" border="0" cellpadding="0" cellspacing="0"><tr><td width="100%" align="center"><input type="button" onclick="javascript:showFB('FB7')" onmouseover="window.status='show previous Function Block'; return true;" onmouseout="window.status=''" value="prev"></td></tr></table></td>

View File

@ -16,54 +16,6 @@
</tr> </tr>
<tr> <tr>
<td width="60%">A_FSB&lt;10&gt;</td> <td width="60%">A_FSB&lt;10&gt;</td>
<td align="center"><a href="javascript:showFB('FB8')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB8</a></td>
<td align="center">MC11</td>
<td align="center">68</td>
<td align="center">I/O</td>
<td align="center">I</td>
</tr>
<tr>
<td width="60%">A_FSB&lt;11&gt;</td>
<td align="center"><a href="javascript:showFB('FB1')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB1</a></td>
<td align="center">MC2</td>
<td align="center">11</td>
<td align="center">I/O</td>
<td align="center">I</td>
</tr>
<tr>
<td width="60%">A_FSB&lt;12&gt;</td>
<td align="center"><a href="javascript:showFB('FB8')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB8</a></td>
<td align="center">MC5</td>
<td align="center">64</td>
<td align="center">I/O</td>
<td align="center">I</td>
</tr>
<tr>
<td width="60%">A_FSB&lt;13&gt;</td>
<td align="center"><a href="javascript:showFB('FB1')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB1</a></td>
<td align="center">MC5</td>
<td align="center">13</td>
<td align="center">I/O</td>
<td align="center">I</td>
</tr>
<tr>
<td width="60%">A_FSB&lt;14&gt;</td>
<td align="center"><a href="javascript:showFB('FB1')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB1</a></td>
<td align="center">MC6</td>
<td align="center">14</td>
<td align="center">I/O</td>
<td align="center">I</td>
</tr>
<tr>
<td width="60%">A_FSB&lt;15&gt;</td>
<td align="center"><a href="javascript:showFB('FB1')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB1</a></td>
<td align="center">MC8</td>
<td align="center">15</td>
<td align="center">I/O</td>
<td align="center">I</td>
</tr>
<tr>
<td width="60%">A_FSB&lt;16&gt;</td>
<td align="center"><a href="javascript:showFB('FB4')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB4</a></td> <td align="center"><a href="javascript:showFB('FB4')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB4</a></td>
<td align="center">MC5</td> <td align="center">MC5</td>
<td align="center">89</td> <td align="center">89</td>
@ -71,15 +23,15 @@
<td align="center">I</td> <td align="center">I</td>
</tr> </tr>
<tr> <tr>
<td width="60%">A_FSB&lt;17&gt;</td> <td width="60%">A_FSB&lt;11&gt;</td>
<td align="center"><a href="javascript:showFB('FB4')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB4</a></td> <td align="center"><a href="javascript:showFB('FB6')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB6</a></td>
<td align="center">MC6</td> <td align="center">MC17</td>
<td align="center">90</td> <td align="center">86</td>
<td align="center">I/O</td> <td align="center">I/O</td>
<td align="center">I</td> <td align="center">I</td>
</tr> </tr>
<tr> <tr>
<td width="60%">A_FSB&lt;18&gt;</td> <td width="60%">A_FSB&lt;12&gt;</td>
<td align="center"><a href="javascript:showFB('FB4')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB4</a></td> <td align="center"><a href="javascript:showFB('FB4')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB4</a></td>
<td align="center">MC9</td> <td align="center">MC9</td>
<td align="center">92</td> <td align="center">92</td>
@ -87,7 +39,7 @@
<td align="center">I</td> <td align="center">I</td>
</tr> </tr>
<tr> <tr>
<td width="60%">A_FSB&lt;19&gt;</td> <td width="60%">A_FSB&lt;13&gt;</td>
<td align="center"><a href="javascript:showFB('FB6')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB6</a></td> <td align="center"><a href="javascript:showFB('FB6')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB6</a></td>
<td align="center">MC14</td> <td align="center">MC14</td>
<td align="center">82</td> <td align="center">82</td>
@ -95,15 +47,7 @@
<td align="center">I</td> <td align="center">I</td>
</tr> </tr>
<tr> <tr>
<td width="60%">A_FSB&lt;1&gt;</td> <td width="60%">A_FSB&lt;14&gt;</td>
<td align="center"><a href="javascript:showFB('FB1')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB1</a></td>
<td align="center">MC11</td>
<td align="center">17</td>
<td align="center">I/O</td>
<td align="center">I</td>
</tr>
<tr>
<td width="60%">A_FSB&lt;20&gt;</td>
<td align="center"><a href="javascript:showFB('FB4')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB4</a></td> <td align="center"><a href="javascript:showFB('FB4')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB4</a></td>
<td align="center">MC11</td> <td align="center">MC11</td>
<td align="center">93</td> <td align="center">93</td>
@ -111,7 +55,7 @@
<td align="center">I</td> <td align="center">I</td>
</tr> </tr>
<tr> <tr>
<td width="60%">A_FSB&lt;21&gt;</td> <td width="60%">A_FSB&lt;15&gt;</td>
<td align="center"><a href="javascript:showFB('FB6')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB6</a></td> <td align="center"><a href="javascript:showFB('FB6')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB6</a></td>
<td align="center">MC11</td> <td align="center">MC11</td>
<td align="center">80</td> <td align="center">80</td>
@ -119,7 +63,7 @@
<td align="center">I</td> <td align="center">I</td>
</tr> </tr>
<tr> <tr>
<td width="60%">A_FSB&lt;22&gt;</td> <td width="60%">A_FSB&lt;16&gt;</td>
<td align="center"><a href="javascript:showFB('FB4')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB4</a></td> <td align="center"><a href="javascript:showFB('FB4')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB4</a></td>
<td align="center">MC14</td> <td align="center">MC14</td>
<td align="center">95</td> <td align="center">95</td>
@ -127,7 +71,15 @@
<td align="center">I</td> <td align="center">I</td>
</tr> </tr>
<tr> <tr>
<td width="60%">A_FSB&lt;23&gt;</td> <td width="60%">A_FSB&lt;17&gt;</td>
<td align="center"><a href="javascript:showFB('FB4')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB4</a></td>
<td align="center">MC15</td>
<td align="center">96</td>
<td align="center">I/O</td>
<td align="center">I</td>
</tr>
<tr>
<td width="60%">A_FSB&lt;18&gt;</td>
<td align="center"><a href="javascript:showFB('FB6')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB6</a></td> <td align="center"><a href="javascript:showFB('FB6')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB6</a></td>
<td align="center">MC5</td> <td align="center">MC5</td>
<td align="center">76</td> <td align="center">76</td>
@ -135,10 +87,58 @@
<td align="center">I</td> <td align="center">I</td>
</tr> </tr>
<tr> <tr>
<td width="60%">A_FSB&lt;19&gt;</td>
<td align="center"><a href="javascript:showFB('FB8')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB8</a></td>
<td align="center">MC17</td>
<td align="center">73</td>
<td align="center">I/O</td>
<td align="center">I</td>
</tr>
<tr>
<td width="60%">A_FSB&lt;1&gt;</td>
<td align="center"><a href="javascript:showFB('FB4')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB4</a></td>
<td align="center">MC6</td>
<td align="center">90</td>
<td align="center">I/O</td>
<td align="center">I</td>
</tr>
<tr>
<td width="60%">A_FSB&lt;20&gt;</td>
<td align="center"><a href="javascript:showFB('FB8')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB8</a></td>
<td align="center">MC14</td>
<td align="center">71</td>
<td align="center">I/O</td>
<td align="center">I</td>
</tr>
<tr>
<td width="60%">A_FSB&lt;21&gt;</td>
<td align="center"><a href="javascript:showFB('FB8')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB8</a></td>
<td align="center">MC11</td>
<td align="center">68</td>
<td align="center">I/O</td>
<td align="center">I</td>
</tr>
<tr>
<td width="60%">A_FSB&lt;22&gt;</td>
<td align="center"><a href="javascript:showFB('FB2')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB2</a></td>
<td align="center">MC15</td>
<td align="center">9</td>
<td align="center">I/O</td>
<td align="center">I</td>
</tr>
<tr>
<td width="60%">A_FSB&lt;23&gt;</td>
<td align="center"><a href="javascript:showFB('FB8')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB8</a></td>
<td align="center">MC8</td>
<td align="center">66</td>
<td align="center">I/O</td>
<td align="center">I</td>
</tr>
<tr>
<td width="60%">A_FSB&lt;2&gt;</td> <td width="60%">A_FSB&lt;2&gt;</td>
<td align="center"><a href="javascript:showFB('FB1')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB1</a></td> <td align="center"><a href="javascript:showFB('FB1')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB1</a></td>
<td align="center">MC12</td> <td align="center">MC9</td>
<td align="center">18</td> <td align="center">16</td>
<td align="center">I/O</td> <td align="center">I/O</td>
<td align="center">I</td> <td align="center">I</td>
</tr> </tr>
@ -153,16 +153,16 @@
<tr> <tr>
<td width="60%">A_FSB&lt;4&gt;</td> <td width="60%">A_FSB&lt;4&gt;</td>
<td align="center"><a href="javascript:showFB('FB7')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB7</a></td> <td align="center"><a href="javascript:showFB('FB7')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB7</a></td>
<td align="center">MC8</td> <td align="center">MC11</td>
<td align="center">54</td> <td align="center">56</td>
<td align="center">I/O</td> <td align="center">I/O</td>
<td align="center">I</td> <td align="center">I</td>
</tr> </tr>
<tr> <tr>
<td width="60%">A_FSB&lt;5&gt;</td> <td width="60%">A_FSB&lt;5&gt;</td>
<td align="center"><a href="javascript:showFB('FB3')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB3</a></td> <td align="center"><a href="javascript:showFB('FB1')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB1</a></td>
<td align="center">MC6</td> <td align="center">MC8</td>
<td align="center">25</td> <td align="center">15</td>
<td align="center">I/O</td> <td align="center">I/O</td>
<td align="center">I</td> <td align="center">I</td>
</tr> </tr>
@ -176,25 +176,25 @@
</tr> </tr>
<tr> <tr>
<td width="60%">A_FSB&lt;7&gt;</td> <td width="60%">A_FSB&lt;7&gt;</td>
<td align="center"><a href="javascript:showFB('FB4')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB4</a></td> <td align="center"><a href="javascript:showFB('FB1')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB1</a></td>
<td align="center">MC15</td> <td align="center">MC15</td>
<td align="center">96</td> <td align="center">20</td>
<td align="center">I/O</td> <td align="center">I/O</td>
<td align="center">I</td> <td align="center">I</td>
</tr> </tr>
<tr> <tr>
<td width="60%">A_FSB&lt;8&gt;</td> <td width="60%">A_FSB&lt;8&gt;</td>
<td align="center"><a href="javascript:showFB('FB7')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB7</a></td> <td align="center"><a href="javascript:showFB('FB8')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB8</a></td>
<td align="center">MC5</td> <td align="center">MC5</td>
<td align="center">52</td> <td align="center">64</td>
<td align="center">I/O</td> <td align="center">I/O</td>
<td align="center">I</td> <td align="center">I</td>
</tr> </tr>
<tr> <tr>
<td width="60%">A_FSB&lt;9&gt;</td> <td width="60%">A_FSB&lt;9&gt;</td>
<td align="center"><a href="javascript:showFB('FB7')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB7</a></td> <td align="center"><a href="javascript:showFB('FB1')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB1</a></td>
<td align="center">MC14</td> <td align="center">MC3</td>
<td align="center">59</td> <td align="center">12</td>
<td align="center">I/O</td> <td align="center">I/O</td>
<td align="center">I</td> <td align="center">I</td>
</tr> </tr>
@ -225,40 +225,40 @@
<tr> <tr>
<td width="60%">E_IOB</td> <td width="60%">E_IOB</td>
<td align="center"><a href="javascript:showFB('FB5')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB5</a></td> <td align="center"><a href="javascript:showFB('FB5')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB5</a></td>
<td align="center">MC15</td>
<td align="center">46</td>
<td align="center">I/O</td>
<td align="center">I</td>
</tr>
<tr>
<td width="60%">nAS_FSB</td>
<td align="center"><a href="javascript:showFB('FB8')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB8</a></td>
<td align="center">MC17</td>
<td align="center">73</td>
<td align="center">I/O</td>
<td align="center">I</td>
</tr>
<tr>
<td width="60%">nBERR_IOB</td>
<td align="center"><a href="javascript:showFB('FB7')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB7</a></td>
<td align="center">MC11</td>
<td align="center">56</td>
<td align="center">I/O</td>
<td align="center">I</td>
</tr>
<tr>
<td width="60%">nDTACK_IOB</td>
<td align="center"><a href="javascript:showFB('FB5')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB5</a></td>
<td align="center">MC17</td> <td align="center">MC17</td>
<td align="center">49</td> <td align="center">49</td>
<td align="center">I/O</td> <td align="center">I/O</td>
<td align="center">I</td> <td align="center">I</td>
</tr> </tr>
<tr> <tr>
<td width="60%">nAS_FSB</td>
<td align="center"><a href="javascript:showFB('FB1')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB1</a></td>
<td align="center">MC5</td>
<td align="center">13</td>
<td align="center">I/O</td>
<td align="center">I</td>
</tr>
<tr>
<td width="60%">nBERR_IOB</td>
<td align="center"><a href="javascript:showFB('FB1')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB1</a></td>
<td align="center">MC12</td>
<td align="center">18</td>
<td align="center">I/O</td>
<td align="center">I</td>
</tr>
<tr>
<td width="60%">nDTACK_IOB</td>
<td align="center"><a href="javascript:showFB('FB7')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB7</a></td>
<td align="center">MC8</td>
<td align="center">54</td>
<td align="center">I/O</td>
<td align="center">I</td>
</tr>
<tr>
<td width="60%">nLDS_FSB</td> <td width="60%">nLDS_FSB</td>
<td align="center"><a href="javascript:showFB('FB8')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB8</a></td> <td align="center"><a href="javascript:showFB('FB3')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB3</a></td>
<td align="center">MC14</td> <td align="center">MC6</td>
<td align="center">71</td> <td align="center">25</td>
<td align="center">I/O</td> <td align="center">I/O</td>
<td align="center">I</td> <td align="center">I</td>
</tr> </tr>
@ -272,25 +272,25 @@
</tr> </tr>
<tr> <tr>
<td width="60%">nUDS_FSB</td> <td width="60%">nUDS_FSB</td>
<td align="center"><a href="javascript:showFB('FB1')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB1</a></td> <td align="center"><a href="javascript:showFB('FB7')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB7</a></td>
<td align="center">MC15</td> <td align="center">MC5</td>
<td align="center">20</td> <td align="center">52</td>
<td align="center">I/O</td> <td align="center">I/O</td>
<td align="center">I</td> <td align="center">I</td>
</tr> </tr>
<tr> <tr>
<td width="60%">nVPA_IOB</td> <td width="60%">nVPA_IOB</td>
<td align="center"><a href="javascript:showFB('FB3')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB3</a></td> <td align="center"><a href="javascript:showFB('FB7')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB7</a></td>
<td align="center">MC9</td> <td align="center">MC14</td>
<td align="center">28</td> <td align="center">59</td>
<td align="center">I/O</td> <td align="center">I/O</td>
<td align="center">I</td> <td align="center">I</td>
</tr> </tr>
<tr> <tr>
<td width="60%">nWE_FSB</td> <td width="60%">nWE_FSB</td>
<td align="center"><a href="javascript:showFB('FB8')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB8</a></td> <td align="center"><a href="javascript:showFB('FB7')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB7</a></td>
<td align="center">MC8</td> <td align="center">MC17</td>
<td align="center">66</td> <td align="center">61</td>
<td align="center">I/O</td> <td align="center">I/O</td>
<td align="center">I</td> <td align="center">I</td>
</tr> </tr>

View File

@ -15,15 +15,15 @@
<th align="center">Pin Use</th> <th align="center">Pin Use</th>
</tr> </tr>
<tr> <tr>
<td width="60%">A_FSB&lt;11&gt;</td> <td width="60%">A_FSB&lt;9&gt;</td>
<td align="center"><a href="javascript:showFB('FB1')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB1</a></td> <td align="center"><a href="javascript:showFB('FB1')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB1</a></td>
<td align="center">MC2</td> <td align="center">MC3</td>
<td align="center">11</td> <td align="center">12</td>
<td align="center">I/O</td> <td align="center">I/O</td>
<td align="center">I</td> <td align="center">I</td>
</tr> </tr>
<tr> <tr>
<td width="60%">A_FSB&lt;13&gt;</td> <td width="60%">nAS_FSB</td>
<td align="center"><a href="javascript:showFB('FB1')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB1</a></td> <td align="center"><a href="javascript:showFB('FB1')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB1</a></td>
<td align="center">MC5</td> <td align="center">MC5</td>
<td align="center">13</td> <td align="center">13</td>
@ -31,15 +31,7 @@
<td align="center">I</td> <td align="center">I</td>
</tr> </tr>
<tr> <tr>
<td width="60%">A_FSB&lt;14&gt;</td> <td width="60%">A_FSB&lt;5&gt;</td>
<td align="center"><a href="javascript:showFB('FB1')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB1</a></td>
<td align="center">MC6</td>
<td align="center">14</td>
<td align="center">I/O</td>
<td align="center">I</td>
</tr>
<tr>
<td width="60%">A_FSB&lt;15&gt;</td>
<td align="center"><a href="javascript:showFB('FB1')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB1</a></td> <td align="center"><a href="javascript:showFB('FB1')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB1</a></td>
<td align="center">MC8</td> <td align="center">MC8</td>
<td align="center">15</td> <td align="center">15</td>
@ -47,15 +39,15 @@
<td align="center">I</td> <td align="center">I</td>
</tr> </tr>
<tr> <tr>
<td width="60%">A_FSB&lt;1&gt;</td> <td width="60%">A_FSB&lt;2&gt;</td>
<td align="center"><a href="javascript:showFB('FB1')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB1</a></td> <td align="center"><a href="javascript:showFB('FB1')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB1</a></td>
<td align="center">MC11</td> <td align="center">MC9</td>
<td align="center">17</td> <td align="center">16</td>
<td align="center">I/O</td> <td align="center">I/O</td>
<td align="center">I</td> <td align="center">I</td>
</tr> </tr>
<tr> <tr>
<td width="60%">A_FSB&lt;2&gt;</td> <td width="60%">nBERR_IOB</td>
<td align="center"><a href="javascript:showFB('FB1')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB1</a></td> <td align="center"><a href="javascript:showFB('FB1')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB1</a></td>
<td align="center">MC12</td> <td align="center">MC12</td>
<td align="center">18</td> <td align="center">18</td>
@ -71,7 +63,7 @@
<td align="center">I</td> <td align="center">I</td>
</tr> </tr>
<tr> <tr>
<td width="60%">nUDS_FSB</td> <td width="60%">A_FSB&lt;7&gt;</td>
<td align="center"><a href="javascript:showFB('FB1')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB1</a></td> <td align="center"><a href="javascript:showFB('FB1')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB1</a></td>
<td align="center">MC15</td> <td align="center">MC15</td>
<td align="center">20</td> <td align="center">20</td>
@ -95,6 +87,14 @@
<td align="center">GSR/I</td> <td align="center">GSR/I</td>
</tr> </tr>
<tr> <tr>
<td width="60%">A_FSB&lt;22&gt;</td>
<td align="center"><a href="javascript:showFB('FB2')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB2</a></td>
<td align="center">MC15</td>
<td align="center">9</td>
<td align="center">I/O</td>
<td align="center">I</td>
</tr>
<tr>
<td width="60%">CLK_FSB</td> <td width="60%">CLK_FSB</td>
<td align="center"><a href="javascript:showFB('FB3')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB3</a></td> <td align="center"><a href="javascript:showFB('FB3')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB3</a></td>
<td align="center">MC2</td> <td align="center">MC2</td>
@ -103,7 +103,7 @@
<td align="center">GCK</td> <td align="center">GCK</td>
</tr> </tr>
<tr> <tr>
<td width="60%">A_FSB&lt;5&gt;</td> <td width="60%">nLDS_FSB</td>
<td align="center"><a href="javascript:showFB('FB3')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB3</a></td> <td align="center"><a href="javascript:showFB('FB3')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB3</a></td>
<td align="center">MC6</td> <td align="center">MC6</td>
<td align="center">25</td> <td align="center">25</td>
@ -119,15 +119,7 @@
<td align="center">GCK/I</td> <td align="center">GCK/I</td>
</tr> </tr>
<tr> <tr>
<td width="60%">nVPA_IOB</td> <td width="60%">A_FSB&lt;10&gt;</td>
<td align="center"><a href="javascript:showFB('FB3')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB3</a></td>
<td align="center">MC9</td>
<td align="center">28</td>
<td align="center">I/O</td>
<td align="center">I</td>
</tr>
<tr>
<td width="60%">A_FSB&lt;16&gt;</td>
<td align="center"><a href="javascript:showFB('FB4')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB4</a></td> <td align="center"><a href="javascript:showFB('FB4')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB4</a></td>
<td align="center">MC5</td> <td align="center">MC5</td>
<td align="center">89</td> <td align="center">89</td>
@ -135,7 +127,7 @@
<td align="center">I</td> <td align="center">I</td>
</tr> </tr>
<tr> <tr>
<td width="60%">A_FSB&lt;17&gt;</td> <td width="60%">A_FSB&lt;1&gt;</td>
<td align="center"><a href="javascript:showFB('FB4')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB4</a></td> <td align="center"><a href="javascript:showFB('FB4')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB4</a></td>
<td align="center">MC6</td> <td align="center">MC6</td>
<td align="center">90</td> <td align="center">90</td>
@ -143,7 +135,7 @@
<td align="center">I</td> <td align="center">I</td>
</tr> </tr>
<tr> <tr>
<td width="60%">A_FSB&lt;18&gt;</td> <td width="60%">A_FSB&lt;12&gt;</td>
<td align="center"><a href="javascript:showFB('FB4')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB4</a></td> <td align="center"><a href="javascript:showFB('FB4')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB4</a></td>
<td align="center">MC9</td> <td align="center">MC9</td>
<td align="center">92</td> <td align="center">92</td>
@ -151,7 +143,7 @@
<td align="center">I</td> <td align="center">I</td>
</tr> </tr>
<tr> <tr>
<td width="60%">A_FSB&lt;20&gt;</td> <td width="60%">A_FSB&lt;14&gt;</td>
<td align="center"><a href="javascript:showFB('FB4')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB4</a></td> <td align="center"><a href="javascript:showFB('FB4')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB4</a></td>
<td align="center">MC11</td> <td align="center">MC11</td>
<td align="center">93</td> <td align="center">93</td>
@ -159,7 +151,7 @@
<td align="center">I</td> <td align="center">I</td>
</tr> </tr>
<tr> <tr>
<td width="60%">A_FSB&lt;22&gt;</td> <td width="60%">A_FSB&lt;16&gt;</td>
<td align="center"><a href="javascript:showFB('FB4')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB4</a></td> <td align="center"><a href="javascript:showFB('FB4')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB4</a></td>
<td align="center">MC14</td> <td align="center">MC14</td>
<td align="center">95</td> <td align="center">95</td>
@ -167,7 +159,7 @@
<td align="center">I</td> <td align="center">I</td>
</tr> </tr>
<tr> <tr>
<td width="60%">A_FSB&lt;7&gt;</td> <td width="60%">A_FSB&lt;17&gt;</td>
<td align="center"><a href="javascript:showFB('FB4')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB4</a></td> <td align="center"><a href="javascript:showFB('FB4')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB4</a></td>
<td align="center">MC15</td> <td align="center">MC15</td>
<td align="center">96</td> <td align="center">96</td>
@ -177,21 +169,13 @@
<tr> <tr>
<td width="60%">E_IOB</td> <td width="60%">E_IOB</td>
<td align="center"><a href="javascript:showFB('FB5')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB5</a></td> <td align="center"><a href="javascript:showFB('FB5')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB5</a></td>
<td align="center">MC15</td>
<td align="center">46</td>
<td align="center">I/O</td>
<td align="center">I</td>
</tr>
<tr>
<td width="60%">nDTACK_IOB</td>
<td align="center"><a href="javascript:showFB('FB5')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB5</a></td>
<td align="center">MC17</td> <td align="center">MC17</td>
<td align="center">49</td> <td align="center">49</td>
<td align="center">I/O</td> <td align="center">I/O</td>
<td align="center">I</td> <td align="center">I</td>
</tr> </tr>
<tr> <tr>
<td width="60%">A_FSB&lt;23&gt;</td> <td width="60%">A_FSB&lt;18&gt;</td>
<td align="center"><a href="javascript:showFB('FB6')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB6</a></td> <td align="center"><a href="javascript:showFB('FB6')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB6</a></td>
<td align="center">MC5</td> <td align="center">MC5</td>
<td align="center">76</td> <td align="center">76</td>
@ -207,7 +191,7 @@
<td align="center">I</td> <td align="center">I</td>
</tr> </tr>
<tr> <tr>
<td width="60%">A_FSB&lt;21&gt;</td> <td width="60%">A_FSB&lt;15&gt;</td>
<td align="center"><a href="javascript:showFB('FB6')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB6</a></td> <td align="center"><a href="javascript:showFB('FB6')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB6</a></td>
<td align="center">MC11</td> <td align="center">MC11</td>
<td align="center">80</td> <td align="center">80</td>
@ -215,7 +199,7 @@
<td align="center">I</td> <td align="center">I</td>
</tr> </tr>
<tr> <tr>
<td width="60%">A_FSB&lt;19&gt;</td> <td width="60%">A_FSB&lt;13&gt;</td>
<td align="center"><a href="javascript:showFB('FB6')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB6</a></td> <td align="center"><a href="javascript:showFB('FB6')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB6</a></td>
<td align="center">MC14</td> <td align="center">MC14</td>
<td align="center">82</td> <td align="center">82</td>
@ -223,7 +207,15 @@
<td align="center">I</td> <td align="center">I</td>
</tr> </tr>
<tr> <tr>
<td width="60%">A_FSB&lt;8&gt;</td> <td width="60%">A_FSB&lt;11&gt;</td>
<td align="center"><a href="javascript:showFB('FB6')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB6</a></td>
<td align="center">MC17</td>
<td align="center">86</td>
<td align="center">I/O</td>
<td align="center">I</td>
</tr>
<tr>
<td width="60%">nUDS_FSB</td>
<td align="center"><a href="javascript:showFB('FB7')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB7</a></td> <td align="center"><a href="javascript:showFB('FB7')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB7</a></td>
<td align="center">MC5</td> <td align="center">MC5</td>
<td align="center">52</td> <td align="center">52</td>
@ -231,7 +223,7 @@
<td align="center">I</td> <td align="center">I</td>
</tr> </tr>
<tr> <tr>
<td width="60%">A_FSB&lt;4&gt;</td> <td width="60%">nDTACK_IOB</td>
<td align="center"><a href="javascript:showFB('FB7')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB7</a></td> <td align="center"><a href="javascript:showFB('FB7')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB7</a></td>
<td align="center">MC8</td> <td align="center">MC8</td>
<td align="center">54</td> <td align="center">54</td>
@ -239,7 +231,7 @@
<td align="center">I</td> <td align="center">I</td>
</tr> </tr>
<tr> <tr>
<td width="60%">nBERR_IOB</td> <td width="60%">A_FSB&lt;4&gt;</td>
<td align="center"><a href="javascript:showFB('FB7')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB7</a></td> <td align="center"><a href="javascript:showFB('FB7')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB7</a></td>
<td align="center">MC11</td> <td align="center">MC11</td>
<td align="center">56</td> <td align="center">56</td>
@ -247,7 +239,7 @@
<td align="center">I</td> <td align="center">I</td>
</tr> </tr>
<tr> <tr>
<td width="60%">A_FSB&lt;9&gt;</td> <td width="60%">nVPA_IOB</td>
<td align="center"><a href="javascript:showFB('FB7')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB7</a></td> <td align="center"><a href="javascript:showFB('FB7')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB7</a></td>
<td align="center">MC14</td> <td align="center">MC14</td>
<td align="center">59</td> <td align="center">59</td>
@ -255,7 +247,15 @@
<td align="center">I</td> <td align="center">I</td>
</tr> </tr>
<tr> <tr>
<td width="60%">A_FSB&lt;12&gt;</td> <td width="60%">nWE_FSB</td>
<td align="center"><a href="javascript:showFB('FB7')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB7</a></td>
<td align="center">MC17</td>
<td align="center">61</td>
<td align="center">I/O</td>
<td align="center">I</td>
</tr>
<tr>
<td width="60%">A_FSB&lt;8&gt;</td>
<td align="center"><a href="javascript:showFB('FB8')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB8</a></td> <td align="center"><a href="javascript:showFB('FB8')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB8</a></td>
<td align="center">MC5</td> <td align="center">MC5</td>
<td align="center">64</td> <td align="center">64</td>
@ -263,7 +263,7 @@
<td align="center">I</td> <td align="center">I</td>
</tr> </tr>
<tr> <tr>
<td width="60%">nWE_FSB</td> <td width="60%">A_FSB&lt;23&gt;</td>
<td align="center"><a href="javascript:showFB('FB8')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB8</a></td> <td align="center"><a href="javascript:showFB('FB8')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB8</a></td>
<td align="center">MC8</td> <td align="center">MC8</td>
<td align="center">66</td> <td align="center">66</td>
@ -271,7 +271,7 @@
<td align="center">I</td> <td align="center">I</td>
</tr> </tr>
<tr> <tr>
<td width="60%">A_FSB&lt;10&gt;</td> <td width="60%">A_FSB&lt;21&gt;</td>
<td align="center"><a href="javascript:showFB('FB8')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB8</a></td> <td align="center"><a href="javascript:showFB('FB8')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB8</a></td>
<td align="center">MC11</td> <td align="center">MC11</td>
<td align="center">68</td> <td align="center">68</td>
@ -279,7 +279,7 @@
<td align="center">I</td> <td align="center">I</td>
</tr> </tr>
<tr> <tr>
<td width="60%">nLDS_FSB</td> <td width="60%">A_FSB&lt;20&gt;</td>
<td align="center"><a href="javascript:showFB('FB8')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB8</a></td> <td align="center"><a href="javascript:showFB('FB8')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB8</a></td>
<td align="center">MC14</td> <td align="center">MC14</td>
<td align="center">71</td> <td align="center">71</td>
@ -287,7 +287,7 @@
<td align="center">I</td> <td align="center">I</td>
</tr> </tr>
<tr> <tr>
<td width="60%">nAS_FSB</td> <td width="60%">A_FSB&lt;19&gt;</td>
<td align="center"><a href="javascript:showFB('FB8')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB8</a></td> <td align="center"><a href="javascript:showFB('FB8')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB8</a></td>
<td align="center">MC17</td> <td align="center">MC17</td>
<td align="center">73</td> <td align="center">73</td>

View File

@ -15,15 +15,23 @@
<th align="center">Pin Use</th> <th align="center">Pin Use</th>
</tr> </tr>
<tr> <tr>
<td width="60%">A_FSB&lt;11&gt;</td> <td width="60%">A_FSB&lt;22&gt;</td>
<td align="center"><a href="javascript:showFB('FB1')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB1</a></td> <td align="center"><a href="javascript:showFB('FB2')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB2</a></td>
<td align="center">MC2</td> <td align="center">MC15</td>
<td align="center">11</td> <td align="center">9</td>
<td align="center">I/O</td> <td align="center">I/O</td>
<td align="center">I</td> <td align="center">I</td>
</tr> </tr>
<tr> <tr>
<td width="60%">A_FSB&lt;13&gt;</td> <td width="60%">A_FSB&lt;9&gt;</td>
<td align="center"><a href="javascript:showFB('FB1')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB1</a></td>
<td align="center">MC3</td>
<td align="center">12</td>
<td align="center">I/O</td>
<td align="center">I</td>
</tr>
<tr>
<td width="60%">nAS_FSB</td>
<td align="center"><a href="javascript:showFB('FB1')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB1</a></td> <td align="center"><a href="javascript:showFB('FB1')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB1</a></td>
<td align="center">MC5</td> <td align="center">MC5</td>
<td align="center">13</td> <td align="center">13</td>
@ -31,15 +39,7 @@
<td align="center">I</td> <td align="center">I</td>
</tr> </tr>
<tr> <tr>
<td width="60%">A_FSB&lt;14&gt;</td> <td width="60%">A_FSB&lt;5&gt;</td>
<td align="center"><a href="javascript:showFB('FB1')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB1</a></td>
<td align="center">MC6</td>
<td align="center">14</td>
<td align="center">I/O</td>
<td align="center">I</td>
</tr>
<tr>
<td width="60%">A_FSB&lt;15&gt;</td>
<td align="center"><a href="javascript:showFB('FB1')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB1</a></td> <td align="center"><a href="javascript:showFB('FB1')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB1</a></td>
<td align="center">MC8</td> <td align="center">MC8</td>
<td align="center">15</td> <td align="center">15</td>
@ -47,15 +47,15 @@
<td align="center">I</td> <td align="center">I</td>
</tr> </tr>
<tr> <tr>
<td width="60%">A_FSB&lt;1&gt;</td> <td width="60%">A_FSB&lt;2&gt;</td>
<td align="center"><a href="javascript:showFB('FB1')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB1</a></td> <td align="center"><a href="javascript:showFB('FB1')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB1</a></td>
<td align="center">MC11</td> <td align="center">MC9</td>
<td align="center">17</td> <td align="center">16</td>
<td align="center">I/O</td> <td align="center">I/O</td>
<td align="center">I</td> <td align="center">I</td>
</tr> </tr>
<tr> <tr>
<td width="60%">A_FSB&lt;2&gt;</td> <td width="60%">nBERR_IOB</td>
<td align="center"><a href="javascript:showFB('FB1')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB1</a></td> <td align="center"><a href="javascript:showFB('FB1')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB1</a></td>
<td align="center">MC12</td> <td align="center">MC12</td>
<td align="center">18</td> <td align="center">18</td>
@ -71,7 +71,7 @@
<td align="center">I</td> <td align="center">I</td>
</tr> </tr>
<tr> <tr>
<td width="60%">nUDS_FSB</td> <td width="60%">A_FSB&lt;7&gt;</td>
<td align="center"><a href="javascript:showFB('FB1')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB1</a></td> <td align="center"><a href="javascript:showFB('FB1')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB1</a></td>
<td align="center">MC15</td> <td align="center">MC15</td>
<td align="center">20</td> <td align="center">20</td>
@ -95,7 +95,7 @@
<td align="center">GCK</td> <td align="center">GCK</td>
</tr> </tr>
<tr> <tr>
<td width="60%">A_FSB&lt;5&gt;</td> <td width="60%">nLDS_FSB</td>
<td align="center"><a href="javascript:showFB('FB3')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB3</a></td> <td align="center"><a href="javascript:showFB('FB3')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB3</a></td>
<td align="center">MC6</td> <td align="center">MC6</td>
<td align="center">25</td> <td align="center">25</td>
@ -111,31 +111,15 @@
<td align="center">GCK/I</td> <td align="center">GCK/I</td>
</tr> </tr>
<tr> <tr>
<td width="60%">nVPA_IOB</td>
<td align="center"><a href="javascript:showFB('FB3')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB3</a></td>
<td align="center">MC9</td>
<td align="center">28</td>
<td align="center">I/O</td>
<td align="center">I</td>
</tr>
<tr>
<td width="60%">E_IOB</td> <td width="60%">E_IOB</td>
<td align="center"><a href="javascript:showFB('FB5')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB5</a></td> <td align="center"><a href="javascript:showFB('FB5')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB5</a></td>
<td align="center">MC15</td>
<td align="center">46</td>
<td align="center">I/O</td>
<td align="center">I</td>
</tr>
<tr>
<td width="60%">nDTACK_IOB</td>
<td align="center"><a href="javascript:showFB('FB5')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB5</a></td>
<td align="center">MC17</td> <td align="center">MC17</td>
<td align="center">49</td> <td align="center">49</td>
<td align="center">I/O</td> <td align="center">I/O</td>
<td align="center">I</td> <td align="center">I</td>
</tr> </tr>
<tr> <tr>
<td width="60%">A_FSB&lt;8&gt;</td> <td width="60%">nUDS_FSB</td>
<td align="center"><a href="javascript:showFB('FB7')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB7</a></td> <td align="center"><a href="javascript:showFB('FB7')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB7</a></td>
<td align="center">MC5</td> <td align="center">MC5</td>
<td align="center">52</td> <td align="center">52</td>
@ -143,7 +127,7 @@
<td align="center">I</td> <td align="center">I</td>
</tr> </tr>
<tr> <tr>
<td width="60%">A_FSB&lt;4&gt;</td> <td width="60%">nDTACK_IOB</td>
<td align="center"><a href="javascript:showFB('FB7')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB7</a></td> <td align="center"><a href="javascript:showFB('FB7')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB7</a></td>
<td align="center">MC8</td> <td align="center">MC8</td>
<td align="center">54</td> <td align="center">54</td>
@ -151,7 +135,7 @@
<td align="center">I</td> <td align="center">I</td>
</tr> </tr>
<tr> <tr>
<td width="60%">nBERR_IOB</td> <td width="60%">A_FSB&lt;4&gt;</td>
<td align="center"><a href="javascript:showFB('FB7')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB7</a></td> <td align="center"><a href="javascript:showFB('FB7')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB7</a></td>
<td align="center">MC11</td> <td align="center">MC11</td>
<td align="center">56</td> <td align="center">56</td>
@ -159,7 +143,7 @@
<td align="center">I</td> <td align="center">I</td>
</tr> </tr>
<tr> <tr>
<td width="60%">A_FSB&lt;9&gt;</td> <td width="60%">nVPA_IOB</td>
<td align="center"><a href="javascript:showFB('FB7')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB7</a></td> <td align="center"><a href="javascript:showFB('FB7')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB7</a></td>
<td align="center">MC14</td> <td align="center">MC14</td>
<td align="center">59</td> <td align="center">59</td>
@ -167,7 +151,15 @@
<td align="center">I</td> <td align="center">I</td>
</tr> </tr>
<tr> <tr>
<td width="60%">A_FSB&lt;12&gt;</td> <td width="60%">nWE_FSB</td>
<td align="center"><a href="javascript:showFB('FB7')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB7</a></td>
<td align="center">MC17</td>
<td align="center">61</td>
<td align="center">I/O</td>
<td align="center">I</td>
</tr>
<tr>
<td width="60%">A_FSB&lt;8&gt;</td>
<td align="center"><a href="javascript:showFB('FB8')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB8</a></td> <td align="center"><a href="javascript:showFB('FB8')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB8</a></td>
<td align="center">MC5</td> <td align="center">MC5</td>
<td align="center">64</td> <td align="center">64</td>
@ -175,7 +167,7 @@
<td align="center">I</td> <td align="center">I</td>
</tr> </tr>
<tr> <tr>
<td width="60%">nWE_FSB</td> <td width="60%">A_FSB&lt;23&gt;</td>
<td align="center"><a href="javascript:showFB('FB8')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB8</a></td> <td align="center"><a href="javascript:showFB('FB8')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB8</a></td>
<td align="center">MC8</td> <td align="center">MC8</td>
<td align="center">66</td> <td align="center">66</td>
@ -183,7 +175,7 @@
<td align="center">I</td> <td align="center">I</td>
</tr> </tr>
<tr> <tr>
<td width="60%">A_FSB&lt;10&gt;</td> <td width="60%">A_FSB&lt;21&gt;</td>
<td align="center"><a href="javascript:showFB('FB8')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB8</a></td> <td align="center"><a href="javascript:showFB('FB8')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB8</a></td>
<td align="center">MC11</td> <td align="center">MC11</td>
<td align="center">68</td> <td align="center">68</td>
@ -191,7 +183,7 @@
<td align="center">I</td> <td align="center">I</td>
</tr> </tr>
<tr> <tr>
<td width="60%">nLDS_FSB</td> <td width="60%">A_FSB&lt;20&gt;</td>
<td align="center"><a href="javascript:showFB('FB8')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB8</a></td> <td align="center"><a href="javascript:showFB('FB8')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB8</a></td>
<td align="center">MC14</td> <td align="center">MC14</td>
<td align="center">71</td> <td align="center">71</td>
@ -199,7 +191,7 @@
<td align="center">I</td> <td align="center">I</td>
</tr> </tr>
<tr> <tr>
<td width="60%">nAS_FSB</td> <td width="60%">A_FSB&lt;19&gt;</td>
<td align="center"><a href="javascript:showFB('FB8')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB8</a></td> <td align="center"><a href="javascript:showFB('FB8')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB8</a></td>
<td align="center">MC17</td> <td align="center">MC17</td>
<td align="center">73</td> <td align="center">73</td>
@ -207,7 +199,7 @@
<td align="center">I</td> <td align="center">I</td>
</tr> </tr>
<tr> <tr>
<td width="60%">A_FSB&lt;23&gt;</td> <td width="60%">A_FSB&lt;18&gt;</td>
<td align="center"><a href="javascript:showFB('FB6')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB6</a></td> <td align="center"><a href="javascript:showFB('FB6')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB6</a></td>
<td align="center">MC5</td> <td align="center">MC5</td>
<td align="center">76</td> <td align="center">76</td>
@ -223,7 +215,7 @@
<td align="center">I</td> <td align="center">I</td>
</tr> </tr>
<tr> <tr>
<td width="60%">A_FSB&lt;21&gt;</td> <td width="60%">A_FSB&lt;15&gt;</td>
<td align="center"><a href="javascript:showFB('FB6')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB6</a></td> <td align="center"><a href="javascript:showFB('FB6')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB6</a></td>
<td align="center">MC11</td> <td align="center">MC11</td>
<td align="center">80</td> <td align="center">80</td>
@ -231,7 +223,7 @@
<td align="center">I</td> <td align="center">I</td>
</tr> </tr>
<tr> <tr>
<td width="60%">A_FSB&lt;19&gt;</td> <td width="60%">A_FSB&lt;13&gt;</td>
<td align="center"><a href="javascript:showFB('FB6')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB6</a></td> <td align="center"><a href="javascript:showFB('FB6')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB6</a></td>
<td align="center">MC14</td> <td align="center">MC14</td>
<td align="center">82</td> <td align="center">82</td>
@ -239,7 +231,15 @@
<td align="center">I</td> <td align="center">I</td>
</tr> </tr>
<tr> <tr>
<td width="60%">A_FSB&lt;16&gt;</td> <td width="60%">A_FSB&lt;11&gt;</td>
<td align="center"><a href="javascript:showFB('FB6')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB6</a></td>
<td align="center">MC17</td>
<td align="center">86</td>
<td align="center">I/O</td>
<td align="center">I</td>
</tr>
<tr>
<td width="60%">A_FSB&lt;10&gt;</td>
<td align="center"><a href="javascript:showFB('FB4')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB4</a></td> <td align="center"><a href="javascript:showFB('FB4')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB4</a></td>
<td align="center">MC5</td> <td align="center">MC5</td>
<td align="center">89</td> <td align="center">89</td>
@ -247,7 +247,7 @@
<td align="center">I</td> <td align="center">I</td>
</tr> </tr>
<tr> <tr>
<td width="60%">A_FSB&lt;17&gt;</td> <td width="60%">A_FSB&lt;1&gt;</td>
<td align="center"><a href="javascript:showFB('FB4')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB4</a></td> <td align="center"><a href="javascript:showFB('FB4')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB4</a></td>
<td align="center">MC6</td> <td align="center">MC6</td>
<td align="center">90</td> <td align="center">90</td>
@ -255,7 +255,7 @@
<td align="center">I</td> <td align="center">I</td>
</tr> </tr>
<tr> <tr>
<td width="60%">A_FSB&lt;18&gt;</td> <td width="60%">A_FSB&lt;12&gt;</td>
<td align="center"><a href="javascript:showFB('FB4')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB4</a></td> <td align="center"><a href="javascript:showFB('FB4')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB4</a></td>
<td align="center">MC9</td> <td align="center">MC9</td>
<td align="center">92</td> <td align="center">92</td>
@ -263,7 +263,7 @@
<td align="center">I</td> <td align="center">I</td>
</tr> </tr>
<tr> <tr>
<td width="60%">A_FSB&lt;20&gt;</td> <td width="60%">A_FSB&lt;14&gt;</td>
<td align="center"><a href="javascript:showFB('FB4')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB4</a></td> <td align="center"><a href="javascript:showFB('FB4')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB4</a></td>
<td align="center">MC11</td> <td align="center">MC11</td>
<td align="center">93</td> <td align="center">93</td>
@ -271,7 +271,7 @@
<td align="center">I</td> <td align="center">I</td>
</tr> </tr>
<tr> <tr>
<td width="60%">A_FSB&lt;22&gt;</td> <td width="60%">A_FSB&lt;16&gt;</td>
<td align="center"><a href="javascript:showFB('FB4')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB4</a></td> <td align="center"><a href="javascript:showFB('FB4')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB4</a></td>
<td align="center">MC14</td> <td align="center">MC14</td>
<td align="center">95</td> <td align="center">95</td>
@ -279,7 +279,7 @@
<td align="center">I</td> <td align="center">I</td>
</tr> </tr>
<tr> <tr>
<td width="60%">A_FSB&lt;7&gt;</td> <td width="60%">A_FSB&lt;17&gt;</td>
<td align="center"><a href="javascript:showFB('FB4')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB4</a></td> <td align="center"><a href="javascript:showFB('FB4')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB4</a></td>
<td align="center">MC15</td> <td align="center">MC15</td>
<td align="center">96</td> <td align="center">96</td>

File diff suppressed because it is too large Load Diff

File diff suppressed because it is too large Load Diff

File diff suppressed because it is too large Load Diff

View File

@ -49,12 +49,12 @@
<tr> <tr>
<td width="10%" align="center">8</td> <td width="10%" align="center">8</td>
<td width="20%" align="center">I/O</td> <td width="20%" align="center">I/O</td>
<td width="70%" align="center"><a href="javascript:showEqn('RA0_SPECSIG')" onmouseover="window.status='Show Equation'; return true;" onmouseout="window.status=''">RA&lt;0&gt;</a></td> <td width="70%" align="center"><a href="javascript:showEqn('nDoutOE')" onmouseover="window.status='Show Equation'; return true;" onmouseout="window.status=''">nDoutOE</a></td>
</tr> </tr>
<tr> <tr>
<td width="10%" align="center">9</td> <td width="10%" align="center">9</td>
<td width="20%" align="center">I/O</td> <td width="20%" align="center">I/O</td>
<td width="70%" align="center"><a href="javascript:showEqn('nDoutOE')" onmouseover="window.status='Show Equation'; return true;" onmouseout="window.status=''">nDoutOE</a></td> <td width="70%" align="center">A_FSB&lt;22&gt;</td>
</tr> </tr>
<tr> <tr>
<td width="10%" align="center">10</td> <td width="10%" align="center">10</td>
@ -64,42 +64,42 @@
<tr> <tr>
<td width="10%" align="center">11</td> <td width="10%" align="center">11</td>
<td width="20%" align="center">I/O</td> <td width="20%" align="center">I/O</td>
<td width="70%" align="center">A_FSB&lt;11&gt;</td> <td width="70%" align="center"><a href="javascript:showEqn('nDTACK_FSB')" onmouseover="window.status='Show Equation'; return true;" onmouseout="window.status=''">nDTACK_FSB</a></td>
</tr> </tr>
<tr> <tr>
<td width="10%" align="center">12</td> <td width="10%" align="center">12</td>
<td width="20%" align="center">I/O</td> <td width="20%" align="center">I/O</td>
<td width="70%" align="center"><a href="javascript:showEqn('nDTACK_FSB')" onmouseover="window.status='Show Equation'; return true;" onmouseout="window.status=''">nDTACK_FSB</a></td> <td width="70%" align="center">A_FSB&lt;9&gt;</td>
</tr> </tr>
<tr> <tr>
<td width="10%" align="center">13</td> <td width="10%" align="center">13</td>
<td width="20%" align="center">I/O</td> <td width="20%" align="center">I/O</td>
<td width="70%" align="center">A_FSB&lt;13&gt;</td> <td width="70%" align="center">nAS_FSB</td>
</tr> </tr>
<tr> <tr>
<td width="10%" align="center">14</td> <td width="10%" align="center">14</td>
<td width="20%" align="center">I/O</td> <td width="20%" align="center">I/O</td>
<td width="70%" align="center">A_FSB&lt;14&gt;</td> <td width="70%" align="center"><a href="javascript:showEqn('nBERR_FSB')" onmouseover="window.status='Show Equation'; return true;" onmouseout="window.status=''">nBERR_FSB</a></td>
</tr> </tr>
<tr> <tr>
<td width="10%" align="center">15</td> <td width="10%" align="center">15</td>
<td width="20%" align="center">I/O</td> <td width="20%" align="center">I/O</td>
<td width="70%" align="center">A_FSB&lt;15&gt;</td> <td width="70%" align="center">A_FSB&lt;5&gt;</td>
</tr> </tr>
<tr> <tr>
<td width="10%" align="center">16</td> <td width="10%" align="center">16</td>
<td width="20%" align="center">I/O</td> <td width="20%" align="center">I/O</td>
<td width="70%" align="center"><a href="javascript:showEqn('nADoutLE1')" onmouseover="window.status='Show Equation'; return true;" onmouseout="window.status=''">nADoutLE1</a></td> <td width="70%" align="center">A_FSB&lt;2&gt;</td>
</tr> </tr>
<tr> <tr>
<td width="10%" align="center">17</td> <td width="10%" align="center">17</td>
<td width="20%" align="center">I/O</td> <td width="20%" align="center">I/O</td>
<td width="70%" align="center">A_FSB&lt;1&gt;</td> <td width="70%" align="center"><a href="javascript:showEqn('nOE')" onmouseover="window.status='Show Equation'; return true;" onmouseout="window.status=''">nOE</a></td>
</tr> </tr>
<tr> <tr>
<td width="10%" align="center">18</td> <td width="10%" align="center">18</td>
<td width="20%" align="center">I/O</td> <td width="20%" align="center">I/O</td>
<td width="70%" align="center">A_FSB&lt;2&gt;</td> <td width="70%" align="center">nBERR_IOB</td>
</tr> </tr>
<tr> <tr>
<td width="10%" align="center">19</td> <td width="10%" align="center">19</td>
@ -109,7 +109,7 @@
<tr> <tr>
<td width="10%" align="center">20</td> <td width="10%" align="center">20</td>
<td width="20%" align="center">I/O</td> <td width="20%" align="center">I/O</td>
<td width="70%" align="center">nUDS_FSB</td> <td width="70%" align="center">A_FSB&lt;7&gt;</td>
</tr> </tr>
<tr> <tr>
<td width="10%" align="center">21</td> <td width="10%" align="center">21</td>
@ -134,7 +134,7 @@
<tr> <tr>
<td width="10%" align="center">25</td> <td width="10%" align="center">25</td>
<td width="20%" align="center">I/O</td> <td width="20%" align="center">I/O</td>
<td width="70%" align="center">A_FSB&lt;5&gt;</td> <td width="70%" align="center">nLDS_FSB</td>
</tr> </tr>
<tr> <tr>
<td width="10%" align="center">26</td> <td width="10%" align="center">26</td>
@ -149,12 +149,12 @@
<tr> <tr>
<td width="10%" align="center">28</td> <td width="10%" align="center">28</td>
<td width="20%" align="center">I/O</td> <td width="20%" align="center">I/O</td>
<td width="70%" align="center">nVPA_IOB</td> <td width="70%" align="center">KPR</td>
</tr> </tr>
<tr> <tr>
<td width="10%" align="center">29</td> <td width="10%" align="center">29</td>
<td width="20%" align="center">I/O</td> <td width="20%" align="center">I/O</td>
<td width="70%" align="center"><a href="javascript:showEqn('nVMA_IOB')" onmouseover="window.status='Show Equation'; return true;" onmouseout="window.status=''">nVMA_IOB</a></td> <td width="70%" align="center"><a href="javascript:showEqn('nADoutLE1')" onmouseover="window.status='Show Equation'; return true;" onmouseout="window.status=''">nADoutLE1</a></td>
</tr> </tr>
<tr> <tr>
<td width="10%" align="center">30</td> <td width="10%" align="center">30</td>
@ -174,7 +174,7 @@
<tr> <tr>
<td width="10%" align="center">33</td> <td width="10%" align="center">33</td>
<td width="20%" align="center">I/O</td> <td width="20%" align="center">I/O</td>
<td width="70%" align="center"><a href="javascript:showEqn('nRAMLWE')" onmouseover="window.status='Show Equation'; return true;" onmouseout="window.status=''">nRAMLWE</a></td> <td width="70%" align="center"><a href="javascript:showEqn('nVMA_IOB')" onmouseover="window.status='Show Equation'; return true;" onmouseout="window.status=''">nVMA_IOB</a></td>
</tr> </tr>
<tr> <tr>
<td width="10%" align="center">34</td> <td width="10%" align="center">34</td>
@ -184,7 +184,7 @@
<tr> <tr>
<td width="10%" align="center">35</td> <td width="10%" align="center">35</td>
<td width="20%" align="center">I/O</td> <td width="20%" align="center">I/O</td>
<td width="70%" align="center"><a href="javascript:showEqn('nROMCS')" onmouseover="window.status='Show Equation'; return true;" onmouseout="window.status=''">nROMCS</a></td> <td width="70%" align="center"><a href="javascript:showEqn('RA1_SPECSIG')" onmouseover="window.status='Show Equation'; return true;" onmouseout="window.status=''">RA&lt;1&gt;</a></td>
</tr> </tr>
<tr> <tr>
<td width="10%" align="center">36</td> <td width="10%" align="center">36</td>
@ -204,7 +204,7 @@
<tr> <tr>
<td width="10%" align="center">39</td> <td width="10%" align="center">39</td>
<td width="20%" align="center">I/O</td> <td width="20%" align="center">I/O</td>
<td width="70%" align="center">KPR</td> <td width="70%" align="center"><a href="javascript:showEqn('RA3_SPECSIG')" onmouseover="window.status='Show Equation'; return true;" onmouseout="window.status=''">RA&lt;3&gt;</a></td>
</tr> </tr>
<tr> <tr>
<td width="10%" align="center">40</td> <td width="10%" align="center">40</td>
@ -219,7 +219,7 @@
<tr> <tr>
<td width="10%" align="center">42</td> <td width="10%" align="center">42</td>
<td width="20%" align="center">I/O</td> <td width="20%" align="center">I/O</td>
<td width="70%" align="center">KPR</td> <td width="70%" align="center"><a href="javascript:showEqn('nROMCS')" onmouseover="window.status='Show Equation'; return true;" onmouseout="window.status=''">nROMCS</a></td>
</tr> </tr>
<tr> <tr>
<td width="10%" align="center">43</td> <td width="10%" align="center">43</td>
@ -239,7 +239,7 @@
<tr> <tr>
<td width="10%" align="center">46</td> <td width="10%" align="center">46</td>
<td width="20%" align="center">I/O</td> <td width="20%" align="center">I/O</td>
<td width="70%" align="center">E_IOB</td> <td width="70%" align="center">KPR</td>
</tr> </tr>
<tr> <tr>
<td width="10%" align="center">47</td> <td width="10%" align="center">47</td>
@ -254,12 +254,12 @@
<tr> <tr>
<td width="10%" align="center">49</td> <td width="10%" align="center">49</td>
<td width="20%" align="center">I/O</td> <td width="20%" align="center">I/O</td>
<td width="70%" align="center">nDTACK_IOB</td> <td width="70%" align="center">E_IOB</td>
</tr> </tr>
<tr> <tr>
<td width="10%" align="center">50</td> <td width="10%" align="center">50</td>
<td width="20%" align="center">I/O</td> <td width="20%" align="center">I/O</td>
<td width="70%" align="center"><a href="javascript:showEqn('RA7_SPECSIG')" onmouseover="window.status='Show Equation'; return true;" onmouseout="window.status=''">RA&lt;7&gt;</a></td> <td width="70%" align="center"><a href="javascript:showEqn('RA5_SPECSIG')" onmouseover="window.status='Show Equation'; return true;" onmouseout="window.status=''">RA&lt;5&gt;</a></td>
</tr> </tr>
<tr> <tr>
<td width="10%" align="center">51</td> <td width="10%" align="center">51</td>
@ -269,27 +269,27 @@
<tr> <tr>
<td width="10%" align="center">52</td> <td width="10%" align="center">52</td>
<td width="20%" align="center">I/O</td> <td width="20%" align="center">I/O</td>
<td width="70%" align="center">A_FSB&lt;8&gt;</td> <td width="70%" align="center">nUDS_FSB</td>
</tr> </tr>
<tr> <tr>
<td width="10%" align="center">53</td> <td width="10%" align="center">53</td>
<td width="20%" align="center">I/O</td> <td width="20%" align="center">I/O</td>
<td width="70%" align="center"><a href="javascript:showEqn('RA8_SPECSIG')" onmouseover="window.status='Show Equation'; return true;" onmouseout="window.status=''">RA&lt;8&gt;</a></td> <td width="70%" align="center"><a href="javascript:showEqn('RA6_SPECSIG')" onmouseover="window.status='Show Equation'; return true;" onmouseout="window.status=''">RA&lt;6&gt;</a></td>
</tr> </tr>
<tr> <tr>
<td width="10%" align="center">54</td> <td width="10%" align="center">54</td>
<td width="20%" align="center">I/O</td> <td width="20%" align="center">I/O</td>
<td width="70%" align="center">A_FSB&lt;4&gt;</td> <td width="70%" align="center">nDTACK_IOB</td>
</tr> </tr>
<tr> <tr>
<td width="10%" align="center">55</td> <td width="10%" align="center">55</td>
<td width="20%" align="center">I/O</td> <td width="20%" align="center">I/O</td>
<td width="70%" align="center"><a href="javascript:showEqn('RA9_SPECSIG')" onmouseover="window.status='Show Equation'; return true;" onmouseout="window.status=''">RA&lt;9&gt;</a></td> <td width="70%" align="center"><a href="javascript:showEqn('RA7_SPECSIG')" onmouseover="window.status='Show Equation'; return true;" onmouseout="window.status=''">RA&lt;7&gt;</a></td>
</tr> </tr>
<tr> <tr>
<td width="10%" align="center">56</td> <td width="10%" align="center">56</td>
<td width="20%" align="center">I/O</td> <td width="20%" align="center">I/O</td>
<td width="70%" align="center">nBERR_IOB</td> <td width="70%" align="center">A_FSB&lt;4&gt;</td>
</tr> </tr>
<tr> <tr>
<td width="10%" align="center">57</td> <td width="10%" align="center">57</td>
@ -299,22 +299,22 @@
<tr> <tr>
<td width="10%" align="center">58</td> <td width="10%" align="center">58</td>
<td width="20%" align="center">I/O</td> <td width="20%" align="center">I/O</td>
<td width="70%" align="center"><a href="javascript:showEqn('RA11_SPECSIG')" onmouseover="window.status='Show Equation'; return true;" onmouseout="window.status=''">RA&lt;11&gt;</a></td> <td width="70%" align="center"><a href="javascript:showEqn('RA8_SPECSIG')" onmouseover="window.status='Show Equation'; return true;" onmouseout="window.status=''">RA&lt;8&gt;</a></td>
</tr> </tr>
<tr> <tr>
<td width="10%" align="center">59</td> <td width="10%" align="center">59</td>
<td width="20%" align="center">I/O</td> <td width="20%" align="center">I/O</td>
<td width="70%" align="center">A_FSB&lt;9&gt;</td> <td width="70%" align="center">nVPA_IOB</td>
</tr> </tr>
<tr> <tr>
<td width="10%" align="center">60</td> <td width="10%" align="center">60</td>
<td width="20%" align="center">I/O</td> <td width="20%" align="center">I/O</td>
<td width="70%" align="center"><a href="javascript:showEqn('nADoutLE0')" onmouseover="window.status='Show Equation'; return true;" onmouseout="window.status=''">nADoutLE0</a></td> <td width="70%" align="center"><a href="javascript:showEqn('RA9_SPECSIG')" onmouseover="window.status='Show Equation'; return true;" onmouseout="window.status=''">RA&lt;9&gt;</a></td>
</tr> </tr>
<tr> <tr>
<td width="10%" align="center">61</td> <td width="10%" align="center">61</td>
<td width="20%" align="center">I/O</td> <td width="20%" align="center">I/O</td>
<td width="70%" align="center"><a href="javascript:showEqn('nDinLE')" onmouseover="window.status='Show Equation'; return true;" onmouseout="window.status=''">nDinLE</a></td> <td width="70%" align="center">nWE_FSB</td>
</tr> </tr>
<tr> <tr>
<td width="10%" align="center">62</td> <td width="10%" align="center">62</td>
@ -324,32 +324,32 @@
<tr> <tr>
<td width="10%" align="center">63</td> <td width="10%" align="center">63</td>
<td width="20%" align="center">I/O</td> <td width="20%" align="center">I/O</td>
<td width="70%" align="center"><a href="javascript:showEqn('nBERR_FSB')" onmouseover="window.status='Show Equation'; return true;" onmouseout="window.status=''">nBERR_FSB</a></td> <td width="70%" align="center"><a href="javascript:showEqn('RA11_SPECSIG')" onmouseover="window.status='Show Equation'; return true;" onmouseout="window.status=''">RA&lt;11&gt;</a></td>
</tr> </tr>
<tr> <tr>
<td width="10%" align="center">64</td> <td width="10%" align="center">64</td>
<td width="20%" align="center">I/O</td> <td width="20%" align="center">I/O</td>
<td width="70%" align="center">A_FSB&lt;12&gt;</td> <td width="70%" align="center">A_FSB&lt;8&gt;</td>
</tr> </tr>
<tr> <tr>
<td width="10%" align="center">65</td> <td width="10%" align="center">65</td>
<td width="20%" align="center">I/O</td> <td width="20%" align="center">I/O</td>
<td width="70%" align="center"><a href="javascript:showEqn('RA2_SPECSIG')" onmouseover="window.status='Show Equation'; return true;" onmouseout="window.status=''">RA&lt;2&gt;</a></td> <td width="70%" align="center"><a href="javascript:showEqn('RA10_SPECSIG')" onmouseover="window.status='Show Equation'; return true;" onmouseout="window.status=''">RA&lt;10&gt;</a></td>
</tr> </tr>
<tr> <tr>
<td width="10%" align="center">66</td> <td width="10%" align="center">66</td>
<td width="20%" align="center">I/O</td> <td width="20%" align="center">I/O</td>
<td width="70%" align="center">nWE_FSB</td> <td width="70%" align="center">A_FSB&lt;23&gt;</td>
</tr> </tr>
<tr> <tr>
<td width="10%" align="center">67</td> <td width="10%" align="center">67</td>
<td width="20%" align="center">I/O</td> <td width="20%" align="center">I/O</td>
<td width="70%" align="center"><a href="javascript:showEqn('RA6_SPECSIG')" onmouseover="window.status='Show Equation'; return true;" onmouseout="window.status=''">RA&lt;6&gt;</a></td> <td width="70%" align="center"><a href="javascript:showEqn('nADoutLE0')" onmouseover="window.status='Show Equation'; return true;" onmouseout="window.status=''">nADoutLE0</a></td>
</tr> </tr>
<tr> <tr>
<td width="10%" align="center">68</td> <td width="10%" align="center">68</td>
<td width="20%" align="center">I/O</td> <td width="20%" align="center">I/O</td>
<td width="70%" align="center">A_FSB&lt;10&gt;</td> <td width="70%" align="center">A_FSB&lt;21&gt;</td>
</tr> </tr>
<tr> <tr>
<td width="10%" align="center">69</td> <td width="10%" align="center">69</td>
@ -359,27 +359,27 @@
<tr> <tr>
<td width="10%" align="center">70</td> <td width="10%" align="center">70</td>
<td width="20%" align="center">I/O</td> <td width="20%" align="center">I/O</td>
<td width="70%" align="center"><a href="javascript:showEqn('RA10_SPECSIG')" onmouseover="window.status='Show Equation'; return true;" onmouseout="window.status=''">RA&lt;10&gt;</a></td> <td width="70%" align="center"><a href="javascript:showEqn('nAoutOE')" onmouseover="window.status='Show Equation'; return true;" onmouseout="window.status=''">nAoutOE</a></td>
</tr> </tr>
<tr> <tr>
<td width="10%" align="center">71</td> <td width="10%" align="center">71</td>
<td width="20%" align="center">I/O</td> <td width="20%" align="center">I/O</td>
<td width="70%" align="center">nLDS_FSB</td> <td width="70%" align="center">A_FSB&lt;20&gt;</td>
</tr> </tr>
<tr> <tr>
<td width="10%" align="center">72</td> <td width="10%" align="center">72</td>
<td width="20%" align="center">I/O</td> <td width="20%" align="center">I/O</td>
<td width="70%" align="center"><a href="javascript:showEqn('nAoutOE')" onmouseover="window.status='Show Equation'; return true;" onmouseout="window.status=''">nAoutOE</a></td> <td width="70%" align="center"><a href="javascript:showEqn('nDinLE')" onmouseover="window.status='Show Equation'; return true;" onmouseout="window.status=''">nDinLE</a></td>
</tr> </tr>
<tr> <tr>
<td width="10%" align="center">73</td> <td width="10%" align="center">73</td>
<td width="20%" align="center">I/O</td> <td width="20%" align="center">I/O</td>
<td width="70%" align="center">nAS_FSB</td> <td width="70%" align="center">A_FSB&lt;19&gt;</td>
</tr> </tr>
<tr> <tr>
<td width="10%" align="center">74</td> <td width="10%" align="center">74</td>
<td width="20%" align="center">I/O</td> <td width="20%" align="center">I/O</td>
<td width="70%" align="center"><a href="javascript:showEqn('RA3_SPECSIG')" onmouseover="window.status='Show Equation'; return true;" onmouseout="window.status=''">RA&lt;3&gt;</a></td> <td width="70%" align="center"><a href="javascript:showEqn('nCAS')" onmouseover="window.status='Show Equation'; return true;" onmouseout="window.status=''">nCAS</a></td>
</tr> </tr>
<tr> <tr>
<td width="10%" align="center">75</td> <td width="10%" align="center">75</td>
@ -389,12 +389,12 @@
<tr> <tr>
<td width="10%" align="center">76</td> <td width="10%" align="center">76</td>
<td width="20%" align="center">I/O</td> <td width="20%" align="center">I/O</td>
<td width="70%" align="center">A_FSB&lt;23&gt;</td> <td width="70%" align="center">A_FSB&lt;18&gt;</td>
</tr> </tr>
<tr> <tr>
<td width="10%" align="center">77</td> <td width="10%" align="center">77</td>
<td width="20%" align="center">I/O</td> <td width="20%" align="center">I/O</td>
<td width="70%" align="center"><a href="javascript:showEqn('RA4_SPECSIG')" onmouseover="window.status='Show Equation'; return true;" onmouseout="window.status=''">RA&lt;4&gt;</a></td> <td width="70%" align="center"><a href="javascript:showEqn('nRAMLWE')" onmouseover="window.status='Show Equation'; return true;" onmouseout="window.status=''">nRAMLWE</a></td>
</tr> </tr>
<tr> <tr>
<td width="10%" align="center">78</td> <td width="10%" align="center">78</td>
@ -404,22 +404,22 @@
<tr> <tr>
<td width="10%" align="center">79</td> <td width="10%" align="center">79</td>
<td width="20%" align="center">I/O</td> <td width="20%" align="center">I/O</td>
<td width="70%" align="center"><a href="javascript:showEqn('nCAS')" onmouseover="window.status='Show Equation'; return true;" onmouseout="window.status=''">nCAS</a></td> <td width="70%" align="center"><a href="javascript:showEqn('nRAMUWE')" onmouseover="window.status='Show Equation'; return true;" onmouseout="window.status=''">nRAMUWE</a></td>
</tr> </tr>
<tr> <tr>
<td width="10%" align="center">80</td> <td width="10%" align="center">80</td>
<td width="20%" align="center">I/O</td> <td width="20%" align="center">I/O</td>
<td width="70%" align="center">A_FSB&lt;21&gt;</td> <td width="70%" align="center">A_FSB&lt;15&gt;</td>
</tr> </tr>
<tr> <tr>
<td width="10%" align="center">81</td> <td width="10%" align="center">81</td>
<td width="20%" align="center">I/O</td> <td width="20%" align="center">I/O</td>
<td width="70%" align="center"><a href="javascript:showEqn('nOE')" onmouseover="window.status='Show Equation'; return true;" onmouseout="window.status=''">nOE</a></td> <td width="70%" align="center"><a href="javascript:showEqn('nROMWE')" onmouseover="window.status='Show Equation'; return true;" onmouseout="window.status=''">nROMWE</a></td>
</tr> </tr>
<tr> <tr>
<td width="10%" align="center">82</td> <td width="10%" align="center">82</td>
<td width="20%" align="center">I/O</td> <td width="20%" align="center">I/O</td>
<td width="70%" align="center">A_FSB&lt;19&gt;</td> <td width="70%" align="center">A_FSB&lt;13&gt;</td>
</tr> </tr>
<tr> <tr>
<td width="10%" align="center">83</td> <td width="10%" align="center">83</td>
@ -434,17 +434,17 @@
<tr> <tr>
<td width="10%" align="center">85</td> <td width="10%" align="center">85</td>
<td width="20%" align="center">I/O</td> <td width="20%" align="center">I/O</td>
<td width="70%" align="center"><a href="javascript:showEqn('nROMWE')" onmouseover="window.status='Show Equation'; return true;" onmouseout="window.status=''">nROMWE</a></td> <td width="70%" align="center"><a href="javascript:showEqn('nVPA_FSB')" onmouseover="window.status='Show Equation'; return true;" onmouseout="window.status=''">nVPA_FSB</a></td>
</tr> </tr>
<tr> <tr>
<td width="10%" align="center">86</td> <td width="10%" align="center">86</td>
<td width="20%" align="center">I/O</td> <td width="20%" align="center">I/O</td>
<td width="70%" align="center"><a href="javascript:showEqn('nVPA_FSB')" onmouseover="window.status='Show Equation'; return true;" onmouseout="window.status=''">nVPA_FSB</a></td> <td width="70%" align="center">A_FSB&lt;11&gt;</td>
</tr> </tr>
<tr> <tr>
<td width="10%" align="center">87</td> <td width="10%" align="center">87</td>
<td width="20%" align="center">I/O</td> <td width="20%" align="center">I/O</td>
<td width="70%" align="center"><a href="javascript:showEqn('RA1_SPECSIG')" onmouseover="window.status='Show Equation'; return true;" onmouseout="window.status=''">RA&lt;1&gt;</a></td> <td width="70%" align="center"><a href="javascript:showEqn('RA0_SPECSIG')" onmouseover="window.status='Show Equation'; return true;" onmouseout="window.status=''">RA&lt;0&gt;</a></td>
</tr> </tr>
<tr> <tr>
<td width="10%" align="center">88</td> <td width="10%" align="center">88</td>
@ -454,47 +454,47 @@
<tr> <tr>
<td width="10%" align="center">89</td> <td width="10%" align="center">89</td>
<td width="20%" align="center">I/O</td> <td width="20%" align="center">I/O</td>
<td width="70%" align="center">A_FSB&lt;16&gt;</td> <td width="70%" align="center">A_FSB&lt;10&gt;</td>
</tr> </tr>
<tr> <tr>
<td width="10%" align="center">90</td> <td width="10%" align="center">90</td>
<td width="20%" align="center">I/O</td> <td width="20%" align="center">I/O</td>
<td width="70%" align="center">A_FSB&lt;17&gt;</td> <td width="70%" align="center">A_FSB&lt;1&gt;</td>
</tr> </tr>
<tr> <tr>
<td width="10%" align="center">91</td> <td width="10%" align="center">91</td>
<td width="20%" align="center">I/O</td> <td width="20%" align="center">I/O</td>
<td width="70%" align="center"><a href="javascript:showEqn('RA5_SPECSIG')" onmouseover="window.status='Show Equation'; return true;" onmouseout="window.status=''">RA&lt;5&gt;</a></td> <td width="70%" align="center"><a href="javascript:showEqn('RA2_SPECSIG')" onmouseover="window.status='Show Equation'; return true;" onmouseout="window.status=''">RA&lt;2&gt;</a></td>
</tr> </tr>
<tr> <tr>
<td width="10%" align="center">92</td> <td width="10%" align="center">92</td>
<td width="20%" align="center">I/O</td> <td width="20%" align="center">I/O</td>
<td width="70%" align="center">A_FSB&lt;18&gt;</td> <td width="70%" align="center">A_FSB&lt;12&gt;</td>
</tr> </tr>
<tr> <tr>
<td width="10%" align="center">93</td> <td width="10%" align="center">93</td>
<td width="20%" align="center">I/O</td> <td width="20%" align="center">I/O</td>
<td width="70%" align="center">A_FSB&lt;20&gt;</td> <td width="70%" align="center">A_FSB&lt;14&gt;</td>
</tr> </tr>
<tr> <tr>
<td width="10%" align="center">94</td> <td width="10%" align="center">94</td>
<td width="20%" align="center">I/O</td> <td width="20%" align="center">I/O</td>
<td width="70%" align="center"><a href="javascript:showEqn('nDinOE')" onmouseover="window.status='Show Equation'; return true;" onmouseout="window.status=''">nDinOE</a></td> <td width="70%" align="center"><a href="javascript:showEqn('RA4_SPECSIG')" onmouseover="window.status='Show Equation'; return true;" onmouseout="window.status=''">RA&lt;4&gt;</a></td>
</tr> </tr>
<tr> <tr>
<td width="10%" align="center">95</td> <td width="10%" align="center">95</td>
<td width="20%" align="center">I/O</td> <td width="20%" align="center">I/O</td>
<td width="70%" align="center">A_FSB&lt;22&gt;</td> <td width="70%" align="center">A_FSB&lt;16&gt;</td>
</tr> </tr>
<tr> <tr>
<td width="10%" align="center">96</td> <td width="10%" align="center">96</td>
<td width="20%" align="center">I/O</td> <td width="20%" align="center">I/O</td>
<td width="70%" align="center">A_FSB&lt;7&gt;</td> <td width="70%" align="center">A_FSB&lt;17&gt;</td>
</tr> </tr>
<tr> <tr>
<td width="10%" align="center">97</td> <td width="10%" align="center">97</td>
<td width="20%" align="center">I/O</td> <td width="20%" align="center">I/O</td>
<td width="70%" align="center"><a href="javascript:showEqn('nRAMUWE')" onmouseover="window.status='Show Equation'; return true;" onmouseout="window.status=''">nRAMUWE</a></td> <td width="70%" align="center"><a href="javascript:showEqn('nDinOE')" onmouseover="window.status='Show Equation'; return true;" onmouseout="window.status=''">nDinOE</a></td>
</tr> </tr>
<tr> <tr>
<td width="10%" align="center">98</td> <td width="10%" align="center">98</td>

View File

@ -30,7 +30,7 @@
<tr> <tr>
<td width="40%"> <b>Date</b> <td width="40%"> <b>Date</b>
</td> </td>
<td width="60%"> 10-24-2021, 6:31AM</td> <td width="60%"> 12-11-2021, 6:24AM</td>
</tr> </tr>
</table></span><br><span id="sumres" class="pgRef"><h5 align="center">RESOURCES SUMMARY</h5> </table></span><br><span id="sumres" class="pgRef"><h5 align="center">RESOURCES SUMMARY</h5>
<table align="center" width="90%" border="1" cellspacing="0" cellpadding="0"> <table align="center" width="90%" border="1" cellspacing="0" cellpadding="0">
@ -42,11 +42,11 @@
<th width="20%">Function Block Inputs Used</th> <th width="20%">Function Block Inputs Used</th>
</tr> </tr>
<tr> <tr>
<td width="20%" align="center">106/144  (74%)</td> <td width="20%" align="center">110/144  (77%)</td>
<td width="20%" align="center">411/720  (58%)</td> <td width="20%" align="center">439/720  (61%)</td>
<td width="20%" align="center">82/144  (57%)</td> <td width="20%" align="center">84/144  (59%)</td>
<td width="20%" align="center">67/81  (83%)</td> <td width="20%" align="center">67/81  (83%)</td>
<td width="20%" align="center">214/432  (50%)</td> <td width="20%" align="center">244/432  (57%)</td>
</tr> </tr>
</table></span><br><span id="pinres" class="pgRef"><h5 align="center">PIN RESOURCES</h5> </table></span><br><span id="pinres" class="pgRef"><h5 align="center">PIN RESOURCES</h5>
<table align="center" width="90%" border="0" cellspacing="0" cellpadding="0"><tr> <table align="center" width="90%" border="0" cellspacing="0" cellpadding="0"><tr>
@ -137,7 +137,7 @@
<table align="center" width="90%" border="1" cellspacing="0" cellpadding="0"> <table align="center" width="90%" border="1" cellspacing="0" cellpadding="0">
<tr> <tr>
<td width="50%"> Macrocells in high performance mode (MCHP)</td> <td width="50%"> Macrocells in high performance mode (MCHP)</td>
<td width="50%"> 106</td> <td width="50%"> 110</td>
</tr> </tr>
<tr> <tr>
<td width="50%"> Macrocells in low power mode (MCLP)</td> <td width="50%"> Macrocells in low power mode (MCLP)</td>
@ -145,7 +145,7 @@
</tr> </tr>
<tr> <tr>
<td width="50%"> Total macrocells used (MC)</td> <td width="50%"> Total macrocells used (MC)</td>
<td width="50%"> 106</td> <td width="50%"> 110</td>
</tr> </tr>
</table></span><form><span class="pgRef"><table width="90%" align="center"><tr> </table></span><form><span class="pgRef"><table width="90%" align="center"><tr>
<td align="left"><input type="button" onclick="javascript:parent.leftnav.showTop()" onmouseover="window.status='goto top of page'; return true;" onmouseout="window.status=''" value="back to top"></td> <td align="left"><input type="button" onclick="javascript:parent.leftnav.showTop()" onmouseover="window.status='goto top of page'; return true;" onmouseout="window.status=''" value="back to top"></td>

File diff suppressed because it is too large Load Diff

View File

@ -5,14 +5,14 @@
The structure and the elements are likely to change over the next few releases. The structure and the elements are likely to change over the next few releases.
This means code written to parse this file will need to be revisited each subsequent release.--> This means code written to parse this file will need to be revisited each subsequent release.-->
<application stringID="NgdBuild" timeStamp="Sun Oct 24 07:13:29 2021"> <application stringID="NgdBuild" timeStamp="Sat Dec 11 06:24:10 2021">
<section stringID="User_Env"> <section stringID="User_Env">
<table stringID="User_EnvVar"> <table stringID="User_EnvVar">
<column stringID="variable"/> <column stringID="variable"/>
<column stringID="value"/> <column stringID="value"/>
<row stringID="row" value="0"> <row stringID="row" value="0">
<item stringID="variable" value="Path"/> <item stringID="variable" value="Path"/>
<item stringID="value" value="C:\Xilinx\14.7\ISE_DS\ISE\\lib\nt;C:\Xilinx\14.7\ISE_DS\ISE\\bin\nt;C:\Xilinx\14.7\ISE_DS\ISE\bin\nt64;C:\Xilinx\14.7\ISE_DS\ISE\lib\nt64;C:\Xilinx\14.7\ISE_DS\ISE\..\..\..\DocNav;C:\Xilinx\14.7\ISE_DS\PlanAhead\bin;C:\Xilinx\14.7\ISE_DS\EDK\bin\nt64;C:\Xilinx\14.7\ISE_DS\EDK\lib\nt64;C:\Xilinx\14.7\ISE_DS\EDK\gnu\microblaze\nt\bin;C:\Xilinx\14.7\ISE_DS\EDK\gnu\powerpc-eabi\nt\bin;C:\Xilinx\14.7\ISE_DS\EDK\gnuwin\bin;C:\Xilinx\14.7\ISE_DS\EDK\gnu\arm\nt\bin;C:\Xilinx\14.7\ISE_DS\EDK\gnu\microblaze\linux_toolchain\nt64_be\bin;C:\Xilinx\14.7\ISE_DS\EDK\gnu\microblaze\linux_toolchain\nt64_le\bin;C:\Xilinx\14.7\ISE_DS\common\bin\nt64;C:\Xilinx\14.7\ISE_DS\common\lib\nt64;C:\ispLEVER_Classic2_0\ispcpld\bin;C:\ispLEVER_Classic2_0\ispFPGA\bin\nt;C:\ispLEVER_Classic2_0\active-hdl\BIN;C:\WinAVR-20100110\bin;C:\WinAVR-20100110\utils\bin;C:\Windows\system32;C:\Windows;C:\Windows\System32\Wbem;C:\Windows\System32\WindowsPowerShell\v1.0\;C:\Windows\System32\OpenSSH\;C:\Program Files\Microchip\xc8\v2.31\bin;C:\Program Files (x86)\NVIDIA Corporation\PhysX\Common;C:\Program Files\PuTTY\;C:\Program Files\WinMerge;C:\Program Files\dotnet\;C:\Users\zanek\AppData\Local\Microsoft\WindowsApps;C:\Users\zanek\AppData\Local\GitHubDesktop\bin;C:\altera\13.0sp1\modelsim_ase\win32aloem;C:\Users\zanek\.dotnet\tools;C:\Program Files (x86)\Skyworks\ClockBuilder Pro\Bin"/> <item stringID="value" value="C:\Xilinx\14.7\ISE_DS\ISE\\lib\nt;C:\Xilinx\14.7\ISE_DS\ISE\\bin\nt;C:\Xilinx\14.7\ISE_DS\ISE\bin\nt;C:\Xilinx\14.7\ISE_DS\ISE\lib\nt;C:\Xilinx\14.7\ISE_DS\ISE\..\..\..\DocNav;C:\Xilinx\14.7\ISE_DS\PlanAhead\bin;C:\Xilinx\14.7\ISE_DS\EDK\bin\nt;C:\Xilinx\14.7\ISE_DS\EDK\lib\nt;C:\Xilinx\14.7\ISE_DS\EDK\gnu\microblaze\nt\bin;C:\Xilinx\14.7\ISE_DS\EDK\gnu\powerpc-eabi\nt\bin;C:\Xilinx\14.7\ISE_DS\EDK\gnuwin\bin;C:\Xilinx\14.7\ISE_DS\EDK\gnu\arm\nt\bin;C:\Xilinx\14.7\ISE_DS\EDK\gnu\microblaze\linux_toolchain\nt_be\bin;C:\Xilinx\14.7\ISE_DS\EDK\gnu\microblaze\linux_toolchain\nt_le\bin;C:\Xilinx\14.7\ISE_DS\common\bin\nt;C:\Xilinx\14.7\ISE_DS\common\lib\nt;C:\Program Files (x86)\Common Files\Oracle\Java\javapath;C:\ispLEVER_Classic2_0\ispcpld\bin;C:\ispLEVER_Classic2_0\ispFPGA\bin\nt;C:\ispLEVER_Classic2_0\active-hdl\BIN;C:\WinAVR-20100110\bin;C:\WinAVR-20100110\utils\bin;C:\Windows\system32;C:\Windows;C:\Windows\System32\Wbem;C:\Windows\System32\WindowsPowerShell\v1.0\;C:\Windows\System32\OpenSSH\;C:\Program Files\Microchip\xc8\v2.31\bin;C:\Program Files (x86)\NVIDIA Corporation\PhysX\Common;C:\Program Files\PuTTY\;C:\Program Files\WinMerge;C:\Program Files\dotnet\;C:\Users\zanek\AppData\Local\Microsoft\WindowsApps;C:\Users\zanek\AppData\Local\GitHubDesktop\bin;C:\altera\13.0sp1\modelsim_ase\win32aloem;C:\Users\zanek\.dotnet\tools;C:\Program Files (x86)\Skyworks\ClockBuilder Pro\Bin"/>
</row> </row>
<row stringID="row" value="1"> <row stringID="row" value="1">
<item stringID="variable" value="PATHEXT"/> <item stringID="variable" value="PATHEXT"/>
@ -54,7 +54,7 @@
<item DEFAULT="None" label="-intstyle" stringID="NGDBUILD_intstyle" value="ise"/> <item DEFAULT="None" label="-intstyle" stringID="NGDBUILD_intstyle" value="ise"/>
<item DEFAULT="None" label="-dd" stringID="NGDBUILD_output_dir" value="_ngo"/> <item DEFAULT="None" label="-dd" stringID="NGDBUILD_output_dir" value="_ngo"/>
<item DEFAULT="None" label="-p" stringID="NGDBUILD_partname" value="xc95144xl-TQ100-10"/> <item DEFAULT="None" label="-p" stringID="NGDBUILD_partname" value="xc95144xl-TQ100-10"/>
<item DEFAULT="None" label="-uc" stringID="NGDBUILD_ucf_file" value="C:/Users/zanek/Documents/GitHub/SE-030/cpld/MXSE.ucf"/> <item DEFAULT="None" label="-uc" stringID="NGDBUILD_ucf_file" value="C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/MXSE.ucf"/>
</section> </section>
</task> </task>
<task stringID="NGDBUILD_REPORT"> <task stringID="NGDBUILD_REPORT">
@ -66,32 +66,32 @@
<item dataType="int" stringID="NGDBUILD_NUM_INFOS" value="0"/> <item dataType="int" stringID="NGDBUILD_NUM_INFOS" value="0"/>
</section> </section>
<section stringID="NGDBUILD_PRE_UNISIM_SUMMARY"> <section stringID="NGDBUILD_PRE_UNISIM_SUMMARY">
<item dataType="int" stringID="NGDBUILD_NUM_AND2" value="162"/> <item dataType="int" stringID="NGDBUILD_NUM_AND2" value="160"/>
<item dataType="int" stringID="NGDBUILD_NUM_AND3" value="21"/> <item dataType="int" stringID="NGDBUILD_NUM_AND3" value="20"/>
<item dataType="int" stringID="NGDBUILD_NUM_AND4" value="15"/> <item dataType="int" stringID="NGDBUILD_NUM_AND4" value="15"/>
<item dataType="int" stringID="NGDBUILD_NUM_AND5" value="1"/> <item dataType="int" stringID="NGDBUILD_NUM_AND5" value="1"/>
<item dataType="int" stringID="NGDBUILD_NUM_FD" value="56"/> <item dataType="int" stringID="NGDBUILD_NUM_FD" value="57"/>
<item dataType="int" stringID="NGDBUILD_NUM_FDCE" value="26"/> <item dataType="int" stringID="NGDBUILD_NUM_FDCE" value="27"/>
<item dataType="int" stringID="NGDBUILD_NUM_GND" value="6"/> <item dataType="int" stringID="NGDBUILD_NUM_GND" value="6"/>
<item dataType="int" stringID="NGDBUILD_NUM_IBUF" value="35"/> <item dataType="int" stringID="NGDBUILD_NUM_IBUF" value="35"/>
<item dataType="int" stringID="NGDBUILD_NUM_INV" value="238"/> <item dataType="int" stringID="NGDBUILD_NUM_INV" value="243"/>
<item dataType="int" stringID="NGDBUILD_NUM_OBUF" value="32"/> <item dataType="int" stringID="NGDBUILD_NUM_OBUF" value="32"/>
<item dataType="int" stringID="NGDBUILD_NUM_OR2" value="91"/> <item dataType="int" stringID="NGDBUILD_NUM_OR2" value="92"/>
<item dataType="int" stringID="NGDBUILD_NUM_OR3" value="8"/> <item dataType="int" stringID="NGDBUILD_NUM_OR3" value="8"/>
<item dataType="int" stringID="NGDBUILD_NUM_OR4" value="5"/> <item dataType="int" stringID="NGDBUILD_NUM_OR4" value="5"/>
<item dataType="int" stringID="NGDBUILD_NUM_VCC" value="1"/> <item dataType="int" stringID="NGDBUILD_NUM_VCC" value="1"/>
<item dataType="int" stringID="NGDBUILD_NUM_XOR2" value="12"/> <item dataType="int" stringID="NGDBUILD_NUM_XOR2" value="12"/>
</section> </section>
<section stringID="NGDBUILD_POST_UNISIM_SUMMARY"> <section stringID="NGDBUILD_POST_UNISIM_SUMMARY">
<item dataType="int" stringID="NGDBUILD_NUM_AND2" value="162"/> <item dataType="int" stringID="NGDBUILD_NUM_AND2" value="160"/>
<item dataType="int" stringID="NGDBUILD_NUM_AND3" value="21"/> <item dataType="int" stringID="NGDBUILD_NUM_AND3" value="20"/>
<item dataType="int" stringID="NGDBUILD_NUM_AND4" value="15"/> <item dataType="int" stringID="NGDBUILD_NUM_AND4" value="15"/>
<item dataType="int" stringID="NGDBUILD_NUM_AND5" value="1"/> <item dataType="int" stringID="NGDBUILD_NUM_AND5" value="1"/>
<item dataType="int" stringID="NGDBUILD_NUM_GND" value="62"/> <item dataType="int" stringID="NGDBUILD_NUM_GND" value="63"/>
<item dataType="int" stringID="NGDBUILD_NUM_IBUF" value="35"/> <item dataType="int" stringID="NGDBUILD_NUM_IBUF" value="35"/>
<item dataType="int" stringID="NGDBUILD_NUM_INV" value="238"/> <item dataType="int" stringID="NGDBUILD_NUM_INV" value="243"/>
<item dataType="int" stringID="NGDBUILD_NUM_OBUF" value="32"/> <item dataType="int" stringID="NGDBUILD_NUM_OBUF" value="32"/>
<item dataType="int" stringID="NGDBUILD_NUM_OR2" value="91"/> <item dataType="int" stringID="NGDBUILD_NUM_OR2" value="92"/>
<item dataType="int" stringID="NGDBUILD_NUM_OR3" value="8"/> <item dataType="int" stringID="NGDBUILD_NUM_OR3" value="8"/>
<item dataType="int" stringID="NGDBUILD_NUM_OR4" value="5"/> <item dataType="int" stringID="NGDBUILD_NUM_OR4" value="5"/>
<item dataType="int" stringID="NGDBUILD_NUM_TS_TIMESPEC" value="1"/> <item dataType="int" stringID="NGDBUILD_NUM_TS_TIMESPEC" value="1"/>

View File

@ -13,7 +13,7 @@
<TD BGCOLOR='#FFFF99'><B>Module Name:</B></TD> <TD BGCOLOR='#FFFF99'><B>Module Name:</B></TD>
<TD>MXSE</TD> <TD>MXSE</TD>
<TD BGCOLOR='#FFFF99'><B>Implementation State:</B></TD> <TD BGCOLOR='#FFFF99'><B>Implementation State:</B></TD>
<TD>Translated</TD> <TD>Fitted</TD>
</TR> </TR>
<TR ALIGN=LEFT> <TR ALIGN=LEFT>
<TD BGCOLOR='#FFFF99'><B>Target Device:</B></TD> <TD BGCOLOR='#FFFF99'><B>Target Device:</B></TD>
@ -43,7 +43,7 @@ No Errors</TD>
<TR ALIGN=LEFT> <TR ALIGN=LEFT>
<TD BGCOLOR='#FFFF99'><B>Environment:</B></dif></TD> <TD BGCOLOR='#FFFF99'><B>Environment:</B></dif></TD>
<TD> <TD>
<A HREF_DISABLED='C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL\MXSE_envsettings.html'> <A HREF_DISABLED='C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/XC95144XL\MXSE_envsettings.html'>
System Settings</A> System Settings</A>
</TD> </TD>
<TD BGCOLOR='#FFFF99'><UL><LI><B>Final Timing Score:</B></LI></UL></TD> <TD BGCOLOR='#FFFF99'><UL><LI><B>Final Timing Score:</B></LI></UL></TD>
@ -81,18 +81,18 @@ System Settings</A>
<TR ALIGN=CENTER BGCOLOR='#99CCFF'><TD ALIGN=CENTER COLSPAN='6'><B>Detailed Reports</B></TD><TD ALIGN=RIGHT WIDTH='10%'COLSPAN=1> <A HREF_DISABLED="?&ExpandedTable=DetailedReports"><B>[-]</B></a></TD></TR> <TR ALIGN=CENTER BGCOLOR='#99CCFF'><TD ALIGN=CENTER COLSPAN='6'><B>Detailed Reports</B></TD><TD ALIGN=RIGHT WIDTH='10%'COLSPAN=1> <A HREF_DISABLED="?&ExpandedTable=DetailedReports"><B>[-]</B></a></TD></TR>
<TR BGCOLOR='#FFFF99'><TD><B>Report Name</B></TD><TD><B>Status</B></TD><TD><B>Generated</B></TD> <TR BGCOLOR='#FFFF99'><TD><B>Report Name</B></TD><TD><B>Status</B></TD><TD><B>Generated</B></TD>
<TD ALIGN=LEFT><B>Errors</B></TD><TD ALIGN=LEFT><B>Warnings</B></TD><TD ALIGN=LEFT COLSPAN='2'><B>Infos</B></TD></TR> <TD ALIGN=LEFT><B>Errors</B></TD><TD ALIGN=LEFT><B>Warnings</B></TD><TD ALIGN=LEFT COLSPAN='2'><B>Infos</B></TD></TR>
<TR ALIGN=LEFT><TD><A HREF_DISABLED='C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL\MXSE.syr'>Synthesis Report</A></TD><TD>Current</TD><TD>Sun Oct 24 07:13:26 2021</TD><TD ALIGN=LEFT>0</TD><TD ALIGN=LEFT>0</TD><TD ALIGN=LEFT COLSPAN='2'>0</TD></TR> <TR ALIGN=LEFT><TD><A HREF_DISABLED='C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/XC95144XL\MXSE.syr'>Synthesis Report</A></TD><TD>Current</TD><TD>Sat Dec 11 06:24:06 2021</TD><TD ALIGN=LEFT>0</TD><TD ALIGN=LEFT>0</TD><TD ALIGN=LEFT COLSPAN='2'>0</TD></TR>
<TR ALIGN=LEFT><TD><A HREF_DISABLED='C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL\MXSE.bld'>Translation Report</A></TD><TD>Current</TD><TD>Sun Oct 24 07:13:29 2021</TD><TD ALIGN=LEFT>0</TD><TD ALIGN=LEFT>0</TD><TD ALIGN=LEFT COLSPAN='2'>0</TD></TR> <TR ALIGN=LEFT><TD><A HREF_DISABLED='C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/XC95144XL\MXSE.bld'>Translation Report</A></TD><TD>Current</TD><TD>Sat Dec 11 06:24:10 2021</TD><TD ALIGN=LEFT>0</TD><TD ALIGN=LEFT>0</TD><TD ALIGN=LEFT COLSPAN='2'>0</TD></TR>
<TR ALIGN=LEFT><TD><A HREF_DISABLED='C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL\MXSE.rpt'>CPLD Fitter Report (Text)</A></TD><TD>Current</TD><TD>Sun Oct 24 07:13:42 2021</TD><TD>&nbsp;</TD><TD>&nbsp;</TD><TD COLSPAN='2'>&nbsp;</TD></TR> <TR ALIGN=LEFT><TD><A HREF_DISABLED='C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/XC95144XL\MXSE.rpt'>CPLD Fitter Report (Text)</A></TD><TD>Current</TD><TD>Sat Dec 11 06:24:23 2021</TD><TD ALIGN=LEFT>0</TD><TD ALIGN=LEFT><A HREF_DISABLED='C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/XC95144XL\_xmsgs/cpldfit.xmsgs?&DataKey=Warning'>1 Warning (1 new)</A></TD><TD ALIGN=LEFT COLSPAN='2'>0</TD></TR>
<TR ALIGN=LEFT><TD>Power Report</TD><TD>&nbsp;</TD><TD>&nbsp;</TD><TD>&nbsp;</TD><TD>&nbsp;</TD><TD COLSPAN='2'>&nbsp;</TD></TR> <TR ALIGN=LEFT><TD>Power Report</TD><TD>&nbsp;</TD><TD>&nbsp;</TD><TD>&nbsp;</TD><TD>&nbsp;</TD><TD COLSPAN='2'>&nbsp;</TD></TR>
</TABLE> </TABLE>
&nbsp;<BR><TABLE BORDER CELLSPACING=0 CELLPADDING=3 WIDTH='100%'> &nbsp;<BR><TABLE BORDER CELLSPACING=0 CELLPADDING=3 WIDTH='100%'>
<TR ALIGN=CENTER BGCOLOR='#99CCFF'><TD ALIGN=CENTER COLSPAN='3'><B>Secondary Reports</B></TD><TD ALIGN=RIGHT WIDTH='10%'COLSPAN=1> <A HREF_DISABLED="?&ExpandedTable=SecondaryReports"><B>[-]</B></a></TD></TR> <TR ALIGN=CENTER BGCOLOR='#99CCFF'><TD ALIGN=CENTER COLSPAN='3'><B>Secondary Reports</B></TD><TD ALIGN=RIGHT WIDTH='10%'COLSPAN=1> <A HREF_DISABLED="?&ExpandedTable=SecondaryReports"><B>[-]</B></a></TD></TR>
<TR BGCOLOR='#FFFF99'><TD><B>Report Name</B></TD><TD><B>Status</B></TD><TD COLSPAN='2'><B>Generated</B></TD></TR> <TR BGCOLOR='#FFFF99'><TD><B>Report Name</B></TD><TD><B>Status</B></TD><TD COLSPAN='2'><B>Generated</B></TD></TR>
<TR ALIGN=LEFT><TD><A HREF_DISABLED='C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL\isim.log'>ISIM Simulator Log</A></TD><TD>Out of Date</TD><TD COLSPAN='2'>Sun Oct 24 02:38:36 2021</TD></TR> <TR ALIGN=LEFT><TD><A HREF_DISABLED='C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/XC95144XL\isim.log'>ISIM Simulator Log</A></TD><TD>Out of Date</TD><TD COLSPAN='2'>Sat Dec 11 07:14:04 2021</TD></TR>
<TR ALIGN=LEFT><TD>Post-Fit Simulation Model Report</TD><TD>&nbsp;</TD><TD COLSPAN='2'>&nbsp;</TD></TR> <TR ALIGN=LEFT><TD>Post-Fit Simulation Model Report</TD><TD>&nbsp;</TD><TD COLSPAN='2'>&nbsp;</TD></TR>
</TABLE> </TABLE>
<br><center><b>Date Generated:</b> 10/26/2021 - 06:32:26</center> <br><center><b>Date Generated:</b> 12/11/2021 - 07:16:19</center>
</BODY></HTML> </BODY></HTML>

View File

@ -5,14 +5,14 @@
The structure and the elements are likely to change over the next few releases. The structure and the elements are likely to change over the next few releases.
This means code written to parse this file will need to be revisited each subsequent release.--> This means code written to parse this file will need to be revisited each subsequent release.-->
<application stringID="Xst" timeStamp="Sun Oct 24 07:13:23 2021"> <application stringID="Xst" timeStamp="Sat Dec 11 06:24:04 2021">
<section stringID="User_Env"> <section stringID="User_Env">
<table stringID="User_EnvVar"> <table stringID="User_EnvVar">
<column stringID="variable"/> <column stringID="variable"/>
<column stringID="value"/> <column stringID="value"/>
<row stringID="row" value="0"> <row stringID="row" value="0">
<item stringID="variable" value="Path"/> <item stringID="variable" value="Path"/>
<item stringID="value" value="C:\Xilinx\14.7\ISE_DS\ISE\\lib\nt;C:\Xilinx\14.7\ISE_DS\ISE\\bin\nt;C:\Xilinx\14.7\ISE_DS\ISE\bin\nt64;C:\Xilinx\14.7\ISE_DS\ISE\lib\nt64;C:\Xilinx\14.7\ISE_DS\ISE\..\..\..\DocNav;C:\Xilinx\14.7\ISE_DS\PlanAhead\bin;C:\Xilinx\14.7\ISE_DS\EDK\bin\nt64;C:\Xilinx\14.7\ISE_DS\EDK\lib\nt64;C:\Xilinx\14.7\ISE_DS\EDK\gnu\microblaze\nt\bin;C:\Xilinx\14.7\ISE_DS\EDK\gnu\powerpc-eabi\nt\bin;C:\Xilinx\14.7\ISE_DS\EDK\gnuwin\bin;C:\Xilinx\14.7\ISE_DS\EDK\gnu\arm\nt\bin;C:\Xilinx\14.7\ISE_DS\EDK\gnu\microblaze\linux_toolchain\nt64_be\bin;C:\Xilinx\14.7\ISE_DS\EDK\gnu\microblaze\linux_toolchain\nt64_le\bin;C:\Xilinx\14.7\ISE_DS\common\bin\nt64;C:\Xilinx\14.7\ISE_DS\common\lib\nt64;C:\ispLEVER_Classic2_0\ispcpld\bin;C:\ispLEVER_Classic2_0\ispFPGA\bin\nt;C:\ispLEVER_Classic2_0\active-hdl\BIN;C:\WinAVR-20100110\bin;C:\WinAVR-20100110\utils\bin;C:\Windows\system32;C:\Windows;C:\Windows\System32\Wbem;C:\Windows\System32\WindowsPowerShell\v1.0\;C:\Windows\System32\OpenSSH\;C:\Program Files\Microchip\xc8\v2.31\bin;C:\Program Files (x86)\NVIDIA Corporation\PhysX\Common;C:\Program Files\PuTTY\;C:\Program Files\WinMerge;C:\Program Files\dotnet\;C:\Users\zanek\AppData\Local\Microsoft\WindowsApps;C:\Users\zanek\AppData\Local\GitHubDesktop\bin;C:\altera\13.0sp1\modelsim_ase\win32aloem;C:\Users\zanek\.dotnet\tools;C:\Program Files (x86)\Skyworks\ClockBuilder Pro\Bin"/> <item stringID="value" value="C:\Xilinx\14.7\ISE_DS\ISE\\lib\nt;C:\Xilinx\14.7\ISE_DS\ISE\\bin\nt;C:\Xilinx\14.7\ISE_DS\ISE\bin\nt;C:\Xilinx\14.7\ISE_DS\ISE\lib\nt;C:\Xilinx\14.7\ISE_DS\ISE\..\..\..\DocNav;C:\Xilinx\14.7\ISE_DS\PlanAhead\bin;C:\Xilinx\14.7\ISE_DS\EDK\bin\nt;C:\Xilinx\14.7\ISE_DS\EDK\lib\nt;C:\Xilinx\14.7\ISE_DS\EDK\gnu\microblaze\nt\bin;C:\Xilinx\14.7\ISE_DS\EDK\gnu\powerpc-eabi\nt\bin;C:\Xilinx\14.7\ISE_DS\EDK\gnuwin\bin;C:\Xilinx\14.7\ISE_DS\EDK\gnu\arm\nt\bin;C:\Xilinx\14.7\ISE_DS\EDK\gnu\microblaze\linux_toolchain\nt_be\bin;C:\Xilinx\14.7\ISE_DS\EDK\gnu\microblaze\linux_toolchain\nt_le\bin;C:\Xilinx\14.7\ISE_DS\common\bin\nt;C:\Xilinx\14.7\ISE_DS\common\lib\nt;C:\Program Files (x86)\Common Files\Oracle\Java\javapath;C:\ispLEVER_Classic2_0\ispcpld\bin;C:\ispLEVER_Classic2_0\ispFPGA\bin\nt;C:\ispLEVER_Classic2_0\active-hdl\BIN;C:\WinAVR-20100110\bin;C:\WinAVR-20100110\utils\bin;C:\Windows\system32;C:\Windows;C:\Windows\System32\Wbem;C:\Windows\System32\WindowsPowerShell\v1.0\;C:\Windows\System32\OpenSSH\;C:\Program Files\Microchip\xc8\v2.31\bin;C:\Program Files (x86)\NVIDIA Corporation\PhysX\Common;C:\Program Files\PuTTY\;C:\Program Files\WinMerge;C:\Program Files\dotnet\;C:\Users\zanek\AppData\Local\Microsoft\WindowsApps;C:\Users\zanek\AppData\Local\GitHubDesktop\bin;C:\altera\13.0sp1\modelsim_ase\win32aloem;C:\Users\zanek\.dotnet\tools;C:\Program Files (x86)\Skyworks\ClockBuilder Pro\Bin"/>
</row> </row>
<row stringID="row" value="1"> <row stringID="row" value="1">
<item stringID="variable" value="PATHEXT"/> <item stringID="variable" value="PATHEXT"/>
@ -76,8 +76,8 @@
</section> </section>
<section stringID="XST_HDL_SYNTHESIS_REPORT"> <section stringID="XST_HDL_SYNTHESIS_REPORT">
<item dataType="int" stringID="XST_COUNTERS" value="2"></item> <item dataType="int" stringID="XST_COUNTERS" value="2"></item>
<item dataType="int" stringID="XST_REGISTERS" value="56"> <item dataType="int" stringID="XST_REGISTERS" value="58">
<item dataType="int" stringID="XST_1BIT_REGISTER" value="56"/> <item dataType="int" stringID="XST_1BIT_REGISTER" value="58"/>
</item> </item>
</section> </section>
<section stringID="XST_ADVANCED_HDL_SYNTHESIS_REPORT"> <section stringID="XST_ADVANCED_HDL_SYNTHESIS_REPORT">
@ -104,19 +104,19 @@
<item stringID="XST_IOS" value="67"/> <item stringID="XST_IOS" value="67"/>
</section> </section>
<section stringID="XST_CELL_USAGE"> <section stringID="XST_CELL_USAGE">
<item dataType="int" stringID="XST_BELS" value="566"> <item dataType="int" stringID="XST_BELS" value="570">
<item dataType="int" stringID="XST_AND2" value="162"/> <item dataType="int" stringID="XST_AND2" value="160"/>
<item dataType="int" stringID="XST_AND3" value="21"/> <item dataType="int" stringID="XST_AND3" value="20"/>
<item dataType="int" stringID="XST_AND4" value="15"/> <item dataType="int" stringID="XST_AND4" value="15"/>
<item dataType="int" stringID="XST_GND" value="6"/> <item dataType="int" stringID="XST_GND" value="6"/>
<item dataType="int" stringID="XST_INV" value="238"/> <item dataType="int" stringID="XST_INV" value="243"/>
<item dataType="int" stringID="XST_OR2" value="91"/> <item dataType="int" stringID="XST_OR2" value="92"/>
<item dataType="int" stringID="XST_VCC" value="1"/> <item dataType="int" stringID="XST_VCC" value="1"/>
<item dataType="int" stringID="XST_XOR2" value="12"/> <item dataType="int" stringID="XST_XOR2" value="12"/>
</item> </item>
<item dataType="int" stringID="XST_FLIPFLOPSLATCHES" value="82"> <item dataType="int" stringID="XST_FLIPFLOPSLATCHES" value="84">
<item dataType="int" stringID="XST_FD" value="56"/> <item dataType="int" stringID="XST_FD" value="57"/>
<item dataType="int" stringID="XST_FDCE" value="26"/> <item dataType="int" stringID="XST_FDCE" value="27"/>
</item> </item>
<item dataType="int" stringID="XST_IO_BUFFERS" value="67"> <item dataType="int" stringID="XST_IO_BUFFERS" value="67">
<item dataType="int" stringID="XST_IBUF" value="35"/> <item dataType="int" stringID="XST_IBUF" value="35"/>

View File

@ -1,2 +1,2 @@
C:\Users\zanek\Documents\GitHub\SE-030\cpld\XC95144XL\MXSE.ngc 1635074006 C:\Users\zanek\Documents\GitHub\Warp-SE\cpld\XC95144XL\MXSE.ngc 1639221846
OK OK

View File

@ -9,3 +9,4 @@
</msg> </msg>
</messages> </messages>

View File

@ -8,25 +8,7 @@
<!-- Copyright (c) 1995-2013 Xilinx, Inc. All rights reserved. --> <!-- Copyright (c) 1995-2013 Xilinx, Inc. All rights reserved. -->
<messages> <messages>
<msg type="info" file="ProjectMgmt" num="1845" ><arg fmt="%s" index="1">Analyzing Verilog file &quot;C:/Users/zanek/Documents/GitHub/SE-030/cpld/CNT.v&quot; into library work</arg> <msg type="info" file="ProjectMgmt" num="1845" ><arg fmt="%s" index="1">Analyzing Verilog file &quot;C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/XC95144XL/t_ram.v&quot; into library work</arg>
</msg>
<msg type="info" file="ProjectMgmt" num="1845" ><arg fmt="%s" index="1">Analyzing Verilog file &quot;C:/Users/zanek/Documents/GitHub/SE-030/cpld/CS.v&quot; into library work</arg>
</msg>
<msg type="info" file="ProjectMgmt" num="1845" ><arg fmt="%s" index="1">Analyzing Verilog file &quot;C:/Users/zanek/Documents/GitHub/SE-030/cpld/FSB.v&quot; into library work</arg>
</msg>
<msg type="info" file="ProjectMgmt" num="1845" ><arg fmt="%s" index="1">Analyzing Verilog file &quot;C:/Users/zanek/Documents/GitHub/SE-030/cpld/IOBM.v&quot; into library work</arg>
</msg>
<msg type="info" file="ProjectMgmt" num="1845" ><arg fmt="%s" index="1">Analyzing Verilog file &quot;C:/Users/zanek/Documents/GitHub/SE-030/cpld/IOBS.v&quot; into library work</arg>
</msg>
<msg type="info" file="ProjectMgmt" num="1845" ><arg fmt="%s" index="1">Analyzing Verilog file &quot;C:/Users/zanek/Documents/GitHub/SE-030/cpld/MXSE.v&quot; into library work</arg>
</msg>
<msg type="info" file="ProjectMgmt" num="1845" ><arg fmt="%s" index="1">Analyzing Verilog file &quot;C:/Users/zanek/Documents/GitHub/SE-030/cpld/RAM.v&quot; into library work</arg>
</msg> </msg>
</messages> </messages>

View File

@ -1,19 +1,19 @@
Running: C:\Xilinx\14.7\ISE_DS\ISE\bin\nt64\unwrapped\fuse.exe -intstyle ise -incremental -lib uni9000_ver -lib aim_ver -lib cpld_ver -lib xilinxcorelib_ver -o C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/t_cs_isim_beh.exe -prj C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/t_cs_beh.prj work.t_cs work.glbl Running: C:\Xilinx\14.7\ISE_DS\ISE\bin\nt64\unwrapped\fuse.exe -intstyle ise -incremental -lib uni9000_ver -lib aim_ver -lib cpld_ver -lib xilinxcorelib_ver -o C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/XC95144XL/t_fsb_isim_beh.exe -prj C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/XC95144XL/t_fsb_beh.prj work.t_fsb work.glbl
ISim P.20131013 (signature 0x7708f090) ISim P.20131013 (signature 0x7708f090)
Number of CPUs detected in this system: 8 Number of CPUs detected in this system: 8
Turning on mult-threading, number of parallel sub-compilation jobs: 16 Turning on mult-threading, number of parallel sub-compilation jobs: 16
Determining compilation order of HDL files Determining compilation order of HDL files
Analyzing Verilog file "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/../CS.v" into library work Analyzing Verilog file "C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/XC95144XL/../FSB.v" into library work
Analyzing Verilog file "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/../test/t_cs.v" into library work Analyzing Verilog file "C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/XC95144XL/test/t_fsb.v" into library work
Analyzing Verilog file "C:/Xilinx/14.7/ISE_DS/ISE//verilog/src/glbl.v" into library work Analyzing Verilog file "C:/Xilinx/14.7/ISE_DS/ISE//verilog/src/glbl.v" into library work
Starting static elaboration Starting static elaboration
Completed static elaboration Completed static elaboration
Compiling module CS Compiling module FSB
Compiling module t_cs Compiling module t_fsb
Compiling module glbl Compiling module glbl
Time Resolution for simulation is 1ps. Time Resolution for simulation is 1ps.
Waiting for 1 sub-compilation(s) to finish... Waiting for 1 sub-compilation(s) to finish...
Compiled 3 Verilog Units Compiled 3 Verilog Units
Built simulation executable C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/t_cs_isim_beh.exe Built simulation executable C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/XC95144XL/t_fsb_isim_beh.exe
Fuse Memory Usage: 29568 KB Fuse Memory Usage: 29068 KB
Fuse CPU Usage: 280 ms Fuse CPU Usage: 327 ms

View File

@ -1 +1 @@
-intstyle "ise" -incremental -lib "uni9000_ver" -lib "aim_ver" -lib "cpld_ver" -lib "xilinxcorelib_ver" -o "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/t_cs_isim_beh.exe" -prj "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/t_cs_beh.prj" "work.t_cs" "work.glbl" -intstyle "ise" -incremental -lib "uni9000_ver" -lib "aim_ver" -lib "cpld_ver" -lib "xilinxcorelib_ver" -o "C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/XC95144XL/t_fsb_isim_beh.exe" -prj "C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/XC95144XL/t_fsb_beh.prj" "work.t_fsb" "work.glbl"

View File

@ -9,13 +9,13 @@
<ClosedNodesVersion>2</ClosedNodesVersion> <ClosedNodesVersion>2</ClosedNodesVersion>
</ClosedNodes> </ClosedNodes>
<SelectedItems> <SelectedItems>
<SelectedItem>iobm - IOBM (C:/Users/zanek/Documents/GitHub/SE-030/cpld/IOBM.v)</SelectedItem> <SelectedItem>MXSE (C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/MXSE.v)</SelectedItem>
</SelectedItems> </SelectedItems>
<ScrollbarPosition orientation="vertical" >0</ScrollbarPosition> <ScrollbarPosition orientation="vertical" >0</ScrollbarPosition>
<ScrollbarPosition orientation="horizontal" >0</ScrollbarPosition> <ScrollbarPosition orientation="horizontal" >0</ScrollbarPosition>
<ViewHeaderState orientation="horizontal" >000000ff000000000000000100000001000000000000000000000000000000000202000000010000000100000064000000da000000020000000000000000000000000200000064ffffffff000000810000000300000002000000da0000000100000003000000000000000100000003</ViewHeaderState> <ViewHeaderState orientation="horizontal" >000000ff000000000000000100000001000000000000000000000000000000000202000000010000000100000064000000da000000020000000000000000000000000200000064ffffffff000000810000000300000002000000da0000000100000003000000000000000100000003</ViewHeaderState>
<UserChangedColumnWidths orientation="horizontal" >true</UserChangedColumnWidths> <UserChangedColumnWidths orientation="horizontal" >true</UserChangedColumnWidths>
<CurrentItem>iobm - IOBM (C:/Users/zanek/Documents/GitHub/SE-030/cpld/IOBM.v)</CurrentItem> <CurrentItem>MXSE (C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/MXSE.v)</CurrentItem>
</ItemView> </ItemView>
<ItemView engineview="SynthesisOnly" sourcetype="" guiview="Process" > <ItemView engineview="SynthesisOnly" sourcetype="" guiview="Process" >
<ClosedNodes> <ClosedNodes>
@ -64,25 +64,25 @@
</SelectedItems> </SelectedItems>
<ScrollbarPosition orientation="vertical" >0</ScrollbarPosition> <ScrollbarPosition orientation="vertical" >0</ScrollbarPosition>
<ScrollbarPosition orientation="horizontal" >0</ScrollbarPosition> <ScrollbarPosition orientation="horizontal" >0</ScrollbarPosition>
<ViewHeaderState orientation="horizontal" >000000ff000000000000000100000001000000000000000000000000000000000000000000000000fb000000010000000100000000000000000000000064ffffffff000000810000000000000001000000fb0000000100000000</ViewHeaderState> <ViewHeaderState orientation="horizontal" >000000ff000000000000000100000001000000000000000000000000000000000000000000000000f8000000010000000100000000000000000000000064ffffffff000000810000000000000001000000f80000000100000000</ViewHeaderState>
<UserChangedColumnWidths orientation="horizontal" >false</UserChangedColumnWidths> <UserChangedColumnWidths orientation="horizontal" >false</UserChangedColumnWidths>
<CurrentItem></CurrentItem> <CurrentItem></CurrentItem>
</ItemView> </ItemView>
<SourceProcessView>000000ff00000000000000020000011b0000011b01000000050100000002</SourceProcessView> <SourceProcessView>000000ff00000000000000020000017b0000017b01000000050100000002</SourceProcessView>
<CurrentView>Implementation</CurrentView> <CurrentView>Behavioral Simulation</CurrentView>
<ItemView engineview="BehavioralSim" guiview="Source" compilemode="AutoCompile" > <ItemView engineview="BehavioralSim" guiview="Source" compilemode="AutoCompile" >
<ClosedNodes> <ClosedNodes>
<ClosedNodesVersion>2</ClosedNodesVersion> <ClosedNodesVersion>2</ClosedNodesVersion>
<ClosedNode>/test C:|Users|zanek|Documents|GitHub|SE-030|cpld|XC95144XL|test.v</ClosedNode> <ClosedNode>/test C:|Users|zanek|Documents|GitHub|SE-030|cpld|XC95144XL|test.v</ClosedNode>
</ClosedNodes> </ClosedNodes>
<SelectedItems> <SelectedItems>
<SelectedItem>t_cs (C:/Users/zanek/Documents/GitHub/SE-030/cpld/test/t_cs.v)</SelectedItem> <SelectedItem>fsb - FSB (C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/FSB.v)</SelectedItem>
</SelectedItems> </SelectedItems>
<ScrollbarPosition orientation="vertical" >2</ScrollbarPosition> <ScrollbarPosition orientation="vertical" >0</ScrollbarPosition>
<ScrollbarPosition orientation="horizontal" >0</ScrollbarPosition> <ScrollbarPosition orientation="horizontal" >0</ScrollbarPosition>
<ViewHeaderState orientation="horizontal" >000000ff000000000000000100000001000000000000000000000000000000000202000000010000000100000064000000f1000000020000000000000000000000000200000064ffffffff000000810000000300000002000000f10000000100000003000000000000000100000003</ViewHeaderState> <ViewHeaderState orientation="horizontal" >000000ff000000000000000100000001000000000000000000000000000000000202000000010000000100000064000000da000000020000000000000000000000000200000064ffffffff000000810000000300000002000000da0000000100000003000000000000000100000003</ViewHeaderState>
<UserChangedColumnWidths orientation="horizontal" >false</UserChangedColumnWidths> <UserChangedColumnWidths orientation="horizontal" >false</UserChangedColumnWidths>
<CurrentItem>t_cs (C:/Users/zanek/Documents/GitHub/SE-030/cpld/test/t_cs.v)</CurrentItem> <CurrentItem>fsb - FSB (C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/FSB.v)</CurrentItem>
</ItemView> </ItemView>
<ItemView engineview="BehavioralSim" sourcetype="" guiview="Process" > <ItemView engineview="BehavioralSim" sourcetype="" guiview="Process" >
<ClosedNodes> <ClosedNodes>
@ -90,25 +90,25 @@
<ClosedNode>Design Utilities/Compile HDL Simulation Libraries</ClosedNode> <ClosedNode>Design Utilities/Compile HDL Simulation Libraries</ClosedNode>
</ClosedNodes> </ClosedNodes>
<SelectedItems> <SelectedItems>
<SelectedItem/> <SelectedItem></SelectedItem>
</SelectedItems> </SelectedItems>
<ScrollbarPosition orientation="vertical" >0</ScrollbarPosition> <ScrollbarPosition orientation="vertical" >0</ScrollbarPosition>
<ScrollbarPosition orientation="horizontal" >0</ScrollbarPosition> <ScrollbarPosition orientation="horizontal" >0</ScrollbarPosition>
<ViewHeaderState orientation="horizontal" >000000ff000000000000000100000001000000000000000000000000000000000000000000000000f8000000010000000100000000000000000000000064ffffffff000000810000000000000001000000f80000000100000000</ViewHeaderState> <ViewHeaderState orientation="horizontal" >000000ff000000000000000100000001000000000000000000000000000000000000000000000000f8000000010000000100000000000000000000000064ffffffff000000810000000000000001000000f80000000100000000</ViewHeaderState>
<UserChangedColumnWidths orientation="horizontal" >false</UserChangedColumnWidths> <UserChangedColumnWidths orientation="horizontal" >false</UserChangedColumnWidths>
<CurrentItem/> <CurrentItem></CurrentItem>
</ItemView> </ItemView>
<ItemView engineview="BehavioralSim" sourcetype="DESUT_VERILOG" guiview="Process" > <ItemView engineview="BehavioralSim" sourcetype="DESUT_VERILOG" guiview="Process" >
<ClosedNodes> <ClosedNodes>
<ClosedNodesVersion>1</ClosedNodesVersion> <ClosedNodesVersion>1</ClosedNodesVersion>
</ClosedNodes> </ClosedNodes>
<SelectedItems> <SelectedItems>
<SelectedItem/> <SelectedItem>Simulate Behavioral Model</SelectedItem>
</SelectedItems> </SelectedItems>
<ScrollbarPosition orientation="vertical" >0</ScrollbarPosition> <ScrollbarPosition orientation="vertical" >0</ScrollbarPosition>
<ScrollbarPosition orientation="horizontal" >0</ScrollbarPosition> <ScrollbarPosition orientation="horizontal" >0</ScrollbarPosition>
<ViewHeaderState orientation="horizontal" >000000ff000000000000000100000001000000000000000000000000000000000000000000000000f8000000010000000100000000000000000000000064ffffffff000000810000000000000001000000f80000000100000000</ViewHeaderState> <ViewHeaderState orientation="horizontal" >000000ff000000000000000100000001000000000000000000000000000000000000000000000000f8000000010000000100000000000000000000000064ffffffff000000810000000000000001000000f80000000100000000</ViewHeaderState>
<UserChangedColumnWidths orientation="horizontal" >false</UserChangedColumnWidths> <UserChangedColumnWidths orientation="horizontal" >false</UserChangedColumnWidths>
<CurrentItem/> <CurrentItem>Simulate Behavioral Model</CurrentItem>
</ItemView> </ItemView>
</Project> </Project>

View File

@ -1,11 +1,11 @@
<?xml version='1.0' encoding='UTF-8'?> <?xml version='1.0' encoding='UTF-8'?>
<report-views version="2.0" > <report-views version="2.0" >
<header> <header>
<DateModified>2021-10-26T06:32:26</DateModified> <DateModified>2021-12-11T06:56:18</DateModified>
<ModuleName>MXSE</ModuleName> <ModuleName>MXSE</ModuleName>
<SummaryTimeStamp>2021-10-23T06:51:21</SummaryTimeStamp> <SummaryTimeStamp>2021-10-23T06:51:21</SummaryTimeStamp>
<SavedFilePath>C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/iseconfig/MXSE.xreport</SavedFilePath> <SavedFilePath>C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/XC95144XL/iseconfig/MXSE.xreport</SavedFilePath>
<ImplementationReportsDirectory>C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL\</ImplementationReportsDirectory> <ImplementationReportsDirectory>C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/XC95144XL\</ImplementationReportsDirectory>
<DateInitialized>2021-10-07T05:05:00</DateInitialized> <DateInitialized>2021-10-07T05:05:00</DateInitialized>
<EnableMessageFiltering>false</EnableMessageFiltering> <EnableMessageFiltering>false</EnableMessageFiltering>
</header> </header>

View File

@ -1,6 +1,20 @@
ISim log file ISim log file
Running: C:\Users\zanek\Documents\GitHub\SE-030\cpld\XC95144XL\t_cs_isim_beh.exe -intstyle ise -gui -tclbatch isim.cmd -wdb C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/t_cs_isim_beh.wdb Running: C:\Users\zanek\Documents\GitHub\Warp-SE\cpld\XC95144XL\t_fsb_isim_beh.exe -intstyle ise -gui -tclbatch isim.cmd -wdb C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/XC95144XL/t_fsb_isim_beh.wdb
INFO: There is another simulation running in the same directory. Using database file name isim2.wdb.
ISim P.20131013 (signature 0x7708f090) ISim P.20131013 (signature 0x7708f090)
----------------------------------------------------------------------
INFO:Security:51 - The XILINXD_LICENSE_FILE environment variable is not set.
INFO:Security:52 - The LM_LICENSE_FILE environment variable is set to 'C:\ispLEVER_Classic2_0\license\license.dat;C:\lscc\diamond\3.12\license\license.dat;C:\Xilinx\14.7\ISE_DS\Xilinx.lic'.
INFO:Security:66 - Your license for 'ISIM' is for evaluation use only.
WARNING:Security:43 - No license file was found in the standard Xilinx license directory.
WARNING:Security:44 - Since no license file was found,
please run the Xilinx License Configuration Manager
(xlcm or "Manage Xilinx Licenses")
to assist in obtaining a license.
WARNING:Security:42 - Your license support version '2021.12' for ISIM expires in 20 days after which you will not qualify for Xilinx software updates or new releases.
----------------------------------------------------------------------
This is a Full version of ISim. This is a Full version of ISim.
Time resolution is 1 ps Time resolution is 1 ps
# onerror resume # onerror resume
@ -8,7 +22,21 @@ Time resolution is 1 ps
# run 1000 ns # run 1000 ns
Simulator is doing circuit initialization process. Simulator is doing circuit initialization process.
Finished circuit initialization process. Finished circuit initialization process.
# run 1.00us
# run 1.00us
# restart # restart
# run all # run 1.00us
Simulator is doing circuit initialization process. Simulator is doing circuit initialization process.
Finished circuit initialization process. Finished circuit initialization process.
# run 1.00us
# run 1.00us
# run 1.00us
# run 1.00us
# run 1.00us
# restart
# run 1.00us
Simulator is doing circuit initialization process.
Finished circuit initialization process.
# run 1.00us
# run 1.00us
# run 1.00us

View File

@ -2,14 +2,14 @@
<xtag-section name="ISimStatistics"> <xtag-section name="ISimStatistics">
<TR ALIGN=CENTER BGCOLOR='#99CCFF'><TD COLSPAN=1><B>ISim Statistics</B></TD></TR> <TR ALIGN=CENTER BGCOLOR='#99CCFF'><TD COLSPAN=1><B>ISim Statistics</B></TD></TR>
<TR><TD><xtag-isim-property-name>Xilinx HDL Libraries Used</xtag-isim-property-name>=<xtag-isim-property-value></xtag-isim-property-value></TD></TR> <TR><TD><xtag-isim-property-name>Xilinx HDL Libraries Used</xtag-isim-property-name>=<xtag-isim-property-value></xtag-isim-property-value></TD></TR>
<TR><TD><xtag-isim-property-name>Fuse Resource Usage</xtag-isim-property-name>=<xtag-isim-property-value>280 ms, 29568 KB</xtag-isim-property-value></TD></TR> <TR><TD><xtag-isim-property-name>Fuse Resource Usage</xtag-isim-property-name>=<xtag-isim-property-value>327 ms, 29068 KB</xtag-isim-property-value></TD></TR>
<TR><TD><xtag-isim-property-name>Total Signals</xtag-isim-property-name>=<xtag-isim-property-value>31</xtag-isim-property-value></TD></TR> <TR><TD><xtag-isim-property-name>Total Signals</xtag-isim-property-name>=<xtag-isim-property-value>39</xtag-isim-property-value></TD></TR>
<TR><TD><xtag-isim-property-name>Total Nets</xtag-isim-property-name>=<xtag-isim-property-value>41</xtag-isim-property-value></TD></TR> <TR><TD><xtag-isim-property-name>Total Nets</xtag-isim-property-name>=<xtag-isim-property-value>38</xtag-isim-property-value></TD></TR>
<TR><TD><xtag-isim-property-name>Total Blocks</xtag-isim-property-name>=<xtag-isim-property-value>3</xtag-isim-property-value></TD></TR> <TR><TD><xtag-isim-property-name>Total Blocks</xtag-isim-property-name>=<xtag-isim-property-value>3</xtag-isim-property-value></TD></TR>
<TR><TD><xtag-isim-property-name>Total Processes</xtag-isim-property-name>=<xtag-isim-property-value>22</xtag-isim-property-value></TD></TR> <TR><TD><xtag-isim-property-name>Total Processes</xtag-isim-property-name>=<xtag-isim-property-value>48</xtag-isim-property-value></TD></TR>
<TR><TD><xtag-isim-property-name>Total Simulation Time</xtag-isim-property-name>=<xtag-isim-property-value>950 ns</xtag-isim-property-value></TD></TR> <TR><TD><xtag-isim-property-name>Total Simulation Time</xtag-isim-property-name>=<xtag-isim-property-value>4 us</xtag-isim-property-value></TD></TR>
<TR><TD><xtag-isim-property-name>Simulation Resource Usage</xtag-isim-property-name>=<xtag-isim-property-value>0.078125 sec, 4962316 KB</xtag-isim-property-value></TD></TR> <TR><TD><xtag-isim-property-name>Simulation Resource Usage</xtag-isim-property-name>=<xtag-isim-property-value>0.109375 sec, 4643532 KB</xtag-isim-property-value></TD></TR>
<TR><TD><xtag-isim-property-name>Simulation Mode</xtag-isim-property-name>=<xtag-isim-property-value>gui</xtag-isim-property-value></TD></TR> <TR><TD><xtag-isim-property-name>Simulation Mode</xtag-isim-property-name>=<xtag-isim-property-value>gui</xtag-isim-property-value></TD></TR>
<TR><TD><xtag-isim-property-name>Hardware CoSim</xtag-isim-property-name>=<xtag-isim-property-value>0</xtag-isim-property-value></TD></TR> <TR><TD><xtag-isim-property-name>Hardware CoSim</xtag-isim-property-name>=<xtag-isim-property-value>0</xtag-isim-property-value></TD></TR>
</xtag-section> </xtag-section>

View File

@ -1,28 +0,0 @@
Command line:
t_cnt_isim_beh.exe
-simmode gui
-simrunnum 0
-socket 49972
Sat Oct 23 08:03:51 2021
Elaboration Time: 0.09375 sec
Current Memory Usage: 5144.77 Meg
Total Signals : 22
Total Nets : 20
Total Signal Drivers : 12
Total Blocks : 3
Total Primitive Blocks : 2
Total Processes : 16
Total Traceable Variables : 25
Total Scalar Nets and Variables : 115
Total Simulation Time: 0.375 sec
Current Memory Usage: 4672.91 Meg
Sat Oct 23 08:14:58 2021

View File

@ -1,28 +0,0 @@
Command line:
t_cs_isim_beh.exe
-simmode gui
-simrunnum 0
-socket 57182
Sun Oct 24 02:37:51 2021
Elaboration Time: 0.015625 sec
Current Memory Usage: 5144.73 Meg
Total Signals : 31
Total Nets : 41
Total Signal Drivers : 18
Total Blocks : 3
Total Primitive Blocks : 2
Total Processes : 22
Total Traceable Variables : 25
Total Scalar Nets and Variables : 143
Total Simulation Time: 0.078125 sec
Current Memory Usage: 4987.44 Meg
Sun Oct 24 02:39:22 2021

View File

@ -1,360 +0,0 @@
/**********************************************************************/
/* ____ ____ */
/* / /\/ / */
/* /___/ \ / */
/* \ \ \/ */
/* \ \ Copyright (c) 2003-2009 Xilinx, Inc. */
/* / / All Right Reserved. */
/* /---/ /\ */
/* \ \ / \ */
/* \___\/\___\ */
/***********************************************************************/
/* This file is designed for use with ISim build 0x7708f090 */
#define XSI_HIDE_SYMBOL_SPEC true
#include "xsi.h"
#include <memory.h>
#ifdef __GNUC__
#include <stdlib.h>
#else
#include <malloc.h>
#define alloca _alloca
#endif
static const char *ng0 = "C:/Users/zanek/Documents/GitHub/SE-030/cpld/test/t_cs.v";
static int ng1[] = {0, 0};
static int ng2[] = {1, 0};
static unsigned int ng3[] = {0U, 0U};
static unsigned int ng4[] = {20480U, 0U};
static unsigned int ng5[] = {24576U, 0U};
static unsigned int ng6[] = {32512U, 0U};
static unsigned int ng7[] = {32673U, 0U};
static unsigned int ng8[] = {32674U, 0U};
static unsigned int ng9[] = {16384U, 0U};
static unsigned int ng10[] = {16289U, 0U};
static unsigned int ng11[] = {16290U, 0U};
static void Initial_55_0(char *t0)
{
char *t1;
char *t2;
char *t3;
LAB0: t1 = (t0 + 3648U);
t2 = *((char **)t1);
if (t2 == 0)
goto LAB2;
LAB3: goto *t2;
LAB2: xsi_set_current_line(55, ng0);
LAB4: xsi_set_current_line(56, ng0);
t2 = ((char*)((ng1)));
t3 = (t0 + 2088);
xsi_vlogvar_assign_value(t3, t2, 0, 0, 16);
xsi_set_current_line(57, ng0);
t2 = ((char*)((ng1)));
t3 = (t0 + 2248);
xsi_vlogvar_assign_value(t3, t2, 0, 0, 1);
xsi_set_current_line(58, ng0);
t2 = ((char*)((ng1)));
t3 = (t0 + 2408);
xsi_vlogvar_assign_value(t3, t2, 0, 0, 1);
xsi_set_current_line(59, ng0);
t2 = ((char*)((ng1)));
t3 = (t0 + 2568);
xsi_vlogvar_assign_value(t3, t2, 0, 0, 1);
xsi_set_current_line(60, ng0);
t2 = ((char*)((ng1)));
t3 = (t0 + 2728);
xsi_vlogvar_assign_value(t3, t2, 0, 0, 1);
xsi_set_current_line(61, ng0);
t2 = (t0 + 3456);
xsi_process_wait(t2, 0LL);
*((char **)t1) = &&LAB5;
LAB1: return;
LAB5: xsi_set_current_line(63, ng0);
t2 = ((char*)((ng1)));
t3 = (t0 + 2248);
xsi_vlogvar_assign_value(t3, t2, 0, 0, 1);
xsi_set_current_line(63, ng0);
t2 = (t0 + 3456);
xsi_process_wait(t2, 25000LL);
*((char **)t1) = &&LAB6;
goto LAB1;
LAB6: xsi_set_current_line(64, ng0);
t2 = ((char*)((ng2)));
t3 = (t0 + 2248);
xsi_vlogvar_assign_value(t3, t2, 0, 0, 1);
xsi_set_current_line(64, ng0);
t2 = (t0 + 3456);
xsi_process_wait(t2, 5000LL);
*((char **)t1) = &&LAB7;
goto LAB1;
LAB7: xsi_set_current_line(65, ng0);
t2 = ((char*)((ng2)));
t3 = (t0 + 2408);
xsi_vlogvar_assign_value(t3, t2, 0, 0, 1);
xsi_set_current_line(65, ng0);
t2 = (t0 + 3456);
xsi_process_wait(t2, 20000LL);
*((char **)t1) = &&LAB8;
goto LAB1;
LAB8: xsi_set_current_line(67, ng0);
t2 = ((char*)((ng1)));
t3 = (t0 + 2248);
xsi_vlogvar_assign_value(t3, t2, 0, 0, 1);
xsi_set_current_line(67, ng0);
t2 = (t0 + 3456);
xsi_process_wait(t2, 25000LL);
*((char **)t1) = &&LAB9;
goto LAB1;
LAB9: xsi_set_current_line(68, ng0);
t2 = ((char*)((ng2)));
t3 = (t0 + 2248);
xsi_vlogvar_assign_value(t3, t2, 0, 0, 1);
xsi_set_current_line(68, ng0);
t2 = (t0 + 3456);
xsi_process_wait(t2, 25000LL);
*((char **)t1) = &&LAB10;
goto LAB1;
LAB10: xsi_set_current_line(70, ng0);
t2 = ((char*)((ng3)));
t3 = (t0 + 2088);
xsi_vlogvar_assign_value(t3, t2, 0, 0, 16);
xsi_set_current_line(70, ng0);
t2 = (t0 + 3456);
xsi_process_wait(t2, 50000LL);
*((char **)t1) = &&LAB11;
goto LAB1;
LAB11: xsi_set_current_line(71, ng0);
t2 = ((char*)((ng4)));
t3 = (t0 + 2088);
xsi_vlogvar_assign_value(t3, t2, 0, 0, 16);
xsi_set_current_line(71, ng0);
t2 = (t0 + 3456);
xsi_process_wait(t2, 50000LL);
*((char **)t1) = &&LAB12;
goto LAB1;
LAB12: xsi_set_current_line(72, ng0);
t2 = ((char*)((ng5)));
t3 = (t0 + 2088);
xsi_vlogvar_assign_value(t3, t2, 0, 0, 16);
xsi_set_current_line(72, ng0);
t2 = (t0 + 3456);
xsi_process_wait(t2, 50000LL);
*((char **)t1) = &&LAB13;
goto LAB1;
LAB13: xsi_set_current_line(73, ng0);
t2 = ((char*)((ng6)));
t3 = (t0 + 2088);
xsi_vlogvar_assign_value(t3, t2, 0, 0, 16);
xsi_set_current_line(73, ng0);
t2 = (t0 + 3456);
xsi_process_wait(t2, 50000LL);
*((char **)t1) = &&LAB14;
goto LAB1;
LAB14: xsi_set_current_line(74, ng0);
t2 = ((char*)((ng7)));
t3 = (t0 + 2088);
xsi_vlogvar_assign_value(t3, t2, 0, 0, 16);
xsi_set_current_line(74, ng0);
t2 = (t0 + 3456);
xsi_process_wait(t2, 50000LL);
*((char **)t1) = &&LAB15;
goto LAB1;
LAB15: xsi_set_current_line(75, ng0);
t2 = ((char*)((ng8)));
t3 = (t0 + 2088);
xsi_vlogvar_assign_value(t3, t2, 0, 0, 16);
xsi_set_current_line(75, ng0);
t2 = (t0 + 3456);
xsi_process_wait(t2, 50000LL);
*((char **)t1) = &&LAB16;
goto LAB1;
LAB16: xsi_set_current_line(76, ng0);
t2 = ((char*)((ng9)));
t3 = (t0 + 2088);
xsi_vlogvar_assign_value(t3, t2, 0, 0, 16);
xsi_set_current_line(76, ng0);
t2 = (t0 + 3456);
xsi_process_wait(t2, 50000LL);
*((char **)t1) = &&LAB17;
goto LAB1;
LAB17: xsi_set_current_line(78, ng0);
t2 = ((char*)((ng1)));
t3 = (t0 + 2248);
xsi_vlogvar_assign_value(t3, t2, 0, 0, 1);
xsi_set_current_line(78, ng0);
t2 = (t0 + 3456);
xsi_process_wait(t2, 25000LL);
*((char **)t1) = &&LAB18;
goto LAB1;
LAB18: xsi_set_current_line(79, ng0);
t2 = ((char*)((ng2)));
t3 = (t0 + 2248);
xsi_vlogvar_assign_value(t3, t2, 0, 0, 1);
xsi_set_current_line(79, ng0);
t2 = (t0 + 3456);
xsi_process_wait(t2, 5000LL);
*((char **)t1) = &&LAB19;
goto LAB1;
LAB19: xsi_set_current_line(80, ng0);
t2 = ((char*)((ng2)));
t3 = (t0 + 2728);
xsi_vlogvar_assign_value(t3, t2, 0, 0, 1);
xsi_set_current_line(80, ng0);
t2 = (t0 + 3456);
xsi_process_wait(t2, 20000LL);
*((char **)t1) = &&LAB20;
goto LAB1;
LAB20: xsi_set_current_line(82, ng0);
t2 = ((char*)((ng1)));
t3 = (t0 + 2248);
xsi_vlogvar_assign_value(t3, t2, 0, 0, 1);
xsi_set_current_line(82, ng0);
t2 = (t0 + 3456);
xsi_process_wait(t2, 25000LL);
*((char **)t1) = &&LAB21;
goto LAB1;
LAB21: xsi_set_current_line(83, ng0);
t2 = ((char*)((ng2)));
t3 = (t0 + 2248);
xsi_vlogvar_assign_value(t3, t2, 0, 0, 1);
xsi_set_current_line(83, ng0);
t2 = (t0 + 3456);
xsi_process_wait(t2, 5000LL);
*((char **)t1) = &&LAB22;
goto LAB1;
LAB22: xsi_set_current_line(84, ng0);
t2 = ((char*)((ng1)));
t3 = (t0 + 2728);
xsi_vlogvar_assign_value(t3, t2, 0, 0, 1);
xsi_set_current_line(84, ng0);
t2 = (t0 + 3456);
xsi_process_wait(t2, 20000LL);
*((char **)t1) = &&LAB23;
goto LAB1;
LAB23: xsi_set_current_line(86, ng0);
t2 = ((char*)((ng1)));
t3 = (t0 + 2248);
xsi_vlogvar_assign_value(t3, t2, 0, 0, 1);
xsi_set_current_line(86, ng0);
t2 = (t0 + 3456);
xsi_process_wait(t2, 25000LL);
*((char **)t1) = &&LAB24;
goto LAB1;
LAB24: xsi_set_current_line(87, ng0);
t2 = ((char*)((ng2)));
t3 = (t0 + 2248);
xsi_vlogvar_assign_value(t3, t2, 0, 0, 1);
xsi_set_current_line(87, ng0);
t2 = (t0 + 3456);
xsi_process_wait(t2, 25000LL);
*((char **)t1) = &&LAB25;
goto LAB1;
LAB25: xsi_set_current_line(89, ng0);
t2 = ((char*)((ng3)));
t3 = (t0 + 2088);
xsi_vlogvar_assign_value(t3, t2, 0, 0, 16);
xsi_set_current_line(89, ng0);
t2 = (t0 + 3456);
xsi_process_wait(t2, 50000LL);
*((char **)t1) = &&LAB26;
goto LAB1;
LAB26: xsi_set_current_line(90, ng0);
t2 = ((char*)((ng4)));
t3 = (t0 + 2088);
xsi_vlogvar_assign_value(t3, t2, 0, 0, 16);
xsi_set_current_line(90, ng0);
t2 = (t0 + 3456);
xsi_process_wait(t2, 50000LL);
*((char **)t1) = &&LAB27;
goto LAB1;
LAB27: xsi_set_current_line(91, ng0);
t2 = ((char*)((ng5)));
t3 = (t0 + 2088);
xsi_vlogvar_assign_value(t3, t2, 0, 0, 16);
xsi_set_current_line(91, ng0);
t2 = (t0 + 3456);
xsi_process_wait(t2, 50000LL);
*((char **)t1) = &&LAB28;
goto LAB1;
LAB28: xsi_set_current_line(92, ng0);
t2 = ((char*)((ng3)));
t3 = (t0 + 2088);
xsi_vlogvar_assign_value(t3, t2, 0, 0, 16);
xsi_set_current_line(92, ng0);
t2 = (t0 + 3456);
xsi_process_wait(t2, 50000LL);
*((char **)t1) = &&LAB29;
goto LAB1;
LAB29: xsi_set_current_line(93, ng0);
t2 = ((char*)((ng10)));
t3 = (t0 + 2088);
xsi_vlogvar_assign_value(t3, t2, 0, 0, 16);
xsi_set_current_line(93, ng0);
t2 = (t0 + 3456);
xsi_process_wait(t2, 50000LL);
*((char **)t1) = &&LAB30;
goto LAB1;
LAB30: xsi_set_current_line(94, ng0);
t2 = ((char*)((ng11)));
t3 = (t0 + 2088);
xsi_vlogvar_assign_value(t3, t2, 0, 0, 16);
xsi_set_current_line(94, ng0);
t2 = (t0 + 3456);
xsi_process_wait(t2, 50000LL);
*((char **)t1) = &&LAB31;
goto LAB1;
LAB31: xsi_set_current_line(95, ng0);
t2 = ((char*)((ng9)));
t3 = (t0 + 2088);
xsi_vlogvar_assign_value(t3, t2, 0, 0, 16);
xsi_set_current_line(95, ng0);
t2 = (t0 + 3456);
xsi_process_wait(t2, 50000LL);
*((char **)t1) = &&LAB32;
goto LAB1;
LAB32: goto LAB1;
}
extern void work_m_00000000002201886890_2332283379_init()
{
static char *pe[] = {(void *)Initial_55_0};
xsi_register_didat("work_m_00000000002201886890_2332283379", "isim/t_cs_isim_beh.exe.sim/work/m_00000000002201886890_2332283379.didat");
xsi_register_executes(pe);
}

View File

@ -0,0 +1,28 @@
Command line:
t_fsb_isim_beh.exe
-simmode gui
-simrunnum 2
-socket 65110
Sat Dec 11 08:29:19 2021
Elaboration Time: 0.0625 sec
Current Memory Usage: 5140.46 Meg
Total Signals : 30
Total Nets : 27
Total Signal Drivers : 19
Total Blocks : 3
Total Primitive Blocks : 2
Total Processes : 24
Total Traceable Variables : 34
Total Scalar Nets and Variables : 123
Total Simulation Time: 0.078125 sec
Current Memory Usage: 4668.6 Meg
Sun Dec 12 07:05:26 2021

Binary file not shown.

Binary file not shown.

View File

@ -0,0 +1,677 @@
/**********************************************************************/
/* ____ ____ */
/* / /\/ / */
/* /___/ \ / */
/* \ \ \/ */
/* \ \ Copyright (c) 2003-2009 Xilinx, Inc. */
/* / / All Right Reserved. */
/* /---/ /\ */
/* \ \ / \ */
/* \___\/\___\ */
/***********************************************************************/
/* This file is designed for use with ISim build 0x7708f090 */
#define XSI_HIDE_SYMBOL_SPEC true
#include "xsi.h"
#include <memory.h>
#ifdef __GNUC__
#include <stdlib.h>
#else
#include <malloc.h>
#define alloca _alloca
#endif
static const char *ng0 = "C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/XC95144XL/test/t_fsb.v";
static int ng1[] = {1, 0};
static int ng2[] = {0, 0};
static void Initial_59_0(char *t0)
{
char *t1;
char *t2;
char *t3;
LAB0: t1 = (t0 + 3968U);
t2 = *((char **)t1);
if (t2 == 0)
goto LAB2;
LAB3: goto *t2;
LAB2: xsi_set_current_line(59, ng0);
LAB4: xsi_set_current_line(61, ng0);
t2 = ((char*)((ng1)));
t3 = (t0 + 2088);
xsi_vlogvar_assign_value(t3, t2, 0, 0, 1);
xsi_set_current_line(62, ng0);
t2 = ((char*)((ng2)));
t3 = (t0 + 1928);
xsi_vlogvar_assign_value(t3, t2, 0, 0, 1);
xsi_set_current_line(63, ng0);
t2 = ((char*)((ng2)));
t3 = (t0 + 2248);
xsi_vlogvar_assign_value(t3, t2, 0, 0, 1);
xsi_set_current_line(64, ng0);
t2 = ((char*)((ng2)));
t3 = (t0 + 2408);
xsi_vlogvar_assign_value(t3, t2, 0, 0, 1);
xsi_set_current_line(65, ng0);
t2 = ((char*)((ng2)));
t3 = (t0 + 2568);
xsi_vlogvar_assign_value(t3, t2, 0, 0, 1);
xsi_set_current_line(66, ng0);
t2 = ((char*)((ng2)));
t3 = (t0 + 2728);
xsi_vlogvar_assign_value(t3, t2, 0, 0, 1);
xsi_set_current_line(67, ng0);
t2 = ((char*)((ng2)));
t3 = (t0 + 2888);
xsi_vlogvar_assign_value(t3, t2, 0, 0, 1);
xsi_set_current_line(68, ng0);
t2 = ((char*)((ng2)));
t3 = (t0 + 3048);
xsi_vlogvar_assign_value(t3, t2, 0, 0, 1);
xsi_set_current_line(70, ng0);
t2 = ((char*)((ng2)));
t3 = (t0 + 1928);
xsi_vlogvar_assign_value(t3, t2, 0, 0, 1);
xsi_set_current_line(70, ng0);
t2 = (t0 + 3776);
xsi_process_wait(t2, 20000LL);
*((char **)t1) = &&LAB5;
LAB1: return;
LAB5: xsi_set_current_line(71, ng0);
t2 = ((char*)((ng1)));
t3 = (t0 + 1928);
xsi_vlogvar_assign_value(t3, t2, 0, 0, 1);
xsi_set_current_line(71, ng0);
t2 = (t0 + 3776);
xsi_process_wait(t2, 20000LL);
*((char **)t1) = &&LAB6;
goto LAB1;
LAB6: xsi_set_current_line(72, ng0);
t2 = ((char*)((ng2)));
t3 = (t0 + 1928);
xsi_vlogvar_assign_value(t3, t2, 0, 0, 1);
xsi_set_current_line(72, ng0);
t2 = (t0 + 3776);
xsi_process_wait(t2, 20000LL);
*((char **)t1) = &&LAB7;
goto LAB1;
LAB7: xsi_set_current_line(76, ng0);
t2 = ((char*)((ng1)));
t3 = (t0 + 1928);
xsi_vlogvar_assign_value(t3, t2, 0, 0, 1);
xsi_set_current_line(76, ng0);
t2 = (t0 + 3776);
xsi_process_wait(t2, 5000LL);
*((char **)t1) = &&LAB8;
goto LAB1;
LAB8: xsi_set_current_line(77, ng0);
t2 = ((char*)((ng2)));
t3 = (t0 + 2088);
xsi_vlogvar_assign_value(t3, t2, 0, 0, 1);
xsi_set_current_line(78, ng0);
t2 = ((char*)((ng2)));
t3 = (t0 + 3048);
xsi_vlogvar_assign_value(t3, t2, 0, 0, 1);
xsi_set_current_line(79, ng0);
t2 = ((char*)((ng1)));
t3 = (t0 + 2248);
xsi_vlogvar_assign_value(t3, t2, 0, 0, 1);
xsi_set_current_line(80, ng0);
t2 = ((char*)((ng1)));
t3 = (t0 + 2408);
xsi_vlogvar_assign_value(t3, t2, 0, 0, 1);
xsi_set_current_line(81, ng0);
t2 = ((char*)((ng1)));
t3 = (t0 + 2568);
xsi_vlogvar_assign_value(t3, t2, 0, 0, 1);
xsi_set_current_line(82, ng0);
t2 = (t0 + 3776);
xsi_process_wait(t2, 15000LL);
*((char **)t1) = &&LAB9;
goto LAB1;
LAB9: xsi_set_current_line(84, ng0);
t2 = ((char*)((ng2)));
t3 = (t0 + 1928);
xsi_vlogvar_assign_value(t3, t2, 0, 0, 1);
xsi_set_current_line(84, ng0);
t2 = (t0 + 3776);
xsi_process_wait(t2, 20000LL);
*((char **)t1) = &&LAB10;
goto LAB1;
LAB10: xsi_set_current_line(85, ng0);
t2 = ((char*)((ng1)));
t3 = (t0 + 1928);
xsi_vlogvar_assign_value(t3, t2, 0, 0, 1);
xsi_set_current_line(85, ng0);
t2 = (t0 + 3776);
xsi_process_wait(t2, 20000LL);
*((char **)t1) = &&LAB11;
goto LAB1;
LAB11: xsi_set_current_line(86, ng0);
t2 = ((char*)((ng2)));
t3 = (t0 + 1928);
xsi_vlogvar_assign_value(t3, t2, 0, 0, 1);
xsi_set_current_line(86, ng0);
t2 = (t0 + 3776);
xsi_process_wait(t2, 20000LL);
*((char **)t1) = &&LAB12;
goto LAB1;
LAB12: xsi_set_current_line(87, ng0);
t2 = ((char*)((ng1)));
t3 = (t0 + 1928);
xsi_vlogvar_assign_value(t3, t2, 0, 0, 1);
xsi_set_current_line(87, ng0);
t2 = (t0 + 3776);
xsi_process_wait(t2, 20000LL);
*((char **)t1) = &&LAB13;
goto LAB1;
LAB13: xsi_set_current_line(89, ng0);
t2 = ((char*)((ng2)));
t3 = (t0 + 1928);
xsi_vlogvar_assign_value(t3, t2, 0, 0, 1);
xsi_set_current_line(89, ng0);
t2 = (t0 + 3776);
xsi_process_wait(t2, 5000LL);
*((char **)t1) = &&LAB14;
goto LAB1;
LAB14: xsi_set_current_line(90, ng0);
t2 = ((char*)((ng1)));
t3 = (t0 + 2088);
xsi_vlogvar_assign_value(t3, t2, 0, 0, 1);
xsi_set_current_line(91, ng0);
t2 = (t0 + 3776);
xsi_process_wait(t2, 15000LL);
*((char **)t1) = &&LAB15;
goto LAB1;
LAB15: xsi_set_current_line(93, ng0);
t2 = ((char*)((ng1)));
t3 = (t0 + 1928);
xsi_vlogvar_assign_value(t3, t2, 0, 0, 1);
xsi_set_current_line(93, ng0);
t2 = (t0 + 3776);
xsi_process_wait(t2, 20000LL);
*((char **)t1) = &&LAB16;
goto LAB1;
LAB16: xsi_set_current_line(94, ng0);
t2 = ((char*)((ng2)));
t3 = (t0 + 1928);
xsi_vlogvar_assign_value(t3, t2, 0, 0, 1);
xsi_set_current_line(94, ng0);
t2 = (t0 + 3776);
xsi_process_wait(t2, 20000LL);
*((char **)t1) = &&LAB17;
goto LAB1;
LAB17: xsi_set_current_line(98, ng0);
t2 = ((char*)((ng1)));
t3 = (t0 + 1928);
xsi_vlogvar_assign_value(t3, t2, 0, 0, 1);
xsi_set_current_line(98, ng0);
t2 = (t0 + 3776);
xsi_process_wait(t2, 5000LL);
*((char **)t1) = &&LAB18;
goto LAB1;
LAB18: xsi_set_current_line(99, ng0);
t2 = ((char*)((ng2)));
t3 = (t0 + 2088);
xsi_vlogvar_assign_value(t3, t2, 0, 0, 1);
xsi_set_current_line(100, ng0);
t2 = ((char*)((ng2)));
t3 = (t0 + 3048);
xsi_vlogvar_assign_value(t3, t2, 0, 0, 1);
xsi_set_current_line(101, ng0);
t2 = ((char*)((ng2)));
t3 = (t0 + 2248);
xsi_vlogvar_assign_value(t3, t2, 0, 0, 1);
xsi_set_current_line(102, ng0);
t2 = ((char*)((ng2)));
t3 = (t0 + 2408);
xsi_vlogvar_assign_value(t3, t2, 0, 0, 1);
xsi_set_current_line(103, ng0);
t2 = ((char*)((ng2)));
t3 = (t0 + 2568);
xsi_vlogvar_assign_value(t3, t2, 0, 0, 1);
xsi_set_current_line(104, ng0);
t2 = (t0 + 3776);
xsi_process_wait(t2, 15000LL);
*((char **)t1) = &&LAB19;
goto LAB1;
LAB19: xsi_set_current_line(106, ng0);
t2 = ((char*)((ng2)));
t3 = (t0 + 1928);
xsi_vlogvar_assign_value(t3, t2, 0, 0, 1);
xsi_set_current_line(106, ng0);
t2 = (t0 + 3776);
xsi_process_wait(t2, 20000LL);
*((char **)t1) = &&LAB20;
goto LAB1;
LAB20: xsi_set_current_line(107, ng0);
t2 = ((char*)((ng1)));
t3 = (t0 + 1928);
xsi_vlogvar_assign_value(t3, t2, 0, 0, 1);
xsi_set_current_line(107, ng0);
t2 = (t0 + 3776);
xsi_process_wait(t2, 5000LL);
*((char **)t1) = &&LAB21;
goto LAB1;
LAB21: xsi_set_current_line(108, ng0);
t2 = ((char*)((ng1)));
t3 = (t0 + 2248);
xsi_vlogvar_assign_value(t3, t2, 0, 0, 1);
xsi_set_current_line(109, ng0);
t2 = ((char*)((ng2)));
t3 = (t0 + 2408);
xsi_vlogvar_assign_value(t3, t2, 0, 0, 1);
xsi_set_current_line(110, ng0);
t2 = ((char*)((ng2)));
t3 = (t0 + 2568);
xsi_vlogvar_assign_value(t3, t2, 0, 0, 1);
xsi_set_current_line(111, ng0);
t2 = (t0 + 3776);
xsi_process_wait(t2, 15000LL);
*((char **)t1) = &&LAB22;
goto LAB1;
LAB22: xsi_set_current_line(113, ng0);
t2 = ((char*)((ng2)));
t3 = (t0 + 1928);
xsi_vlogvar_assign_value(t3, t2, 0, 0, 1);
xsi_set_current_line(113, ng0);
t2 = (t0 + 3776);
xsi_process_wait(t2, 20000LL);
*((char **)t1) = &&LAB23;
goto LAB1;
LAB23: xsi_set_current_line(114, ng0);
t2 = ((char*)((ng1)));
t3 = (t0 + 1928);
xsi_vlogvar_assign_value(t3, t2, 0, 0, 1);
xsi_set_current_line(114, ng0);
t2 = (t0 + 3776);
xsi_process_wait(t2, 5000LL);
*((char **)t1) = &&LAB24;
goto LAB1;
LAB24: xsi_set_current_line(115, ng0);
t2 = ((char*)((ng2)));
t3 = (t0 + 2248);
xsi_vlogvar_assign_value(t3, t2, 0, 0, 1);
xsi_set_current_line(116, ng0);
t2 = ((char*)((ng1)));
t3 = (t0 + 2408);
xsi_vlogvar_assign_value(t3, t2, 0, 0, 1);
xsi_set_current_line(117, ng0);
t2 = ((char*)((ng2)));
t3 = (t0 + 2568);
xsi_vlogvar_assign_value(t3, t2, 0, 0, 1);
xsi_set_current_line(118, ng0);
t2 = (t0 + 3776);
xsi_process_wait(t2, 15000LL);
*((char **)t1) = &&LAB25;
goto LAB1;
LAB25: xsi_set_current_line(120, ng0);
t2 = ((char*)((ng2)));
t3 = (t0 + 1928);
xsi_vlogvar_assign_value(t3, t2, 0, 0, 1);
xsi_set_current_line(120, ng0);
t2 = (t0 + 3776);
xsi_process_wait(t2, 20000LL);
*((char **)t1) = &&LAB26;
goto LAB1;
LAB26: xsi_set_current_line(121, ng0);
t2 = ((char*)((ng1)));
t3 = (t0 + 1928);
xsi_vlogvar_assign_value(t3, t2, 0, 0, 1);
xsi_set_current_line(121, ng0);
t2 = (t0 + 3776);
xsi_process_wait(t2, 5000LL);
*((char **)t1) = &&LAB27;
goto LAB1;
LAB27: xsi_set_current_line(122, ng0);
t2 = ((char*)((ng2)));
t3 = (t0 + 2248);
xsi_vlogvar_assign_value(t3, t2, 0, 0, 1);
xsi_set_current_line(123, ng0);
t2 = ((char*)((ng2)));
t3 = (t0 + 2408);
xsi_vlogvar_assign_value(t3, t2, 0, 0, 1);
xsi_set_current_line(124, ng0);
t2 = ((char*)((ng1)));
t3 = (t0 + 2568);
xsi_vlogvar_assign_value(t3, t2, 0, 0, 1);
xsi_set_current_line(125, ng0);
t2 = (t0 + 3776);
xsi_process_wait(t2, 15000LL);
*((char **)t1) = &&LAB28;
goto LAB1;
LAB28: xsi_set_current_line(127, ng0);
t2 = ((char*)((ng2)));
t3 = (t0 + 1928);
xsi_vlogvar_assign_value(t3, t2, 0, 0, 1);
xsi_set_current_line(127, ng0);
t2 = (t0 + 3776);
xsi_process_wait(t2, 20000LL);
*((char **)t1) = &&LAB29;
goto LAB1;
LAB29: xsi_set_current_line(128, ng0);
t2 = ((char*)((ng1)));
t3 = (t0 + 1928);
xsi_vlogvar_assign_value(t3, t2, 0, 0, 1);
xsi_set_current_line(128, ng0);
t2 = (t0 + 3776);
xsi_process_wait(t2, 5000LL);
*((char **)t1) = &&LAB30;
goto LAB1;
LAB30: xsi_set_current_line(129, ng0);
t2 = ((char*)((ng2)));
t3 = (t0 + 2248);
xsi_vlogvar_assign_value(t3, t2, 0, 0, 1);
xsi_set_current_line(130, ng0);
t2 = ((char*)((ng2)));
t3 = (t0 + 2408);
xsi_vlogvar_assign_value(t3, t2, 0, 0, 1);
xsi_set_current_line(131, ng0);
t2 = ((char*)((ng2)));
t3 = (t0 + 2568);
xsi_vlogvar_assign_value(t3, t2, 0, 0, 1);
xsi_set_current_line(132, ng0);
t2 = (t0 + 3776);
xsi_process_wait(t2, 15000LL);
*((char **)t1) = &&LAB31;
goto LAB1;
LAB31: xsi_set_current_line(134, ng0);
t2 = ((char*)((ng2)));
t3 = (t0 + 1928);
xsi_vlogvar_assign_value(t3, t2, 0, 0, 1);
xsi_set_current_line(134, ng0);
t2 = (t0 + 3776);
xsi_process_wait(t2, 20000LL);
*((char **)t1) = &&LAB32;
goto LAB1;
LAB32: xsi_set_current_line(135, ng0);
t2 = ((char*)((ng1)));
t3 = (t0 + 1928);
xsi_vlogvar_assign_value(t3, t2, 0, 0, 1);
xsi_set_current_line(135, ng0);
t2 = (t0 + 3776);
xsi_process_wait(t2, 20000LL);
*((char **)t1) = &&LAB33;
goto LAB1;
LAB33: xsi_set_current_line(137, ng0);
t2 = ((char*)((ng2)));
t3 = (t0 + 1928);
xsi_vlogvar_assign_value(t3, t2, 0, 0, 1);
xsi_set_current_line(137, ng0);
t2 = (t0 + 3776);
xsi_process_wait(t2, 5000LL);
*((char **)t1) = &&LAB34;
goto LAB1;
LAB34: xsi_set_current_line(138, ng0);
t2 = ((char*)((ng1)));
t3 = (t0 + 2088);
xsi_vlogvar_assign_value(t3, t2, 0, 0, 1);
xsi_set_current_line(139, ng0);
t2 = (t0 + 3776);
xsi_process_wait(t2, 15000LL);
*((char **)t1) = &&LAB35;
goto LAB1;
LAB35: xsi_set_current_line(141, ng0);
t2 = ((char*)((ng1)));
t3 = (t0 + 1928);
xsi_vlogvar_assign_value(t3, t2, 0, 0, 1);
xsi_set_current_line(141, ng0);
t2 = (t0 + 3776);
xsi_process_wait(t2, 20000LL);
*((char **)t1) = &&LAB36;
goto LAB1;
LAB36: xsi_set_current_line(142, ng0);
t2 = ((char*)((ng2)));
t3 = (t0 + 1928);
xsi_vlogvar_assign_value(t3, t2, 0, 0, 1);
xsi_set_current_line(142, ng0);
t2 = (t0 + 3776);
xsi_process_wait(t2, 20000LL);
*((char **)t1) = &&LAB37;
goto LAB1;
LAB37: xsi_set_current_line(145, ng0);
t2 = ((char*)((ng1)));
t3 = (t0 + 1928);
xsi_vlogvar_assign_value(t3, t2, 0, 0, 1);
xsi_set_current_line(145, ng0);
t2 = (t0 + 3776);
xsi_process_wait(t2, 5000LL);
*((char **)t1) = &&LAB38;
goto LAB1;
LAB38: xsi_set_current_line(146, ng0);
t2 = ((char*)((ng2)));
t3 = (t0 + 2088);
xsi_vlogvar_assign_value(t3, t2, 0, 0, 1);
xsi_set_current_line(147, ng0);
t2 = ((char*)((ng1)));
t3 = (t0 + 3048);
xsi_vlogvar_assign_value(t3, t2, 0, 0, 1);
xsi_set_current_line(148, ng0);
t2 = ((char*)((ng1)));
t3 = (t0 + 2248);
xsi_vlogvar_assign_value(t3, t2, 0, 0, 1);
xsi_set_current_line(149, ng0);
t2 = ((char*)((ng1)));
t3 = (t0 + 2408);
xsi_vlogvar_assign_value(t3, t2, 0, 0, 1);
xsi_set_current_line(150, ng0);
t2 = ((char*)((ng1)));
t3 = (t0 + 2568);
xsi_vlogvar_assign_value(t3, t2, 0, 0, 1);
xsi_set_current_line(151, ng0);
t2 = (t0 + 3776);
xsi_process_wait(t2, 15000LL);
*((char **)t1) = &&LAB39;
goto LAB1;
LAB39: xsi_set_current_line(153, ng0);
t2 = ((char*)((ng2)));
t3 = (t0 + 1928);
xsi_vlogvar_assign_value(t3, t2, 0, 0, 1);
xsi_set_current_line(153, ng0);
t2 = (t0 + 3776);
xsi_process_wait(t2, 20000LL);
*((char **)t1) = &&LAB40;
goto LAB1;
LAB40: xsi_set_current_line(154, ng0);
t2 = ((char*)((ng1)));
t3 = (t0 + 1928);
xsi_vlogvar_assign_value(t3, t2, 0, 0, 1);
xsi_set_current_line(154, ng0);
t2 = (t0 + 3776);
xsi_process_wait(t2, 20000LL);
*((char **)t1) = &&LAB41;
goto LAB1;
LAB41: xsi_set_current_line(155, ng0);
t2 = ((char*)((ng2)));
t3 = (t0 + 1928);
xsi_vlogvar_assign_value(t3, t2, 0, 0, 1);
xsi_set_current_line(155, ng0);
t2 = (t0 + 3776);
xsi_process_wait(t2, 20000LL);
*((char **)t1) = &&LAB42;
goto LAB1;
LAB42: xsi_set_current_line(156, ng0);
t2 = ((char*)((ng1)));
t3 = (t0 + 1928);
xsi_vlogvar_assign_value(t3, t2, 0, 0, 1);
xsi_set_current_line(156, ng0);
t2 = (t0 + 3776);
xsi_process_wait(t2, 20000LL);
*((char **)t1) = &&LAB43;
goto LAB1;
LAB43: xsi_set_current_line(157, ng0);
t2 = ((char*)((ng2)));
t3 = (t0 + 1928);
xsi_vlogvar_assign_value(t3, t2, 0, 0, 1);
xsi_set_current_line(157, ng0);
t2 = (t0 + 3776);
xsi_process_wait(t2, 20000LL);
*((char **)t1) = &&LAB44;
goto LAB1;
LAB44: xsi_set_current_line(158, ng0);
t2 = ((char*)((ng1)));
t3 = (t0 + 1928);
xsi_vlogvar_assign_value(t3, t2, 0, 0, 1);
xsi_set_current_line(158, ng0);
t2 = (t0 + 3776);
xsi_process_wait(t2, 20000LL);
*((char **)t1) = &&LAB45;
goto LAB1;
LAB45: xsi_set_current_line(159, ng0);
t2 = ((char*)((ng2)));
t3 = (t0 + 1928);
xsi_vlogvar_assign_value(t3, t2, 0, 0, 1);
xsi_set_current_line(159, ng0);
t2 = (t0 + 3776);
xsi_process_wait(t2, 20000LL);
*((char **)t1) = &&LAB46;
goto LAB1;
LAB46: xsi_set_current_line(160, ng0);
t2 = ((char*)((ng1)));
t3 = (t0 + 1928);
xsi_vlogvar_assign_value(t3, t2, 0, 0, 1);
xsi_set_current_line(160, ng0);
t2 = (t0 + 3776);
xsi_process_wait(t2, 20000LL);
*((char **)t1) = &&LAB47;
goto LAB1;
LAB47: xsi_set_current_line(161, ng0);
t2 = ((char*)((ng2)));
t3 = (t0 + 1928);
xsi_vlogvar_assign_value(t3, t2, 0, 0, 1);
xsi_set_current_line(161, ng0);
t2 = (t0 + 3776);
xsi_process_wait(t2, 20000LL);
*((char **)t1) = &&LAB48;
goto LAB1;
LAB48: xsi_set_current_line(162, ng0);
t2 = ((char*)((ng1)));
t3 = (t0 + 1928);
xsi_vlogvar_assign_value(t3, t2, 0, 0, 1);
xsi_set_current_line(162, ng0);
t2 = (t0 + 3776);
xsi_process_wait(t2, 20000LL);
*((char **)t1) = &&LAB49;
goto LAB1;
LAB49: xsi_set_current_line(163, ng0);
t2 = ((char*)((ng2)));
t3 = (t0 + 1928);
xsi_vlogvar_assign_value(t3, t2, 0, 0, 1);
xsi_set_current_line(163, ng0);
t2 = (t0 + 3776);
xsi_process_wait(t2, 20000LL);
*((char **)t1) = &&LAB50;
goto LAB1;
LAB50: xsi_set_current_line(164, ng0);
t2 = ((char*)((ng1)));
t3 = (t0 + 1928);
xsi_vlogvar_assign_value(t3, t2, 0, 0, 1);
xsi_set_current_line(164, ng0);
t2 = (t0 + 3776);
xsi_process_wait(t2, 20000LL);
*((char **)t1) = &&LAB51;
goto LAB1;
LAB51: xsi_set_current_line(166, ng0);
t2 = ((char*)((ng2)));
t3 = (t0 + 1928);
xsi_vlogvar_assign_value(t3, t2, 0, 0, 1);
xsi_set_current_line(166, ng0);
t2 = (t0 + 3776);
xsi_process_wait(t2, 5000LL);
*((char **)t1) = &&LAB52;
goto LAB1;
LAB52: xsi_set_current_line(167, ng0);
t2 = ((char*)((ng1)));
t3 = (t0 + 2088);
xsi_vlogvar_assign_value(t3, t2, 0, 0, 1);
xsi_set_current_line(168, ng0);
t2 = (t0 + 3776);
xsi_process_wait(t2, 15000LL);
*((char **)t1) = &&LAB53;
goto LAB1;
LAB53: xsi_set_current_line(170, ng0);
t2 = ((char*)((ng1)));
t3 = (t0 + 1928);
xsi_vlogvar_assign_value(t3, t2, 0, 0, 1);
xsi_set_current_line(170, ng0);
t2 = (t0 + 3776);
xsi_process_wait(t2, 20000LL);
*((char **)t1) = &&LAB54;
goto LAB1;
LAB54: xsi_set_current_line(171, ng0);
t2 = ((char*)((ng2)));
t3 = (t0 + 1928);
xsi_vlogvar_assign_value(t3, t2, 0, 0, 1);
xsi_set_current_line(171, ng0);
t2 = (t0 + 3776);
xsi_process_wait(t2, 20000LL);
*((char **)t1) = &&LAB55;
goto LAB1;
LAB55: goto LAB1;
}
extern void work_m_00000000001435061139_3156757336_init()
{
static char *pe[] = {(void *)Initial_59_0};
xsi_register_didat("work_m_00000000001435061139_3156757336", "isim/t_fsb_isim_beh.exe.sim/work/m_00000000001435061139_3156757336.didat");
xsi_register_executes(pe);
}

View File

@ -332,6 +332,6 @@ LAB5: xsi_set_current_line(63, ng0);
extern void work_m_00000000004134447467_2073120511_init() extern void work_m_00000000004134447467_2073120511_init()
{ {
static char *pe[] = {(void *)NetDecl_16_0,(void *)Cont_48_1,(void *)Cont_49_2,(void *)Cont_50_3,(void *)Initial_52_4,(void *)Initial_60_5}; static char *pe[] = {(void *)NetDecl_16_0,(void *)Cont_48_1,(void *)Cont_49_2,(void *)Cont_50_3,(void *)Initial_52_4,(void *)Initial_60_5};
xsi_register_didat("work_m_00000000004134447467_2073120511", "isim/t_cnt_isim_beh.exe.sim/work/m_00000000004134447467_2073120511.didat"); xsi_register_didat("work_m_00000000004134447467_2073120511", "isim/t_fsb_isim_beh.exe.sim/work/m_00000000004134447467_2073120511.didat");
xsi_register_executes(pe); xsi_register_executes(pe);
} }

View File

@ -22,12 +22,12 @@ int main(int argc, char **argv)
xsi_register_info(&xsi_info); xsi_register_info(&xsi_info);
xsi_register_min_prec_unit(-12); xsi_register_min_prec_unit(-12);
work_m_00000000002982276307_1919318694_init(); work_m_00000000002613258380_2132107520_init();
work_m_00000000001129268806_2975551742_init(); work_m_00000000001435061139_3156757336_init();
work_m_00000000004134447467_2073120511_init(); work_m_00000000004134447467_2073120511_init();
xsi_register_tops("work_m_00000000001129268806_2975551742"); xsi_register_tops("work_m_00000000001435061139_3156757336");
xsi_register_tops("work_m_00000000004134447467_2073120511"); xsi_register_tops("work_m_00000000004134447467_2073120511");

View File

@ -0,0 +1,28 @@
Command line:
t_iobm_isim_beh.exe
-simmode gui
-simrunnum 1
-socket 65108
Sat Dec 11 08:29:15 2021
Elaboration Time: 0.046875 sec
Current Memory Usage: 5140.5 Meg
Total Signals : 39
Total Nets : 38
Total Signal Drivers : 30
Total Blocks : 3
Total Primitive Blocks : 2
Total Processes : 48
Total Traceable Variables : 52
Total Scalar Nets and Variables : 158
Total Simulation Time: 0.109375 sec
Current Memory Usage: 4668.64 Meg
Sun Dec 12 07:05:26 2021

Some files were not shown because too many files have changed in this diff Show More