Put back all chip selects.

This commit is contained in:
Zane Kaminski 2023-03-27 10:17:22 -04:00
parent 8443707339
commit 6f86eb8658
47 changed files with 7398 additions and 7546 deletions

View File

@ -19,7 +19,7 @@ module IOBS(
always @(posedge CLK) begin IOACTr <= IOACT; end
/* Read data OE control */
assign nDinOE = ~nAS && IOCS && nWE;
assign nDinOE = !(!nAS && IOCS && nWE);
/* Posted read/write state */
reg [1:0] PS = 0;

View File

@ -66,11 +66,11 @@ module RAM(
reg RefRAS = 0;
assign nROMCS = !ROMCS;
assign nRAS = 1;//~((~nAS && RAMCS && RAMEN) || RefRAS);
assign nOE = ~(~nAS && nWE);
assign nLWE = ~(~nAS && ~nWE && ~nLDS && RAMEN);
assign nUWE = ~(~nAS && ~nWE && ~nUDS && RAMEN);
assign nROMWE = ~(~nAS && ~nWE);
assign nRAS = !((~nAS && RAMCS && RAMEN) || RefRAS);
assign nOE = !(~nAS && nWE);
assign nLWE = !(~nAS && ~nWE && ~nLDS && RAMEN);
assign nUWE = !(~nAS && ~nWE && ~nUDS && RAMEN);
assign nROMWE = !(~nAS && ~nWE);
/* RAM address mux (and ROM address on RA8) */
assign RA[11] = A[19];

View File

@ -30,7 +30,7 @@ NGDBUILD Design Results Summary:
Number of errors: 0
Number of warnings: 0
Total memory usage is 154340 kilobytes
Total memory usage is 154596 kilobytes
Writing NGD file "WarpSE.ngd" ...
Total REAL time to NGDBUILD completion: 3 sec

View File

@ -40,3 +40,33 @@ cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt
XSLTProcess WarpSE_build.xml
tsim -intstyle ise WarpSE WarpSE.nga
hprep6 -s IEEE1149 -n WarpSE -i WarpSE
xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr"
ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd
cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd
XSLTProcess WarpSE_build.xml
tsim -intstyle ise WarpSE WarpSE.nga
hprep6 -s IEEE1149 -n WarpSE -i WarpSE
xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr"
ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd
cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd
XSLTProcess WarpSE_build.xml
tsim -intstyle ise WarpSE WarpSE.nga
hprep6 -s IEEE1149 -n WarpSE -i WarpSE
xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr"
ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd
cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd
XSLTProcess WarpSE_build.xml
tsim -intstyle ise WarpSE WarpSE.nga
hprep6 -s IEEE1149 -n WarpSE -i WarpSE
xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr"
ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd
cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd
XSLTProcess WarpSE_build.xml
tsim -intstyle ise WarpSE WarpSE.nga
hprep6 -s IEEE1149 -n WarpSE -i WarpSE
xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr"
ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd
cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd
XSLTProcess WarpSE_build.xml
tsim -intstyle ise WarpSE WarpSE.nga
hprep6 -s IEEE1149 -n WarpSE -i WarpSE

View File

@ -77,29 +77,28 @@ nVPA_FSB S:PIN93
;The remaining section of the .gyd file is for documentation purposes only.
;It shows where your internal equations were placed in the last successful fit.
PARTITION FB1_1 ram/RefUrg ram/RefReqSync ram/RefReq iobs/IOACTr
iobm/Er iobm/DTACKrr iobm/DTACKrf iobm/BERRrr
iobm/BERRrf cnt/nIPL2r cnt/Er<0> ALE0S
ram/RS_FSM_FFd1 iobs/IOU1 iobs/IOL1 iobm/IOS_FSM_FFd1
ALE0M ram/RefDone
PARTITION FB2_13 iobm/VPArr iobm/VPArf iobm/RESrr iobm/RESrf
iobm/IOREQr iobm/Er2
PARTITION FB1_1 ram/RegUrgSync ram/RefUrg ram/RefReqSync iobs/IOACTr
iobm/Er2 iobm/Er iobm/DTACKrr iobm/DTACKrf
iobm/BERRrr iobm/BERRrf fsb/ASrf cnt/nIPL2r
cnt/Er<0> iobs/IOU1 iobs/IOL1 iobm/IOS_FSM_FFd1
ALE0M IOU0
PARTITION FB2_14 iobm/VPArr iobm/VPArf iobm/RESrr iobm/RESrf
iobm/IOREQr
PARTITION FB3_1 IORW0 EXP10_ EXP11_ EXP12_
EXP13_ fsb/VPA EXP14_ EXP15_
nDTACK_FSB_OBUF EXP16_ iobs/IORW1 EXP17_
fsb/Ready1r cs/nOverlay EXP18_ IOREQ
nROMWE_OBUF iobs/Clear1
PARTITION FB4_1 ram/RegUrgSync nAoutOE_OBUF ram/BACTr nRESout
nDoutOE_OBUF nDinOE_OBUF fsb/ASrf N0
cnt/Er<1> cnt/TimerTC nVPA_FSB_OBUF cnt/LTimer<3>
cnt/LTimer<2> cnt/LTimer<1> RefReq IOU0
IOL0 RefUrg
PARTITION FB5_1 EXP19_ nROMCS_OBUF iobs/Load1 EXP20_
nCAS_OBUF nOE_OBUF iobs/PS_FSM_FFd2 ram/RS_FSM_FFd2
RA_4_OBUF iobs/PS_FSM_FFd1 RA_3_OBUF RA_5_OBUF
PARTITION FB5_14 RA_2_OBUF RA_6_OBUF iobs/IOReady iobs/Once
EXP21_
PARTITION FB4_1 cnt/LTimer<0> nAoutOE_OBUF cnt/INITS_FSM_FFd1 cnt/Er<1>
nDoutOE_OBUF nDinOE_OBUF cnt/TimerTC N0
cnt/Timer<0> cnt/LTimer<3> nVPA_FSB_OBUF cnt/LTimer<2>
cnt/LTimer<1> cnt/INITS_FSM_FFd2 RefReq cnt/Timer<1>
cnt/Timer<2> RefUrg
PARTITION FB5_1 EXP19_ nROMCS_OBUF ram/RAMEN ram/RAMReady
nCAS_OBUF nOE_OBUF ram/RS_FSM_FFd2 fsb/Ready0r
RA_4_OBUF ram/RefDone RA_3_OBUF RA_5_OBUF
ram/BACTr RA_2_OBUF RA_6_OBUF ram/RefRAS
ram/RefReq ram/RASEL
PARTITION FB6_1 iobm/ETACK nVMA_IOBout iobm/IOS_FSM_FFd3 iobm/ES<3>
iobm/ES<1> iobm/ES<0> iobm/DoutOE iobm/ES<4>
nLDS_IOBout iobm/IOS_FSM_FFd2 nUDS_IOBout nAS_IOBout
@ -110,9 +109,9 @@ PARTITION FB7_1 cnt/LTimerTC RA_1_OBUF cnt/LTimer<9> cnt/LTimer<8>
A_FSB_21_IBUF$BUF0 cnt/LTimer<6> RA_9_OBUF C25MEN_OBUF
cnt/LTimer<5> C25MEN_OBUF$BUF0 cnt/LTimer<4> cnt/LTimer<12>
cnt/LTimer<11> cnt/LTimer<10>
PARTITION FB8_1 ram/RASEL A_FSB_19_IBUF$BUF0 cnt/Timer<2> cnt/Timer<0>
nRAS_OBUF nRAMLWE_OBUF cnt/INITS_FSM_FFd2 nRAMUWE_OBUF
fsb/Ready0r cnt/Timer<1> ram/RAMReady nBERR_FSB_OBUF
EXP22_ ram/RAMEN nBR_IOB_OBUF ram/RS_FSM_FFd3
cnt/INITS_FSM_FFd1 cnt/LTimer<0>
PARTITION FB8_1 EXP20_ A_FSB_19_IBUF$BUF0 iobs/Load1 iobs/IOReady
nRAS_OBUF nRAMLWE_OBUF iobs/PS_FSM_FFd2 nRAMUWE_OBUF
ram/RS_FSM_FFd3 IOL0 ram/RS_FSM_FFd1 nBERR_FSB_OBUF
iobs/PS_FSM_FFd1 ALE0S nBR_IOB_OBUF nRESout
iobs/Once EXP21_

File diff suppressed because it is too large Load Diff

File diff suppressed because it is too large Load Diff

File diff suppressed because one or more lines are too long

File diff suppressed because one or more lines are too long

File diff suppressed because one or more lines are too long

File diff suppressed because one or more lines are too long

View File

@ -1,7 +1,7 @@
Release 8.1i - Fit P.20131013
Copyright(c) 1995-2003 Xilinx Inc. All rights reserved
3-26-2023 4:30AM
3-27-2023 9:56AM
NOTE: This file is designed to be imported into a spreadsheet program
such as Microsoft Excel for viewing, printing and sorting. The pipe '|'

View File

@ -15,4 +15,4 @@
sr (SLOW|FAST|slow|fast) "SLOW"
dir (BIDIR|bidir|INPUT|input|OUTPUT|output) "BIDIR">
]>
<ibis><part arch="xc9500xl" device="XC95144XL" pkg="TQ100" spg="-10"/><pin dir="input" nm="A_FSB&lt;9&gt;" no="7"/><pin dir="input" nm="A_FSB&lt;8&gt;" no="6"/><pin dir="input" nm="A_FSB&lt;15&gt;" no="13"/><pin dir="input" nm="A_FSB&lt;14&gt;" no="12"/><pin dir="input" nm="A_FSB&lt;13&gt;" no="11"/><pin dir="input" nm="A_FSB&lt;12&gt;" no="10"/><pin dir="input" nm="A_FSB&lt;11&gt;" no="9"/><pin dir="input" nm="A_FSB&lt;10&gt;" no="8"/><pin dir="input" nm="A_FSB&lt;23&gt;" no="24"/><pin dir="input" nm="A_FSB&lt;22&gt;" no="20"/><pin dir="input" nm="A_FSB&lt;21&gt;" no="19"/><pin dir="input" nm="A_FSB&lt;20&gt;" no="18"/><pin dir="input" nm="A_FSB&lt;19&gt;" no="17"/><pin dir="input" nm="A_FSB&lt;18&gt;" no="16"/><pin dir="input" nm="A_FSB&lt;17&gt;" no="15"/><pin dir="input" nm="A_FSB&lt;16&gt;" no="14"/><pin dir="input" nm="C16M" no="22"/><pin dir="input" nm="FCLK" no="27"/><pin dir="input" nm="nAS_FSB" no="32"/><pin dir="input" nm="nWE_FSB" no="29"/><pin dir="input" nm="nLDS_FSB" no="30"/><pin dir="input" nm="nUDS_FSB" no="33"/><pin dir="input" nm="C8M" no="23"/><pin dir="input" nm="nBERR_IOB" no="76"/><pin dir="input" nm="E" no="25"/><pin dir="input" nm="nIPL2" no="92"/><pin dir="input" nm="nDTACK_IOB" no="78"/><pin dir="input" nm="nVPA_IOB" no="77"/><pin dir="input" nm="A_FSB&lt;1&gt;" no="94"/><pin dir="input" nm="A_FSB&lt;2&gt;" no="95"/><pin dir="input" nm="A_FSB&lt;3&gt;" no="96"/><pin dir="input" nm="A_FSB&lt;4&gt;" no="97"/><pin dir="input" nm="A_FSB&lt;5&gt;" no="2"/><pin dir="input" nm="A_FSB&lt;6&gt;" no="3"/><pin dir="input" nm="A_FSB&lt;7&gt;" no="4"/><pin dir="output" nm="nVMA_IOB" no="74" sr="fast"/><pin dir="output" nm="nAS_IOB" no="81" sr="fast"/><pin dir="output" nm="nLDS_IOB" no="79" sr="fast"/><pin dir="output" nm="nUDS_IOB" no="80" sr="fast"/><pin dir="output" nm="nBERR_FSB" no="70" sr="fast"/><pin dir="output" nm="nDTACK_FSB" no="28" sr="fast"/><pin dir="output" nm="nBR_IOB" no="72" sr="fast"/><pin dir="output" nm="RA&lt;0&gt;" no="53" sr="fast"/><pin dir="output" nm="RA&lt;1&gt;" no="50" sr="fast"/><pin dir="output" nm="RA&lt;2&gt;" no="43" sr="fast"/><pin dir="output" nm="RA&lt;3&gt;" no="41" sr="fast"/><pin dir="output" nm="RA&lt;4&gt;" no="40" sr="fast"/><pin dir="output" nm="RA&lt;5&gt;" no="42" sr="fast"/><pin dir="output" nm="RA&lt;6&gt;" no="46" sr="fast"/><pin dir="output" nm="RA&lt;7&gt;" no="52" sr="fast"/><pin dir="output" nm="RA&lt;8&gt;" no="54" sr="fast"/><pin dir="output" nm="RA&lt;9&gt;" no="56" sr="fast"/><pin dir="output" nm="nDoutOE" no="89" sr="fast"/><pin dir="output" nm="nOE" no="37" sr="fast"/><pin dir="output" nm="nROMWE" no="34" sr="fast"/><pin dir="output" nm="nVPA_FSB" no="93" sr="fast"/><pin dir="output" nm="nADoutLE0" no="85" sr="fast"/><pin dir="output" nm="nCAS" no="36" sr="fast"/><pin dir="output" nm="nDinLE" no="86" sr="fast"/><pin dir="output" nm="nDinOE" no="90" sr="fast"/><pin dir="output" nm="RA&lt;11&gt;" no="63" sr="fast"/><pin dir="output" nm="RA&lt;10&gt;" no="55" sr="fast"/><pin dir="output" nm="nADoutLE1" no="82" sr="fast"/><pin dir="output" nm="nAoutOE" no="87" sr="fast"/><pin dir="output" nm="nRAMLWE" no="65" sr="fast"/><pin dir="output" nm="nRAMUWE" no="66" sr="fast"/><pin dir="output" nm="nROMCS" no="35" sr="fast"/><pin dir="output" nm="C25MEN" no="58" sr="fast"/><pin dir="output" nm="C20MEN" no="59" sr="fast"/><pin dir="output" nm="nRAS" no="64" sr="fast"/><pin dir="bidir" nm="nRES" no="91" sr="fast"/></ibis>
<ibis><part arch="xc9500xl" device="XC95144XL" pkg="TQ100" spg="-10"/><pin dir="input" nm="A_FSB&lt;9&gt;" no="7"/><pin dir="input" nm="A_FSB&lt;8&gt;" no="6"/><pin dir="input" nm="A_FSB&lt;15&gt;" no="13"/><pin dir="input" nm="A_FSB&lt;14&gt;" no="12"/><pin dir="input" nm="A_FSB&lt;13&gt;" no="11"/><pin dir="input" nm="A_FSB&lt;12&gt;" no="10"/><pin dir="input" nm="A_FSB&lt;11&gt;" no="9"/><pin dir="input" nm="A_FSB&lt;10&gt;" no="8"/><pin dir="input" nm="A_FSB&lt;23&gt;" no="24"/><pin dir="input" nm="A_FSB&lt;22&gt;" no="20"/><pin dir="input" nm="A_FSB&lt;21&gt;" no="19"/><pin dir="input" nm="A_FSB&lt;20&gt;" no="18"/><pin dir="input" nm="A_FSB&lt;19&gt;" no="17"/><pin dir="input" nm="A_FSB&lt;18&gt;" no="16"/><pin dir="input" nm="A_FSB&lt;17&gt;" no="15"/><pin dir="input" nm="A_FSB&lt;16&gt;" no="14"/><pin dir="input" nm="C16M" no="22"/><pin dir="input" nm="FCLK" no="27"/><pin dir="input" nm="nAS_FSB" no="32"/><pin dir="input" nm="nWE_FSB" no="29"/><pin dir="input" nm="nLDS_FSB" no="30"/><pin dir="input" nm="nUDS_FSB" no="33"/><pin dir="input" nm="C8M" no="23"/><pin dir="input" nm="nBERR_IOB" no="76"/><pin dir="input" nm="E" no="25"/><pin dir="input" nm="nIPL2" no="92"/><pin dir="input" nm="nDTACK_IOB" no="78"/><pin dir="input" nm="nVPA_IOB" no="77"/><pin dir="input" nm="A_FSB&lt;1&gt;" no="94"/><pin dir="input" nm="A_FSB&lt;2&gt;" no="95"/><pin dir="input" nm="A_FSB&lt;3&gt;" no="96"/><pin dir="input" nm="A_FSB&lt;4&gt;" no="97"/><pin dir="input" nm="A_FSB&lt;5&gt;" no="2"/><pin dir="input" nm="A_FSB&lt;6&gt;" no="3"/><pin dir="input" nm="A_FSB&lt;7&gt;" no="4"/><pin dir="output" nm="nVMA_IOB" no="74" sr="fast"/><pin dir="output" nm="nAS_IOB" no="81" sr="fast"/><pin dir="output" nm="nLDS_IOB" no="79" sr="fast"/><pin dir="output" nm="nUDS_IOB" no="80" sr="fast"/><pin dir="output" nm="nBERR_FSB" no="70" sr="fast"/><pin dir="output" nm="nDTACK_FSB" no="28" sr="fast"/><pin dir="output" nm="nBR_IOB" no="72" sr="fast"/><pin dir="output" nm="RA&lt;0&gt;" no="53" sr="fast"/><pin dir="output" nm="RA&lt;1&gt;" no="50" sr="fast"/><pin dir="output" nm="RA&lt;2&gt;" no="43" sr="fast"/><pin dir="output" nm="RA&lt;3&gt;" no="41" sr="fast"/><pin dir="output" nm="RA&lt;4&gt;" no="40" sr="fast"/><pin dir="output" nm="RA&lt;5&gt;" no="42" sr="fast"/><pin dir="output" nm="RA&lt;6&gt;" no="46" sr="fast"/><pin dir="output" nm="RA&lt;7&gt;" no="52" sr="fast"/><pin dir="output" nm="RA&lt;8&gt;" no="54" sr="fast"/><pin dir="output" nm="RA&lt;9&gt;" no="56" sr="fast"/><pin dir="output" nm="nDoutOE" no="89" sr="fast"/><pin dir="output" nm="nOE" no="37" sr="fast"/><pin dir="output" nm="nROMWE" no="34" sr="fast"/><pin dir="output" nm="nVPA_FSB" no="93" sr="fast"/><pin dir="output" nm="nADoutLE0" no="85" sr="fast"/><pin dir="output" nm="nCAS" no="36" sr="fast"/><pin dir="output" nm="nDinLE" no="86" sr="fast"/><pin dir="output" nm="nRAS" no="64" sr="fast"/><pin dir="output" nm="RA&lt;11&gt;" no="63" sr="fast"/><pin dir="output" nm="RA&lt;10&gt;" no="55" sr="fast"/><pin dir="output" nm="nADoutLE1" no="82" sr="fast"/><pin dir="output" nm="nAoutOE" no="87" sr="fast"/><pin dir="output" nm="nDinOE" no="90" sr="fast"/><pin dir="output" nm="nRAMLWE" no="65" sr="fast"/><pin dir="output" nm="nRAMUWE" no="66" sr="fast"/><pin dir="output" nm="nROMCS" no="35" sr="fast"/><pin dir="output" nm="C25MEN" no="58" sr="fast"/><pin dir="output" nm="C20MEN" no="59" sr="fast"/><pin dir="bidir" nm="nRES" no="91" sr="fast"/></ibis>

View File

@ -1,7 +1,7 @@
cpldfit: version P.20131013 Xilinx Inc.
Fitter Report
Design Name: WarpSE Date: 3-26-2023, 4:30AM
Design Name: WarpSE Date: 3-27-2023, 9:56AM
Device Used: XC95144XL-10-TQ100
Fitting Status: Successful
@ -9,22 +9,22 @@ Fitting Status: Successful
Macrocells Product Terms Function Block Registers Pins
Used/Tot Used/Tot Inps Used/Tot Used/Tot Used/Tot
118/144 ( 82%) 384 /720 ( 53%) 224/432 ( 52%) 93 /144 ( 65%) 71 /81 ( 88%)
119/144 ( 83%) 380 /720 ( 53%) 214/432 ( 50%) 94 /144 ( 65%) 71 /81 ( 88%)
** Function Block Resources **
Function Mcells FB Inps Pterms IO
Block Used/Tot Used/Tot Used/Tot Used/Tot
FB1 18/18* 21/54 25/90 11/11*
FB2 6/18 4/54 6/90 8/10
FB1 18/18* 22/54 24/90 11/11*
FB2 5/18 3/54 5/90 8/10
FB3 9/18 34/54 82/90 10/10*
FB4 18/18* 31/54 34/90 10/10*
FB5 14/18 34/54 69/90 8/10
FB4 18/18* 25/54 39/90 10/10*
FB5 17/18 30/54 60/90 8/10
FB6 18/18* 34/54 63/90 10/10*
FB7 18/18* 31/54 34/90 8/10
FB8 17/18 35/54 71/90 6/10
FB8 16/18 35/54 73/90 6/10
----- ----- ----- -----
118/144 224/432 384/720 71/81
119/144 214/432 380/720 71/81
* - Resource is exhausted
@ -51,7 +51,7 @@ GSR : 0 0 |
** Power Data **
There are 118 macrocells in high performance mode (MCHP).
There are 119 macrocells in high performance mode (MCHP).
There are 0 macrocells in low power mode (MCLP).
End of Mapped Resource Summary
************************** Errors and Warnings ***************************
@ -118,40 +118,39 @@ RA<9> 2 3 FB7_11 56 I/O O STD FAST
C25MEN 0 0 FB7_12 58 I/O O STD FAST
C20MEN 0 0 FB7_14 59 I/O O STD FAST
RA<11> 1 1 FB8_2 63 I/O O STD FAST
nRAS 0 0 FB8_5 64 I/O O STD FAST
nRAS 2 6 FB8_5 64 I/O O STD FAST
nRAMLWE 1 4 FB8_6 65 I/O O STD FAST
nRAMUWE 1 4 FB8_8 66 I/O O STD FAST
nBERR_FSB 4 8 FB8_12 70 I/O O STD FAST RESET
nBR_IOB 2 4 FB8_15 72 I/O O STD FAST RESET
** 82 Buried Nodes **
** 83 Buried Nodes **
Signal Total Total Loc Pwr Reg Init
Name Pts Inps Mode State
ram/RefUrg 1 2 FB1_1 STD RESET
ram/RefReqSync 1 1 FB1_2 STD RESET
ram/RefReq 1 2 FB1_3 STD RESET
ram/RegUrgSync 1 1 FB1_1 STD RESET
ram/RefUrg 1 2 FB1_2 STD RESET
ram/RefReqSync 1 1 FB1_3 STD RESET
iobs/IOACTr 1 1 FB1_4 STD RESET
iobm/Er 1 1 FB1_5 STD RESET
iobm/DTACKrr 1 1 FB1_6 STD RESET
iobm/DTACKrf 1 1 FB1_7 STD RESET
iobm/BERRrr 1 1 FB1_8 STD RESET
iobm/BERRrf 1 1 FB1_9 STD RESET
cnt/nIPL2r 1 1 FB1_10 STD RESET
cnt/Er<0> 1 1 FB1_11 STD RESET
ALE0S 1 2 FB1_12 STD RESET
ram/RS_FSM_FFd1 2 3 FB1_13 STD RESET
iobm/Er2 1 1 FB1_5 STD RESET
iobm/Er 1 1 FB1_6 STD RESET
iobm/DTACKrr 1 1 FB1_7 STD RESET
iobm/DTACKrf 1 1 FB1_8 STD RESET
iobm/BERRrr 1 1 FB1_9 STD RESET
iobm/BERRrf 1 1 FB1_10 STD RESET
fsb/ASrf 1 1 FB1_11 STD RESET
cnt/nIPL2r 1 1 FB1_12 STD RESET
cnt/Er<0> 1 1 FB1_13 STD RESET
iobs/IOU1 2 2 FB1_14 STD RESET
iobs/IOL1 2 2 FB1_15 STD RESET
iobm/IOS_FSM_FFd1 2 3 FB1_16 STD RESET
ALE0M 2 4 FB1_17 STD RESET
ram/RefDone 3 5 FB1_18 STD RESET
iobm/VPArr 1 1 FB2_13 STD RESET
iobm/VPArf 1 1 FB2_14 STD RESET
iobm/RESrr 1 1 FB2_15 STD RESET
iobm/RESrf 1 1 FB2_16 STD RESET
iobm/IOREQr 1 1 FB2_17 STD RESET
iobm/Er2 1 1 FB2_18 STD RESET
IOU0 3 5 FB1_18 STD RESET
iobm/VPArr 1 1 FB2_14 STD RESET
iobm/VPArf 1 1 FB2_15 STD RESET
iobm/RESrr 1 1 FB2_16 STD RESET
iobm/RESrf 1 1 FB2_17 STD RESET
iobm/IOREQr 1 1 FB2_18 STD RESET
IORW0 17 20 FB3_1 STD RESET
fsb/VPA 16 26 FB3_6 STD RESET
iobs/IORW1 16 19 FB3_11 STD RESET
@ -159,28 +158,31 @@ fsb/Ready1r 6 17 FB3_13 STD RESET
cs/nOverlay 3 8 FB3_14 STD RESET
IOREQ 13 19 FB3_16 STD RESET
iobs/Clear1 1 3 FB3_18 STD RESET
ram/RegUrgSync 1 1 FB4_1 STD RESET
ram/BACTr 1 2 FB4_3 STD RESET
nRESout 1 2 FB4_4 STD RESET
fsb/ASrf 1 1 FB4_7 STD RESET
cnt/Er<1> 1 1 FB4_9 STD RESET
cnt/TimerTC 2 6 FB4_10 STD RESET
cnt/LTimer<3> 2 6 FB4_12 STD RESET
cnt/LTimer<2> 2 5 FB4_13 STD RESET
cnt/LTimer<1> 2 4 FB4_14 STD RESET
cnt/LTimer<0> 1 3 FB4_1 STD RESET
cnt/INITS_FSM_FFd1 1 7 FB4_3 STD RESET
cnt/Er<1> 1 1 FB4_4 STD RESET
cnt/TimerTC 2 6 FB4_7 STD RESET
cnt/Timer<0> 2 4 FB4_9 STD RESET
cnt/LTimer<3> 2 6 FB4_10 STD RESET
cnt/LTimer<2> 2 5 FB4_12 STD RESET
cnt/LTimer<1> 2 4 FB4_13 STD RESET
cnt/INITS_FSM_FFd2 2 6 FB4_14 STD RESET
RefReq 2 5 FB4_15 STD RESET
Signal Total Total Loc Pwr Reg Init
Name Pts Inps Mode State
RefReq 2 5 FB4_15 STD RESET
IOU0 3 5 FB4_16 STD RESET
IOL0 3 5 FB4_17 STD RESET
cnt/Timer<1> 4 5 FB4_16 STD RESET
cnt/Timer<2> 5 6 FB4_17 STD RESET
RefUrg 5 7 FB4_18 STD RESET
iobs/Load1 14 18 FB5_3 STD RESET
iobs/PS_FSM_FFd2 12 19 FB5_7 STD RESET
ram/RS_FSM_FFd2 8 10 FB5_8 STD RESET
iobs/PS_FSM_FFd1 2 3 FB5_10 STD RESET
iobs/IOReady 4 8 FB5_16 STD RESET
iobs/Once 15 18 FB5_17 STD RESET
ram/RAMEN 9 12 FB5_3 STD RESET
ram/RAMReady 9 12 FB5_4 STD RESET
ram/RS_FSM_FFd2 7 11 FB5_7 STD RESET
fsb/Ready0r 2 7 FB5_8 STD RESET
ram/RefDone 2 4 FB5_10 STD RESET
ram/BACTr 1 2 FB5_13 STD RESET
ram/RefRAS 1 2 FB5_16 STD RESET
ram/RefReq 1 2 FB5_17 STD RESET
ram/RASEL 14 12 FB5_18 STD RESET
iobm/ETACK 1 6 FB6_1 STD RESET
iobm/IOS_FSM_FFd3 3 6 FB6_3 STD RESET
iobm/ES<3> 3 6 FB6_4 STD RESET
@ -202,20 +204,19 @@ cnt/LTimer<4> 2 7 FB7_15 STD RESET
cnt/LTimer<12> 2 15 FB7_16 STD RESET
cnt/LTimer<11> 2 14 FB7_17 STD RESET
cnt/LTimer<10> 2 13 FB7_18 STD RESET
ram/RASEL 14 12 FB8_1 STD RESET
cnt/Timer<2> 5 6 FB8_3 STD RESET
cnt/Timer<0> 2 4 FB8_4 STD RESET
cnt/INITS_FSM_FFd2 2 6 FB8_7 STD RESET
fsb/Ready0r 2 7 FB8_9 STD RESET
cnt/Timer<1> 4 5 FB8_10 STD RESET
ram/RAMReady 9 12 FB8_11 STD RESET
ram/RAMEN 9 12 FB8_14 STD RESET
ram/RS_FSM_FFd3 13 12 FB8_16 STD RESET
iobs/Load1 14 18 FB8_3 STD RESET
iobs/IOReady 4 8 FB8_4 STD RESET
iobs/PS_FSM_FFd2 12 19 FB8_7 STD RESET
ram/RS_FSM_FFd3 7 10 FB8_9 STD RESET
IOL0 3 5 FB8_10 STD RESET
ram/RS_FSM_FFd1 3 9 FB8_11 STD RESET
iobs/PS_FSM_FFd1 2 3 FB8_13 STD RESET
Signal Total Total Loc Pwr Reg Init
Name Pts Inps Mode State
cnt/INITS_FSM_FFd1 1 7 FB8_17 STD RESET
cnt/LTimer<0> 1 3 FB8_18 STD RESET
ALE0S 1 2 FB8_14 STD RESET
nRESout 1 2 FB8_16 STD RESET
iobs/Once 15 18 FB8_17 STD RESET
** 35 Inputs **
@ -273,63 +274,64 @@ Pin Type/Use - I - Input GCK - Global Clock
X - Signal used as input to the macrocell logic.
Pin No. - ~ - User Assigned
*********************************** FB1 ***********************************
Number of function block inputs used/remaining: 21/33
Number of signals used by logic mapping into function block: 21
Number of function block inputs used/remaining: 22/32
Number of signals used by logic mapping into function block: 22
Signal Total Imp Exp Unused Loc Pin Pin Pin
Name Pt Pt Pt Pt # Type Use
ram/RefUrg 1 0 0 4 FB1_1 (b) (b)
ram/RefReqSync 1 0 0 4 FB1_2 11 I/O I
ram/RefReq 1 0 0 4 FB1_3 12 I/O I
ram/RegUrgSync 1 0 0 4 FB1_1 (b) (b)
ram/RefUrg 1 0 0 4 FB1_2 11 I/O I
ram/RefReqSync 1 0 0 4 FB1_3 12 I/O I
iobs/IOACTr 1 0 0 4 FB1_4 (b) (b)
iobm/Er 1 0 0 4 FB1_5 13 I/O I
iobm/DTACKrr 1 0 0 4 FB1_6 14 I/O I
iobm/DTACKrf 1 0 0 4 FB1_7 (b) (b)
iobm/BERRrr 1 0 0 4 FB1_8 15 I/O I
iobm/BERRrf 1 0 0 4 FB1_9 16 I/O I
cnt/nIPL2r 1 0 0 4 FB1_10 (b) (b)
cnt/Er<0> 1 0 0 4 FB1_11 17 I/O I
ALE0S 1 0 0 4 FB1_12 18 I/O I
ram/RS_FSM_FFd1 2 0 0 3 FB1_13 (b) (b)
iobm/Er2 1 0 0 4 FB1_5 13 I/O I
iobm/Er 1 0 0 4 FB1_6 14 I/O I
iobm/DTACKrr 1 0 0 4 FB1_7 (b) (b)
iobm/DTACKrf 1 0 0 4 FB1_8 15 I/O I
iobm/BERRrr 1 0 0 4 FB1_9 16 I/O I
iobm/BERRrf 1 0 0 4 FB1_10 (b) (b)
fsb/ASrf 1 0 0 4 FB1_11 17 I/O I
cnt/nIPL2r 1 0 0 4 FB1_12 18 I/O I
cnt/Er<0> 1 0 0 4 FB1_13 (b) (b)
iobs/IOU1 2 0 0 3 FB1_14 19 I/O I
iobs/IOL1 2 0 0 3 FB1_15 20 I/O I
iobm/IOS_FSM_FFd1 2 0 0 3 FB1_16 (b) (b)
ALE0M 2 0 0 3 FB1_17 22 GCK/I/O GCK
ram/RefDone 3 0 0 2 FB1_18 (b) (b)
IOU0 3 0 0 2 FB1_18 (b) (b)
Signals Used by Logic in Function Block
1: E 8: iobs/Load1 15: nUDS_FSB
2: IOACT 9: iobs/PS_FSM_FFd1 16: ram/RS_FSM_FFd1
3: RefReq 10: iobs/PS_FSM_FFd2 17: ram/RS_FSM_FFd2
4: iobm/IOREQr 11: nBERR_IOB 18: ram/RS_FSM_FFd3
5: iobm/IOS_FSM_FFd1 12: nDTACK_IOB 19: ram/RefDone
6: iobm/IOS_FSM_FFd2 13: nIPL2 20: ram/RefReqSync
7: iobm/IOS_FSM_FFd3 14: nLDS_FSB 21: ram/RegUrgSync
1: E 9: iobm/IOS_FSM_FFd3 16: nBERR_IOB
2: IOACT 10: iobs/IOU1 17: nDTACK_IOB
3: RefReq 11: iobs/Load1 18: nIPL2
4: RefUrg 12: iobs/PS_FSM_FFd1 19: nLDS_FSB
5: iobm/Er 13: iobs/PS_FSM_FFd2 20: nUDS_FSB
6: iobm/IOREQr 14: nADoutLE1 21: ram/RefDone
7: iobm/IOS_FSM_FFd1 15: nAS_FSB 22: ram/RegUrgSync
8: iobm/IOS_FSM_FFd2
Signal 1 2 3 4 FB
Name 0----+----0----+----0----+----0----+----0 Inputs
ram/RefUrg ..................X.X................... 2
ram/RegUrgSync ...X.................................... 1
ram/RefUrg ....................XX.................. 2
ram/RefReqSync ..X..................................... 1
ram/RefReq ..................XX.................... 2
iobs/IOACTr .X...................................... 1
iobm/Er2 ....X................................... 1
iobm/Er X....................................... 1
iobm/DTACKrr ...........X............................ 1
iobm/DTACKrf ...........X............................ 1
iobm/BERRrr ..........X............................. 1
iobm/BERRrf ..........X............................. 1
cnt/nIPL2r ............X........................... 1
iobm/DTACKrr ................X....................... 1
iobm/DTACKrf ................X....................... 1
iobm/BERRrr ...............X........................ 1
iobm/BERRrf ...............X........................ 1
fsb/ASrf ..............X......................... 1
cnt/nIPL2r .................X...................... 1
cnt/Er<0> X....................................... 1
ALE0S ........XX.............................. 2
ram/RS_FSM_FFd1 ...............XXX...................... 3
iobs/IOU1 .......X......X......................... 2
iobs/IOL1 .......X.....X.......................... 2
iobm/IOS_FSM_FFd1 ....XXX................................. 3
ALE0M ...XXXX................................. 4
ram/RefDone ...............XXXXX.................... 5
iobs/IOU1 ..........X........X.................... 2
iobs/IOL1 ..........X.......X..................... 2
iobm/IOS_FSM_FFd1 ......XXX............................... 3
ALE0M .....XXXX............................... 4
IOU0 .........X.XXX.....X.................... 5
0----+----1----+----2----+----3----+----4
0 0 0 0
*********************************** FB2 ***********************************
Number of function block inputs used/remaining: 4/50
Number of signals used by logic mapping into function block: 4
Number of function block inputs used/remaining: 3/51
Number of signals used by logic mapping into function block: 3
Signal Total Imp Exp Unused Loc Pin Pin Pin
Name Pt Pt Pt Pt # Type Use
(unused) 0 0 0 5 FB2_1 (b)
@ -344,25 +346,23 @@ Name Pt Pt Pt Pt # Type Use
(unused) 0 0 0 5 FB2_10 (b)
(unused) 0 0 0 5 FB2_11 6 I/O I
(unused) 0 0 0 5 FB2_12 7 I/O I
iobm/VPArr 1 0 0 4 FB2_13 (b) (b)
iobm/VPArf 1 0 0 4 FB2_14 8 I/O I
iobm/RESrr 1 0 0 4 FB2_15 9 I/O I
iobm/RESrf 1 0 0 4 FB2_16 (b) (b)
iobm/IOREQr 1 0 0 4 FB2_17 10 I/O I
iobm/Er2 1 0 0 4 FB2_18 (b) (b)
(unused) 0 0 0 5 FB2_13 (b)
iobm/VPArr 1 0 0 4 FB2_14 8 I/O I
iobm/VPArf 1 0 0 4 FB2_15 9 I/O I
iobm/RESrr 1 0 0 4 FB2_16 (b) (b)
iobm/RESrf 1 0 0 4 FB2_17 10 I/O I
iobm/IOREQr 1 0 0 4 FB2_18 (b) (b)
Signals Used by Logic in Function Block
1: IOREQ 3: iobm/Er 4: nVPA_IOB
2: nRES.PIN
1: IOREQ 2: nRES.PIN 3: nVPA_IOB
Signal 1 2 3 4 FB
Name 0----+----0----+----0----+----0----+----0 Inputs
iobm/VPArr ...X.................................... 1
iobm/VPArf ...X.................................... 1
iobm/VPArr ..X..................................... 1
iobm/VPArf ..X..................................... 1
iobm/RESrr .X...................................... 1
iobm/RESrf .X...................................... 1
iobm/IOREQr X....................................... 1
iobm/Er2 ..X..................................... 1
0----+----1----+----2----+----3----+----4
0 0 0 0
*********************************** FB3 ***********************************
@ -417,118 +417,117 @@ iobs/Clear1 ...........................XXX.......... 3
0----+----1----+----2----+----3----+----4
0 0 0 0
*********************************** FB4 ***********************************
Number of function block inputs used/remaining: 31/23
Number of signals used by logic mapping into function block: 31
Number of function block inputs used/remaining: 25/29
Number of signals used by logic mapping into function block: 25
Signal Total Imp Exp Unused Loc Pin Pin Pin
Name Pt Pt Pt Pt # Type Use
ram/RegUrgSync 1 0 0 4 FB4_1 (b) (b)
cnt/LTimer<0> 1 0 0 4 FB4_1 (b) (b)
nAoutOE 2 0 0 3 FB4_2 87 I/O O
ram/BACTr 1 0 0 4 FB4_3 (b) (b)
nRESout 1 0 0 4 FB4_4 (b) (b)
cnt/INITS_FSM_FFd1 1 0 0 4 FB4_3 (b) (b)
cnt/Er<1> 1 0 0 4 FB4_4 (b) (b)
nDoutOE 1 0 0 4 FB4_5 89 I/O O
nDinOE 3 0 0 2 FB4_6 90 I/O O
fsb/ASrf 1 0 0 4 FB4_7 (b) (b)
cnt/TimerTC 2 0 0 3 FB4_7 (b) (b)
nRES 1 0 0 4 FB4_8 91 I/O I/O
cnt/Er<1> 1 0 0 4 FB4_9 92 I/O I
cnt/TimerTC 2 0 0 3 FB4_10 (b) (b)
cnt/Timer<0> 2 0 0 3 FB4_9 92 I/O I
cnt/LTimer<3> 2 0 0 3 FB4_10 (b) (b)
nVPA_FSB 1 0 0 4 FB4_11 93 I/O O
cnt/LTimer<3> 2 0 0 3 FB4_12 94 I/O I
cnt/LTimer<2> 2 0 0 3 FB4_13 (b) (b)
cnt/LTimer<1> 2 0 0 3 FB4_14 95 I/O I
cnt/LTimer<2> 2 0 0 3 FB4_12 94 I/O I
cnt/LTimer<1> 2 0 0 3 FB4_13 (b) (b)
cnt/INITS_FSM_FFd2 2 0 0 3 FB4_14 95 I/O I
RefReq 2 0 0 3 FB4_15 96 I/O I
IOU0 3 0 0 2 FB4_16 (b) (b)
IOL0 3 0 0 2 FB4_17 97 I/O I
cnt/Timer<1> 4 0 0 1 FB4_16 (b) (b)
cnt/Timer<2> 5 0 0 0 FB4_17 97 I/O I
RefUrg 5 0 0 0 FB4_18 (b) (b)
Signals Used by Logic in Function Block
1: A_FSB<20> 12: cnt/LTimer<2> 22: iobs/PS_FSM_FFd1
2: A_FSB<21> 13: cnt/Timer<0> 23: iobs/PS_FSM_FFd2
3: A_FSB<22> 14: cnt/Timer<1> 24: nADoutLE1
4: A_FSB<23> 15: cnt/Timer<2> 25: nAS_FSB
5: RefUrg 16: cnt/TimerTC 26: nAoutOE
6: cnt/Er<0> 17: fsb/ASrf 27: nBR_IOB
7: cnt/Er<1> 18: fsb/VPA 28: nLDS_FSB
8: cnt/INITS_FSM_FFd1 19: iobm/DoutOE 29: nRESout
9: cnt/INITS_FSM_FFd2 20: iobs/IOL1 30: nUDS_FSB
10: cnt/LTimer<0> 21: iobs/IOU1 31: nWE_FSB
11: cnt/LTimer<1>
1: A_FSB<20> 10: cnt/LTimer<0> 18: cnt/nIPL2r
2: A_FSB<21> 11: cnt/LTimer<1> 19: fsb/VPA
3: A_FSB<22> 12: cnt/LTimer<2> 20: iobm/DoutOE
4: A_FSB<23> 13: cnt/LTimerTC 21: nAS_FSB
5: RefUrg 14: cnt/Timer<0> 22: nAoutOE
6: cnt/Er<0> 15: cnt/Timer<1> 23: nBR_IOB
7: cnt/Er<1> 16: cnt/Timer<2> 24: nRESout
8: cnt/INITS_FSM_FFd1 17: cnt/TimerTC 25: nWE_FSB
9: cnt/INITS_FSM_FFd2
Signal 1 2 3 4 FB
Name 0----+----0----+----0----+----0----+----0 Inputs
ram/RegUrgSync ....X................................... 1
nAoutOE .......XX................XX............. 4
ram/BACTr ................X.......X............... 2
nRESout .......XX............................... 2
nDoutOE ..................X......X.............. 2
nDinOE XXXX....................X.....X......... 6
fsb/ASrf ........................X............... 1
nRES ............................X........... 1
cnt/LTimer<0> .....XX.........X....................... 3
nAoutOE .......XX............XX................. 4
cnt/INITS_FSM_FFd1 .....XXXX...X...XX...................... 7
cnt/Er<1> .....X.................................. 1
cnt/TimerTC ....XXX.....XXX......................... 6
nVPA_FSB .................X......X............... 2
cnt/LTimer<3> .....XX..XXX...X........................ 6
cnt/LTimer<2> .....XX..XX....X........................ 5
cnt/LTimer<1> .....XX..X.....X........................ 4
RefReq ....XXX......XX......................... 5
IOU0 ....................XXXX.....X.......... 5
IOL0 ...................X.XXX...X............ 5
RefUrg ....XXX.....XXXX........................ 7
nDoutOE ...................X.X.................. 2
nDinOE XXXX................X...X............... 6
cnt/TimerTC ....XXX......XXX........................ 6
nRES .......................X................ 1
cnt/Timer<0> .....XX......X..X....................... 4
cnt/LTimer<3> .....XX..XXX....X....................... 6
nVPA_FSB ..................X.X................... 2
cnt/LTimer<2> .....XX..XX.....X....................... 5
cnt/LTimer<1> .....XX..X......X....................... 4
cnt/INITS_FSM_FFd2 .....XXXX...X...X....................... 6
RefReq ....XXX.......XX........................ 5
cnt/Timer<1> .....XX......XX.X....................... 5
cnt/Timer<2> .....XX......XXXX....................... 6
RefUrg ....XXX......XXXX....................... 7
0----+----1----+----2----+----3----+----4
0 0 0 0
*********************************** FB5 ***********************************
Number of function block inputs used/remaining: 34/20
Number of signals used by logic mapping into function block: 34
Number of function block inputs used/remaining: 30/24
Number of signals used by logic mapping into function block: 30
Signal Total Imp Exp Unused Loc Pin Pin Pin
Name Pt Pt Pt Pt # Type Use
(unused) 0 0 \/1 4 FB5_1 (b) (b)
nROMCS 2 1<- \/4 0 FB5_2 35 I/O O
iobs/Load1 14 9<- 0 0 FB5_3 (b) (b)
(unused) 0 0 /\5 0 FB5_4 (b) (b)
nCAS 1 0 \/1 3 FB5_5 36 I/O O
nOE 1 1<- \/5 0 FB5_6 37 I/O O
iobs/PS_FSM_FFd2 12 7<- 0 0 FB5_7 (b) (b)
ram/RS_FSM_FFd2 8 5<- /\2 0 FB5_8 39 I/O (b)
RA<4> 2 2<- /\5 0 FB5_9 40 I/O O
iobs/PS_FSM_FFd1 2 0 /\2 1 FB5_10 (b) (b)
(unused) 0 0 /\5 0 FB5_1 (b) (b)
nROMCS 2 0 \/3 0 FB5_2 35 I/O O
ram/RAMEN 9 4<- 0 0 FB5_3 (b) (b)
ram/RAMReady 9 5<- /\1 0 FB5_4 (b) (b)
nCAS 1 1<- /\5 0 FB5_5 36 I/O O
nOE 1 0 /\1 3 FB5_6 37 I/O O
ram/RS_FSM_FFd2 7 2<- 0 0 FB5_7 (b) (b)
fsb/Ready0r 2 0 /\2 1 FB5_8 39 I/O (b)
RA<4> 2 0 0 3 FB5_9 40 I/O O
ram/RefDone 2 0 0 3 FB5_10 (b) (b)
RA<3> 2 0 0 3 FB5_11 41 I/O O
RA<5> 2 0 0 3 FB5_12 42 I/O O
(unused) 0 0 0 5 FB5_13 (b)
RA<2> 2 0 \/1 2 FB5_14 43 I/O O
RA<6> 2 1<- \/4 0 FB5_15 46 I/O O
iobs/IOReady 4 4<- \/5 0 FB5_16 (b) (b)
iobs/Once 15 10<- 0 0 FB5_17 49 I/O (b)
(unused) 0 0 /\5 0 FB5_18 (b) (b)
ram/BACTr 1 0 0 4 FB5_13 (b) (b)
RA<2> 2 0 0 3 FB5_14 43 I/O O
RA<6> 2 0 0 3 FB5_15 46 I/O O
ram/RefRAS 1 0 0 4 FB5_16 (b) (b)
ram/RefReq 1 0 \/4 0 FB5_17 49 I/O (b)
ram/RASEL 14 9<- 0 0 FB5_18 (b) (b)
Signals Used by Logic in Function Block
1: A_FSB<12> 13: A_FSB<3> 24: iobs/PS_FSM_FFd1
2: A_FSB<13> 14: A_FSB<4> 25: iobs/PS_FSM_FFd2
3: A_FSB<14> 15: A_FSB<5> 26: nADoutLE1
4: A_FSB<15> 16: A_FSB<6> 27: nAS_FSB
5: A_FSB<16> 17: A_FSB<7> 28: nWE_FSB
6: A_FSB<17> 18: IOBERR 29: ram/RAMEN
7: A_FSB<18> 19: cs/nOverlay 30: ram/RASEL
8: A_FSB<19> 20: fsb/ASrf 31: ram/RS_FSM_FFd1
9: A_FSB<20> 21: iobs/IOACTr 32: ram/RS_FSM_FFd2
10: A_FSB<21> 22: iobs/IOReady 33: ram/RS_FSM_FFd3
11: A_FSB<22> 23: iobs/Once 34: ram/RefUrg
12: A_FSB<23>
1: A_FSB<12> 11: A_FSB<4> 21: ram/RAMEN
2: A_FSB<13> 12: A_FSB<5> 22: ram/RAMReady
3: A_FSB<14> 13: A_FSB<6> 23: ram/RASEL
4: A_FSB<15> 14: A_FSB<7> 24: ram/RS_FSM_FFd1
5: A_FSB<16> 15: cs/nOverlay 25: ram/RS_FSM_FFd2
6: A_FSB<20> 16: fsb/ASrf 26: ram/RS_FSM_FFd3
7: A_FSB<21> 17: fsb/Ready0r 27: ram/RefDone
8: A_FSB<22> 18: nAS_FSB 28: ram/RefReq
9: A_FSB<23> 19: nWE_FSB 29: ram/RefReqSync
10: A_FSB<3> 20: ram/BACTr 30: ram/RefUrg
Signal 1 2 3 4 FB
Name 0----+----0----+----0----+----0----+----0 Inputs
nROMCS ........XXXX......X..................... 5
iobs/Load1 .XX.XXXXXXXX......XX..XXXXXX............ 18
nCAS .............................X.......... 1
nOE ..........................XX............ 2
iobs/PS_FSM_FFd2 .XX.XXXXXXXX......XXX.XXXXXX............ 19
ram/RS_FSM_FFd2 ..........XX......XX......X.X.XXXX...... 10
RA<4> ..X...........X..............X.......... 3
iobs/PS_FSM_FFd1 ....................X..XX............... 3
RA<3> .X...........X...............X.......... 3
RA<5> ...X...........X.............X.......... 3
RA<2> X...........X................X.......... 3
RA<6> ....X...........X............X.......... 3
iobs/IOReady .................X.XXXX.XXX............. 8
iobs/Once .XX.XXXXXXXX......XX..XXXXXX............ 18
nROMCS .....XXXX.....X......................... 5
ram/RAMEN .......XX.....XX.X.XX..XXX.X.X.......... 12
ram/RAMReady .......XX.....XX.X.XX..XXX.X.X.......... 12
nCAS ......................X................. 1
nOE .................XX..................... 2
ram/RS_FSM_FFd2 .......XX.....XX.X.X...XXX.X.X.......... 11
fsb/Ready0r .......XX.....XXXX...X.................. 7
RA<4> ..X........X..........X................. 3
ram/RefDone .......................XX.X.X........... 4
RA<3> .X........X...........X................. 3
RA<5> ...X........X.........X................. 3
ram/BACTr ...............X.X...................... 2
RA<2> X........X............X................. 3
RA<6> ....X........X........X................. 3
ram/RefRAS .......................XX............... 2
ram/RefReq ..........................X.X........... 2
ram/RASEL .......XX.....XX.X.XX..XXX.X.X.......... 12
0----+----1----+----2----+----3----+----4
0 0 0 0
*********************************** FB6 ***********************************
@ -655,58 +654,57 @@ Number of function block inputs used/remaining: 35/19
Number of signals used by logic mapping into function block: 35
Signal Total Imp Exp Unused Loc Pin Pin Pin
Name Pt Pt Pt Pt # Type Use
ram/RASEL 14 9<- 0 0 FB8_1 (b) (b)
RA<11> 1 1<- /\5 0 FB8_2 63 I/O O
cnt/Timer<2> 5 1<- /\1 0 FB8_3 (b) (b)
cnt/Timer<0> 2 0 /\1 2 FB8_4 (b) (b)
nRAS 0 0 0 5 FB8_5 64 I/O O
nRAMLWE 1 0 0 4 FB8_6 65 I/O O
cnt/INITS_FSM_FFd2 2 0 0 3 FB8_7 (b) (b)
nRAMUWE 1 0 0 4 FB8_8 66 I/O O
fsb/Ready0r 2 0 \/2 1 FB8_9 67 I/O (b)
cnt/Timer<1> 4 2<- \/3 0 FB8_10 (b) (b)
ram/RAMReady 9 4<- 0 0 FB8_11 68 I/O (b)
nBERR_FSB 4 0 /\1 0 FB8_12 70 I/O O
(unused) 0 0 \/5 0 FB8_13 (b) (b)
ram/RAMEN 9 5<- \/1 0 FB8_14 71 I/O (b)
nBR_IOB 2 1<- \/4 0 FB8_15 72 I/O O
ram/RS_FSM_FFd3 13 8<- 0 0 FB8_16 (b) (b)
cnt/INITS_FSM_FFd1 1 0 /\4 0 FB8_17 73 I/O (b)
cnt/LTimer<0> 1 0 \/4 0 FB8_18 (b) (b)
(unused) 0 0 \/1 4 FB8_1 (b) (b)
RA<11> 1 1<- \/5 0 FB8_2 63 I/O O
iobs/Load1 14 9<- 0 0 FB8_3 (b) (b)
iobs/IOReady 4 3<- /\4 0 FB8_4 (b) (b)
nRAS 2 0 /\3 0 FB8_5 64 I/O O
nRAMLWE 1 0 \/4 0 FB8_6 65 I/O O
iobs/PS_FSM_FFd2 12 7<- 0 0 FB8_7 (b) (b)
nRAMUWE 1 0 /\3 1 FB8_8 66 I/O O
ram/RS_FSM_FFd3 7 2<- 0 0 FB8_9 67 I/O (b)
IOL0 3 0 /\2 0 FB8_10 (b) (b)
ram/RS_FSM_FFd1 3 0 0 2 FB8_11 68 I/O (b)
nBERR_FSB 4 0 0 1 FB8_12 70 I/O O
iobs/PS_FSM_FFd1 2 0 0 3 FB8_13 (b) (b)
ALE0S 1 0 0 4 FB8_14 71 I/O (b)
nBR_IOB 2 0 \/1 2 FB8_15 72 I/O O
nRESout 1 1<- \/5 0 FB8_16 (b) (b)
iobs/Once 15 10<- 0 0 FB8_17 73 I/O (b)
(unused) 0 0 /\5 0 FB8_18 (b) (b)
Signals Used by Logic in Function Block
1: A_FSB<19> 13: cnt/TimerTC 25: nLDS_FSB
2: A_FSB<22> 14: cnt/nIPL2r 26: nUDS_FSB
3: A_FSB<23> 15: cs/nOverlay 27: nWE_FSB
4: IOBERR 16: fsb/ASrf 28: ram/BACTr
5: cnt/Er<0> 17: fsb/Ready0r 29: ram/RAMEN
6: cnt/Er<1> 18: iobs/IOACTr 30: ram/RAMReady
7: cnt/INITS_FSM_FFd1 19: iobs/Once 31: ram/RS_FSM_FFd1
8: cnt/INITS_FSM_FFd2 20: iobs/PS_FSM_FFd2 32: ram/RS_FSM_FFd2
9: cnt/LTimerTC 21: nADoutLE1 33: ram/RS_FSM_FFd3
10: cnt/Timer<0> 22: nAS_FSB 34: ram/RefReq
11: cnt/Timer<1> 23: nBERR_FSB 35: ram/RefUrg
12: cnt/Timer<2> 24: nBR_IOB
1: A_FSB<13> 13: cnt/INITS_FSM_FFd2 25: nBERR_FSB
2: A_FSB<14> 14: cnt/nIPL2r 26: nBR_IOB
3: A_FSB<16> 15: cs/nOverlay 27: nLDS_FSB
4: A_FSB<17> 16: fsb/ASrf 28: nUDS_FSB
5: A_FSB<18> 17: iobs/IOACTr 29: nWE_FSB
6: A_FSB<19> 18: iobs/IOL1 30: ram/RAMEN
7: A_FSB<20> 19: iobs/IOReady 31: ram/RS_FSM_FFd1
8: A_FSB<21> 20: iobs/Once 32: ram/RS_FSM_FFd2
9: A_FSB<22> 21: iobs/PS_FSM_FFd1 33: ram/RS_FSM_FFd3
10: A_FSB<23> 22: iobs/PS_FSM_FFd2 34: ram/RefRAS
11: IOBERR 23: nADoutLE1 35: ram/RefUrg
12: cnt/INITS_FSM_FFd1 24: nAS_FSB
Signal 1 2 3 4 FB
Name 0----+----0----+----0----+----0----+----0 Inputs
ram/RASEL .XX...........XX.....X.....XX.XXXXX..... 12
RA<11> X....................................... 1
cnt/Timer<2> ....XX...XXXX........................... 6
cnt/Timer<0> ....XX...X..X........................... 4
nRAS ........................................ 0
nRAMLWE .....................X..X.X.X........... 4
cnt/INITS_FSM_FFd2 ....XXXXX...X........................... 6
nRAMUWE .....................X...XX.X........... 4
fsb/Ready0r .XX...........XXX....X.......X.......... 7
cnt/Timer<1> ....XX...XX.X........................... 5
ram/RAMReady .XX...........XX.....X.....XX.XXXXX..... 12
nBERR_FSB ...X...........X.XXXXXX................. 8
ram/RAMEN .XX...........XX.....X.....XX.XXXXX..... 12
nBR_IOB ......XX.....X.........X................ 4
ram/RS_FSM_FFd3 .XX...........XX.....X.....XX.XXXXX..... 12
cnt/INITS_FSM_FFd1 ....XXXXX...XX.......................... 7
cnt/LTimer<0> ....XX......X........................... 3
RA<11> .....X.................................. 1
iobs/Load1 XXXXXXXXXX....XX...XXXXX....X........... 18
iobs/IOReady ..........X....XX.XX.XXX................ 8
nRAS ........XX....X........X.....X...X...... 6
nRAMLWE .......................X..X.XX.......... 4
iobs/PS_FSM_FFd2 XXXXXXXXXX....XXX..XXXXX....X........... 19
nRAMUWE .......................X...XXX.......... 4
ram/RS_FSM_FFd3 ........XX....XX.......X.....XXXX.X..... 10
IOL0 .................X..XXX...X............. 5
ram/RS_FSM_FFd1 ........XX....XX.......X.....XXXX....... 9
nBERR_FSB ..........X....XX..X.XXXX............... 8
iobs/PS_FSM_FFd1 ................X...XX.................. 3
ALE0S ....................XX.................. 2
nBR_IOB ...........XXX...........X.............. 4
nRESout ...........XX........................... 2
iobs/Once XXXXXXXXXX....XX...XXXXX....X........... 18
0----+----1----+----2----+----3----+----4
0 0 0 0
******************************* Equations ********************************
@ -748,8 +746,6 @@ C25MEN <= '1';
@ -884,8 +880,8 @@ RA(5) <= ((A_FSB(15) AND NOT ram/RASEL)
OR (ram/RASEL AND A_FSB(6)));
RA(6) <= ((ram/RASEL AND A_FSB(7))
OR (A_FSB(16) AND NOT ram/RASEL));
RA(6) <= ((A_FSB(16) AND NOT ram/RASEL)
OR (ram/RASEL AND A_FSB(7)));
RA(7) <= ((A_FSB(8) AND ram/RASEL)
@ -1015,15 +1011,15 @@ cnt/Timer_T(0) <= (NOT cnt/Timer(0) AND cnt/TimerTC AND NOT cnt/Er(0) AND
cnt/Timer_CE(0) <= (NOT cnt/Er(0) AND cnt/Er(1));
FDCPE_cnt/Timer1: FDCPE port map (cnt/Timer(1),cnt/Timer_D(1),FCLK,'0','0',cnt/Timer_CE(1));
cnt/Timer_D(1) <= ((NOT cnt/Timer(0) AND NOT cnt/Timer(1))
OR (cnt/TimerTC AND NOT cnt/Er(0) AND cnt/Er(1))
OR (cnt/Timer(0) AND cnt/Timer(1)));
cnt/Timer_D(1) <= ((cnt/Timer(0) AND cnt/Timer(1))
OR (NOT cnt/Timer(0) AND NOT cnt/Timer(1))
OR (cnt/TimerTC AND NOT cnt/Er(0) AND cnt/Er(1)));
cnt/Timer_CE(1) <= (NOT cnt/Er(0) AND cnt/Er(1));
FDCPE_cnt/Timer2: FDCPE port map (cnt/Timer(2),cnt/Timer_D(2),FCLK,'0','0',cnt/Timer_CE(2));
cnt/Timer_D(2) <= ((cnt/Timer(0) AND cnt/Timer(1) AND cnt/Timer(2))
OR (NOT cnt/Timer(0) AND NOT cnt/Timer(2))
cnt/Timer_D(2) <= ((NOT cnt/Timer(0) AND NOT cnt/Timer(2))
OR (NOT cnt/Timer(1) AND NOT cnt/Timer(2))
OR (cnt/Timer(0) AND cnt/Timer(1) AND cnt/Timer(2))
OR (cnt/TimerTC AND NOT cnt/Er(0) AND cnt/Er(1)));
cnt/Timer_CE(2) <= (NOT cnt/Er(0) AND cnt/Er(1));
@ -1222,13 +1218,13 @@ iobs/IORW1_T <= ((iobs/Once)
OR (NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1));
FTCPE_iobs/IOReady: FTCPE port map (iobs/IOReady,iobs/IOReady_T,FCLK,'0','0');
iobs/IOReady_T <= ((iobs/IOReady AND nAS_FSB AND NOT fsb/ASrf)
OR (iobs/Once AND iobs/IOReady AND NOT iobs/PS_FSM_FFd2 AND
iobs/IOReady_T <= ((iobs/Once AND iobs/IOReady AND NOT iobs/PS_FSM_FFd2 AND
NOT iobs/IOACTr AND IOBERR AND nADoutLE1)
OR (iobs/Once AND NOT iobs/IOReady AND NOT nAS_FSB AND
NOT iobs/PS_FSM_FFd2 AND NOT iobs/IOACTr AND NOT IOBERR AND nADoutLE1)
OR (iobs/Once AND NOT iobs/IOReady AND NOT iobs/PS_FSM_FFd2 AND
NOT iobs/IOACTr AND NOT IOBERR AND fsb/ASrf AND nADoutLE1));
NOT iobs/IOACTr AND NOT IOBERR AND fsb/ASrf AND nADoutLE1)
OR (iobs/IOReady AND nAS_FSB AND NOT fsb/ASrf));
FDCPE_iobs/IOU1: FDCPE port map (iobs/IOU1,NOT nUDS_FSB,FCLK,'0','0',iobs/Load1);
@ -1237,11 +1233,11 @@ iobs/Load1_D <= ((iobs/Once)
OR (NOT nADoutLE1)
OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21))
OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(19))
OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(17))
OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(16))
OR (NOT A_FSB(23) AND NOT A_FSB(22) AND nWE_FSB)
OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(20))
OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(18))
OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(17))
OR (NOT A_FSB(23) AND NOT A_FSB(21) AND NOT A_FSB(20))
OR (NOT A_FSB(14) AND NOT A_FSB(13) AND NOT A_FSB(23) AND NOT A_FSB(22))
OR (nAS_FSB AND NOT fsb/ASrf)
@ -1301,14 +1297,14 @@ iobs/PS_FSM_FFd2_T <= ((A_FSB(22) AND A_FSB(21) AND NOT iobs/Once AND
OR (A_FSB(14) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND
A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Once AND cs/nOverlay AND
NOT nWE_FSB AND NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1)
OR (A_FSB(13) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND
A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Once AND cs/nOverlay AND
NOT nWE_FSB AND NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1)
OR (A_FSB(14) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND
A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Once AND cs/nOverlay AND
NOT nWE_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND fsb/ASrf)
OR (A_FSB(13) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND
A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Once AND cs/nOverlay AND
NOT nWE_FSB AND NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1)
OR (A_FSB(13) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND
A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Once AND cs/nOverlay AND
NOT nWE_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND fsb/ASrf)
OR (iobs/PS_FSM_FFd1 AND iobs/IOACTr)
OR (NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND NOT nADoutLE1)
@ -1339,19 +1335,19 @@ nAoutOE_D <= ((NOT nBR_IOB AND cnt/INITS_FSM_FFd1 AND
NOT nAoutOE));
FTCPE_nBERR_FSB: FTCPE port map (nBERR_FSB,nBERR_FSB_T,FCLK,'0','0');
nBERR_FSB_T <= ((NOT nBERR_FSB AND nAS_FSB AND NOT fsb/ASrf)
nBERR_FSB_T <= ((nAS_FSB AND NOT nBERR_FSB AND NOT fsb/ASrf)
OR (iobs/Once AND NOT nBERR_FSB AND NOT iobs/PS_FSM_FFd2 AND
NOT iobs/IOACTr AND NOT IOBERR AND nADoutLE1)
OR (iobs/Once AND nBERR_FSB AND NOT nAS_FSB AND
OR (iobs/Once AND NOT nAS_FSB AND nBERR_FSB AND
NOT iobs/PS_FSM_FFd2 AND NOT iobs/IOACTr AND IOBERR AND nADoutLE1)
OR (iobs/Once AND nBERR_FSB AND NOT iobs/PS_FSM_FFd2 AND
NOT iobs/IOACTr AND IOBERR AND fsb/ASrf AND nADoutLE1));
FTCPE_nBR_IOB: FTCPE port map (nBR_IOB,nBR_IOB_T,FCLK,'0','0');
nBR_IOB_T <= ((NOT nBR_IOB AND NOT cnt/INITS_FSM_FFd1 AND
cnt/INITS_FSM_FFd2 AND NOT cnt/nIPL2r)
OR (nBR_IOB AND NOT cnt/INITS_FSM_FFd1 AND
NOT cnt/INITS_FSM_FFd2));
nBR_IOB_T <= ((nBR_IOB AND NOT cnt/INITS_FSM_FFd1 AND
NOT cnt/INITS_FSM_FFd2)
OR (NOT nBR_IOB AND NOT cnt/INITS_FSM_FFd1 AND
cnt/INITS_FSM_FFd2 AND NOT cnt/nIPL2r));
FDCPE_nCAS: FDCPE port map (nCAS,NOT ram/RASEL,NOT FCLK,'0','0');
@ -1384,9 +1380,9 @@ FDCPE_nDinLE: FDCPE port map (nDinLE,nDinLE_D,NOT C16M,'0','0');
nDinLE_D <= (iobm/IOS_FSM_FFd1 AND iobm/IOS_FSM_FFd2);
nDinOE <= ((A_FSB(23) AND nWE_FSB AND NOT nAS_FSB)
nDinOE <= NOT (((A_FSB(23) AND nWE_FSB AND NOT nAS_FSB)
OR (A_FSB(22) AND A_FSB(21) AND nWE_FSB AND NOT nAS_FSB)
OR (A_FSB(22) AND A_FSB(20) AND nWE_FSB AND NOT nAS_FSB));
OR (A_FSB(22) AND A_FSB(20) AND nWE_FSB AND NOT nAS_FSB)));
nDoutOE <= NOT ((iobm/DoutOE AND NOT nAoutOE));
@ -1409,7 +1405,9 @@ nRAMLWE <= NOT ((NOT nLDS_FSB AND NOT nWE_FSB AND NOT nAS_FSB AND ram/RAMEN));
nRAMUWE <= NOT ((NOT nWE_FSB AND NOT nUDS_FSB AND NOT nAS_FSB AND ram/RAMEN));
nRAS <= '1';
nRAS <= NOT (((ram/RefRAS)
OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND NOT nAS_FSB AND
ram/RAMEN)));
nRES_I <= '0';
@ -1420,8 +1418,8 @@ FDCPE_nRESout: FDCPE port map (nRESout,nRESout_D,FCLK,'0','0');
nRESout_D <= (cnt/INITS_FSM_FFd1 AND NOT cnt/INITS_FSM_FFd2);
nROMCS <= NOT (((NOT A_FSB(23) AND NOT A_FSB(21) AND NOT A_FSB(20) AND NOT cs/nOverlay)
OR (NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND NOT A_FSB(20))));
nROMCS <= NOT (((NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND NOT A_FSB(20))
OR (NOT A_FSB(23) AND NOT A_FSB(21) AND NOT A_FSB(20) AND NOT cs/nOverlay)));
nROMWE <= NOT ((NOT nWE_FSB AND NOT nAS_FSB));
@ -1449,13 +1447,13 @@ FDCPE_ram/BACTr: FDCPE port map (ram/BACTr,ram/BACTr_D,FCLK,'0','0');
ram/BACTr_D <= (nAS_FSB AND NOT fsb/ASrf);
FDCPE_ram/RAMEN: FDCPE port map (ram/RAMEN,ram/RAMEN_D,FCLK,'0','0');
ram/RAMEN_D <= ((ram/RS_FSM_FFd3 AND ram/RAMEN)
OR (ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2 AND ram/RAMEN)
OR (NOT ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd2 AND ram/RAMEN)
OR (nAS_FSB AND ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd2 AND
NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND NOT fsb/ASrf)
OR (nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2 AND
ram/RAMEN_D <= ((ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3 AND ram/RAMEN)
OR (NOT ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd3 AND ram/RAMEN)
OR (nAS_FSB AND ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd1 AND
ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND NOT fsb/ASrf)
OR (nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND
NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND NOT fsb/ASrf)
OR (NOT ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd1 AND ram/RAMEN)
OR (NOT ram/RS_FSM_FFd1 AND NOT ram/RefUrg AND ram/RAMEN AND
ram/BACTr)
OR (NOT ram/RS_FSM_FFd1 AND NOT ram/RefUrg AND ram/RAMEN AND
@ -1466,24 +1464,24 @@ ram/RAMEN_D <= ((ram/RS_FSM_FFd3 AND ram/RAMEN)
NOT ram/RS_FSM_FFd1 AND ram/RAMEN AND fsb/ASrf));
FDCPE_ram/RAMReady: FDCPE port map (ram/RAMReady,ram/RAMReady_D,FCLK,'0','0');
ram/RAMReady_D <= ((A_FSB(23) AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2 AND
NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND NOT ram/RefReq)
OR (A_FSB(22) AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2 AND
ram/RAMReady_D <= ((A_FSB(23) AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND
NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND ram/BACTr)
OR (A_FSB(22) AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2 AND
OR (A_FSB(23) AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND
NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND NOT ram/RefReq)
OR (A_FSB(23) AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2 AND
OR (A_FSB(22) AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND
NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND ram/BACTr)
OR (ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd2 AND
NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg)
OR (NOT cs/nOverlay AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2 AND
NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND ram/BACTr)
OR (NOT cs/nOverlay AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2 AND
OR (A_FSB(22) AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND
NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND NOT ram/RefReq)
OR (nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2 AND
OR (NOT cs/nOverlay AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND
NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND ram/BACTr)
OR (ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd1 AND
ram/RS_FSM_FFd3 AND NOT ram/RefUrg)
OR (NOT cs/nOverlay AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND
NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND NOT ram/RefReq)
OR (nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND
NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND NOT fsb/ASrf)
OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND
NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND
NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND
NOT ram/RAMEN));
FDCPE_ram/RASEL: FDCPE port map (ram/RASEL,ram/RASEL_D,FCLK,'0','0');
@ -1505,69 +1503,59 @@ ram/RASEL_D <= ((A_FSB(23) AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3 AND
NOT ram/RefUrg AND ram/BACTr)
OR (NOT cs/nOverlay AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3 AND
NOT ram/RefUrg AND NOT ram/RefReq)
OR (NOT nAS_FSB AND ram/RS_FSM_FFd1)
OR (ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2)
OR (ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd3)
OR (ram/RS_FSM_FFd1 AND NOT ram/RefUrg)
OR (ram/RS_FSM_FFd1 AND fsb/ASrf));
OR (ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3)
OR (NOT nAS_FSB AND ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd3)
OR (ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND
ram/RS_FSM_FFd3)
OR (ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd3 AND NOT ram/RefUrg)
OR (ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd3 AND fsb/ASrf));
FDCPE_ram/RS_FSM_FFd1: FDCPE port map (ram/RS_FSM_FFd1,ram/RS_FSM_FFd1_D,FCLK,'0','0');
ram/RS_FSM_FFd1_D <= ((ram/RS_FSM_FFd3)
OR (ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2));
FTCPE_ram/RS_FSM_FFd1: FTCPE port map (ram/RS_FSM_FFd1,ram/RS_FSM_FFd1_T,FCLK,'0','0');
ram/RS_FSM_FFd1_T <= ((ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd3)
OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND NOT nAS_FSB AND
NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND ram/RAMEN)
OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND
NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND ram/RAMEN AND
fsb/ASrf));
FDCPE_ram/RS_FSM_FFd2: FDCPE port map (ram/RS_FSM_FFd2,ram/RS_FSM_FFd2_D,FCLK,'0','0');
ram/RS_FSM_FFd2_D <= ((ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd2 AND ram/RefUrg AND
fsb/ASrf)
FTCPE_ram/RS_FSM_FFd2: FTCPE port map (ram/RS_FSM_FFd2,ram/RS_FSM_FFd2_T,FCLK,'0','0');
ram/RS_FSM_FFd2_T <= ((ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3)
OR (nAS_FSB AND ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd1 AND
ram/RefUrg AND NOT fsb/ASrf)
OR (NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND
ram/BACTr)
OR (NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND
NOT ram/RefReq)
OR (nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND
NOT ram/RefUrg AND NOT fsb/ASrf)
OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND NOT nAS_FSB AND
NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3 AND ram/RefUrg)
OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND NOT nAS_FSB AND
NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3 AND ram/RAMEN)
NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3)
OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND
NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3 AND ram/RefUrg AND
fsb/ASrf)
OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND
NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3 AND ram/RAMEN AND
fsb/ASrf)
OR (ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd3)
OR (ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd3)
OR (NOT nAS_FSB AND ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd2 AND
ram/RefUrg));
NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND fsb/ASrf));
FTCPE_ram/RS_FSM_FFd3: FTCPE port map (ram/RS_FSM_FFd3,ram/RS_FSM_FFd3_T,FCLK,'0','0');
ram/RS_FSM_FFd3_T <= ((A_FSB(23) AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2 AND
NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND ram/BACTr)
OR (A_FSB(22) AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2 AND
NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND ram/BACTr)
OR (A_FSB(22) AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2 AND
NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND NOT ram/RefReq)
OR (NOT cs/nOverlay AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2 AND
NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND NOT ram/RefReq)
OR (A_FSB(23) AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2 AND
NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND NOT ram/RefReq)
OR (nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2 AND
NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND NOT fsb/ASrf)
OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND NOT nAS_FSB AND
NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3 AND NOT ram/RAMEN)
OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND
NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3 AND NOT ram/RAMEN AND
fsb/ASrf)
OR (NOT ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd2 AND
ram/RS_FSM_FFd3)
OR (NOT nAS_FSB AND ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd2 AND
ram/RS_FSM_FFd3_T <= ((nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND
NOT ram/RS_FSM_FFd3 AND NOT fsb/ASrf)
OR (NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND
NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND NOT ram/RAMEN)
OR (A_FSB(23) AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND
NOT ram/RS_FSM_FFd3)
OR (ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd2 AND
NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg)
OR (ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd2 AND
NOT ram/RS_FSM_FFd3 AND fsb/ASrf)
OR (NOT cs/nOverlay AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2 AND
NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND ram/BACTr));
OR (A_FSB(22) AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND
NOT ram/RS_FSM_FFd3)
OR (NOT cs/nOverlay AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND
NOT ram/RS_FSM_FFd3)
OR (NOT nAS_FSB AND ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd1 AND
ram/RS_FSM_FFd3 AND ram/RefUrg)
OR (ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd1 AND
ram/RS_FSM_FFd3 AND ram/RefUrg AND fsb/ASrf));
FDCPE_ram/RefDone: FDCPE port map (ram/RefDone,ram/RefDone_D,FCLK,'0','0');
ram/RefDone_D <= ((ram/RefDone AND ram/RefReqSync)
OR (ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2 AND
NOT ram/RS_FSM_FFd3 AND ram/RefReqSync)
OR (NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2 AND
ram/RS_FSM_FFd3 AND ram/RefReqSync));
OR (ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND
ram/RefReqSync));
FDCPE_ram/RefRAS: FDCPE port map (ram/RefRAS,ram/RefRAS_D,FCLK,'0','0');
ram/RefRAS_D <= (ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1);
FDCPE_ram/RefReq: FDCPE port map (ram/RefReq,ram/RefReq_D,FCLK,'0','0');
ram/RefReq_D <= (NOT ram/RefDone AND ram/RefReqSync);

View File

@ -152,13 +152,12 @@ Unit <CS> synthesized.
Synthesizing Unit <RAM>.
Related source file is "../RAM.v".
WARNING:Xst:646 - Signal <RefRAS> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
Found finite state machine <FSM_0> for signal <RS>.
-----------------------------------------------------------------------
| States | 8 |
| Transitions | 21 |
| Inputs | 6 |
| Outputs | 8 |
| Outputs | 9 |
| Clock | CLK (rising_edge) |
| Power Up State | 000 |
| Encoding | automatic |
@ -170,13 +169,14 @@ WARNING:Xst:646 - Signal <RefRAS> is assigned but never used. This unconnected s
Found 1-bit register for signal <RAMReady>.
Found 1-bit register for signal <RASEL>.
Found 1-bit register for signal <RefDone>.
Found 1-bit register for signal <RefRAS>.
Found 1-bit register for signal <RefReq>.
Found 1-bit register for signal <RefReqSync>.
Found 1-bit register for signal <RefUrg>.
Found 1-bit register for signal <RegUrgSync>.
Summary:
inferred 1 Finite State Machine(s).
inferred 9 D-type flip-flop(s).
inferred 10 D-type flip-flop(s).
Unit <RAM> synthesized.
@ -322,8 +322,8 @@ Macro Statistics
13-bit up counter : 1
4-bit up counter : 1
5-bit up counter : 1
# Registers : 60
1-bit register : 59
# Registers : 61
1-bit register : 60
2-bit register : 1
# Tristates : 5
1-bit tristate buffer : 5
@ -369,18 +369,18 @@ Optimizing FSM <iobs/PS/FSM> on signal <PS[1:2]> with johnson encoding.
01 | 10
-------------------
Analyzing FSM <FSM_0> for best encoding.
Optimizing FSM <ram/RS/FSM> on signal <RS[1:3]> with sequential encoding.
Optimizing FSM <ram/RS/FSM> on signal <RS[1:3]> with user encoding.
-------------------
State | Encoding
-------------------
000 | 000
010 | 001
001 | 010
101 | 011
011 | 100
100 | 101
111 | 110
110 | 111
010 | 010
001 | 001
101 | 101
011 | 011
100 | 100
111 | 111
110 | 110
-------------------
=========================================================================
@ -392,8 +392,8 @@ Macro Statistics
13-bit up counter : 1
4-bit up counter : 1
5-bit up counter : 1
# Registers : 47
Flip-Flops : 47
# Registers : 48
Flip-Flops : 48
=========================================================================
@ -410,6 +410,7 @@ Optimizing unit <RAM> ...
implementation constraint: INIT=r : RAMEN
implementation constraint: INIT=r : RAMReady
implementation constraint: INIT=r : RASEL
implementation constraint: INIT=r : RefRAS
implementation constraint: INIT=r : RS_FSM_FFd1
implementation constraint: INIT=r : RS_FSM_FFd2
implementation constraint: INIT=r : RS_FSM_FFd3
@ -469,22 +470,22 @@ Design Statistics
# IOs : 75
Cell Usage :
# BELS : 571
# AND2 : 172
# AND3 : 27
# BELS : 590
# AND2 : 184
# AND3 : 25
# AND4 : 7
# AND5 : 3
# AND6 : 2
# AND5 : 2
# AND6 : 3
# AND8 : 3
# GND : 6
# INV : 226
# INV : 234
# OR2 : 97
# OR3 : 4
# OR3 : 7
# OR4 : 1
# VCC : 3
# XOR2 : 20
# FlipFlops/Latches : 93
# FD : 56
# VCC : 2
# XOR2 : 19
# FlipFlops/Latches : 94
# FD : 57
# FDCE : 37
# IO Buffers : 71
# IBUF : 35
@ -495,13 +496,13 @@ Cell Usage :
Total REAL time to Xst completion: 5.00 secs
Total CPU time to Xst completion: 4.98 secs
Total CPU time to Xst completion: 5.10 secs
-->
Total memory usage is 264516 kilobytes
Total memory usage is 263684 kilobytes
Number of errors : 0 ( 0 filtered)
Number of warnings : 5 ( 0 filtered)
Number of warnings : 4 ( 0 filtered)
Number of infos : 0 ( 0 filtered)

File diff suppressed because it is too large Load Diff

File diff suppressed because one or more lines are too long

View File

@ -3,7 +3,7 @@
cpldfit: version P.20131013 Xilinx Inc.
Fitter Report
Design Name: WarpSE Date: 3-26-2023, 4:30AM
Design Name: WarpSE Date: 3-27-2023, 9:56AM
Device Used: XC95144XL-10-TQ100
Fitting Status: Successful
@ -11,22 +11,22 @@ Fitting Status: Successful
Macrocells Product Terms Function Block Registers Pins
Used/Tot Used/Tot Inps Used/Tot Used/Tot Used/Tot
118/144 ( 82%) 384 /720 ( 53%) 224/432 ( 52%) 93 /144 ( 65%) 71 /81 ( 88%)
119/144 ( 83%) 380 /720 ( 53%) 214/432 ( 50%) 94 /144 ( 65%) 71 /81 ( 88%)
** Function Block Resources **
Function Mcells FB Inps Pterms IO
Block Used/Tot Used/Tot Used/Tot Used/Tot
FB1 18/18* 21/54 25/90 11/11*
FB2 6/18 4/54 6/90 8/10
FB1 18/18* 22/54 24/90 11/11*
FB2 5/18 3/54 5/90 8/10
FB3 9/18 34/54 82/90 10/10*
FB4 18/18* 31/54 34/90 10/10*
FB5 14/18 34/54 69/90 8/10
FB4 18/18* 25/54 39/90 10/10*
FB5 17/18 30/54 60/90 8/10
FB6 18/18* 34/54 63/90 10/10*
FB7 18/18* 31/54 34/90 8/10
FB8 17/18 35/54 71/90 6/10
FB8 16/18 35/54 73/90 6/10
----- ----- ----- -----
118/144 224/432 384/720 71/81
119/144 214/432 380/720 71/81
* - Resource is exhausted
@ -53,7 +53,7 @@ GSR : 0 0 |
** Power Data **
There are 118 macrocells in high performance mode (MCHP).
There are 119 macrocells in high performance mode (MCHP).
There are 0 macrocells in low power mode (MCLP).
End of Mapped Resource Summary
************************** Errors and Warnings ***************************
@ -120,40 +120,39 @@ RA<9> 2 3 FB7_11 56 I/O O STD FAST
C25MEN 0 0 FB7_12 58 I/O O STD FAST
C20MEN 0 0 FB7_14 59 I/O O STD FAST
RA<11> 1 1 FB8_2 63 I/O O STD FAST
nRAS 0 0 FB8_5 64 I/O O STD FAST
nRAS 2 6 FB8_5 64 I/O O STD FAST
nRAMLWE 1 4 FB8_6 65 I/O O STD FAST
nRAMUWE 1 4 FB8_8 66 I/O O STD FAST
nBERR_FSB 4 8 FB8_12 70 I/O O STD FAST RESET
nBR_IOB 2 4 FB8_15 72 I/O O STD FAST RESET
** 82 Buried Nodes **
** 83 Buried Nodes **
Signal Total Total Loc Pwr Reg Init
Name Pts Inps Mode State
ram/RefUrg 1 2 FB1_1 STD RESET
ram/RefReqSync 1 1 FB1_2 STD RESET
ram/RefReq 1 2 FB1_3 STD RESET
ram/RegUrgSync 1 1 FB1_1 STD RESET
ram/RefUrg 1 2 FB1_2 STD RESET
ram/RefReqSync 1 1 FB1_3 STD RESET
iobs/IOACTr 1 1 FB1_4 STD RESET
iobm/Er 1 1 FB1_5 STD RESET
iobm/DTACKrr 1 1 FB1_6 STD RESET
iobm/DTACKrf 1 1 FB1_7 STD RESET
iobm/BERRrr 1 1 FB1_8 STD RESET
iobm/BERRrf 1 1 FB1_9 STD RESET
cnt/nIPL2r 1 1 FB1_10 STD RESET
cnt/Er<0> 1 1 FB1_11 STD RESET
ALE0S 1 2 FB1_12 STD RESET
ram/RS_FSM_FFd1 2 3 FB1_13 STD RESET
iobm/Er2 1 1 FB1_5 STD RESET
iobm/Er 1 1 FB1_6 STD RESET
iobm/DTACKrr 1 1 FB1_7 STD RESET
iobm/DTACKrf 1 1 FB1_8 STD RESET
iobm/BERRrr 1 1 FB1_9 STD RESET
iobm/BERRrf 1 1 FB1_10 STD RESET
fsb/ASrf 1 1 FB1_11 STD RESET
cnt/nIPL2r 1 1 FB1_12 STD RESET
cnt/Er<0> 1 1 FB1_13 STD RESET
iobs/IOU1 2 2 FB1_14 STD RESET
iobs/IOL1 2 2 FB1_15 STD RESET
iobm/IOS_FSM_FFd1 2 3 FB1_16 STD RESET
ALE0M 2 4 FB1_17 STD RESET
ram/RefDone 3 5 FB1_18 STD RESET
iobm/VPArr 1 1 FB2_13 STD RESET
iobm/VPArf 1 1 FB2_14 STD RESET
iobm/RESrr 1 1 FB2_15 STD RESET
iobm/RESrf 1 1 FB2_16 STD RESET
iobm/IOREQr 1 1 FB2_17 STD RESET
iobm/Er2 1 1 FB2_18 STD RESET
IOU0 3 5 FB1_18 STD RESET
iobm/VPArr 1 1 FB2_14 STD RESET
iobm/VPArf 1 1 FB2_15 STD RESET
iobm/RESrr 1 1 FB2_16 STD RESET
iobm/RESrf 1 1 FB2_17 STD RESET
iobm/IOREQr 1 1 FB2_18 STD RESET
IORW0 17 20 FB3_1 STD RESET
fsb/VPA 16 26 FB3_6 STD RESET
iobs/IORW1 16 19 FB3_11 STD RESET
@ -161,28 +160,31 @@ fsb/Ready1r 6 17 FB3_13 STD RESET
cs/nOverlay 3 8 FB3_14 STD RESET
IOREQ 13 19 FB3_16 STD RESET
iobs/Clear1 1 3 FB3_18 STD RESET
ram/RegUrgSync 1 1 FB4_1 STD RESET
ram/BACTr 1 2 FB4_3 STD RESET
nRESout 1 2 FB4_4 STD RESET
fsb/ASrf 1 1 FB4_7 STD RESET
cnt/Er<1> 1 1 FB4_9 STD RESET
cnt/TimerTC 2 6 FB4_10 STD RESET
cnt/LTimer<3> 2 6 FB4_12 STD RESET
cnt/LTimer<2> 2 5 FB4_13 STD RESET
cnt/LTimer<1> 2 4 FB4_14 STD RESET
cnt/LTimer<0> 1 3 FB4_1 STD RESET
cnt/INITS_FSM_FFd1 1 7 FB4_3 STD RESET
cnt/Er<1> 1 1 FB4_4 STD RESET
cnt/TimerTC 2 6 FB4_7 STD RESET
cnt/Timer<0> 2 4 FB4_9 STD RESET
cnt/LTimer<3> 2 6 FB4_10 STD RESET
cnt/LTimer<2> 2 5 FB4_12 STD RESET
cnt/LTimer<1> 2 4 FB4_13 STD RESET
cnt/INITS_FSM_FFd2 2 6 FB4_14 STD RESET
RefReq 2 5 FB4_15 STD RESET
Signal Total Total Loc Pwr Reg Init
Name Pts Inps Mode State
RefReq 2 5 FB4_15 STD RESET
IOU0 3 5 FB4_16 STD RESET
IOL0 3 5 FB4_17 STD RESET
cnt/Timer<1> 4 5 FB4_16 STD RESET
cnt/Timer<2> 5 6 FB4_17 STD RESET
RefUrg 5 7 FB4_18 STD RESET
iobs/Load1 14 18 FB5_3 STD RESET
iobs/PS_FSM_FFd2 12 19 FB5_7 STD RESET
ram/RS_FSM_FFd2 8 10 FB5_8 STD RESET
iobs/PS_FSM_FFd1 2 3 FB5_10 STD RESET
iobs/IOReady 4 8 FB5_16 STD RESET
iobs/Once 15 18 FB5_17 STD RESET
ram/RAMEN 9 12 FB5_3 STD RESET
ram/RAMReady 9 12 FB5_4 STD RESET
ram/RS_FSM_FFd2 7 11 FB5_7 STD RESET
fsb/Ready0r 2 7 FB5_8 STD RESET
ram/RefDone 2 4 FB5_10 STD RESET
ram/BACTr 1 2 FB5_13 STD RESET
ram/RefRAS 1 2 FB5_16 STD RESET
ram/RefReq 1 2 FB5_17 STD RESET
ram/RASEL 14 12 FB5_18 STD RESET
iobm/ETACK 1 6 FB6_1 STD RESET
iobm/IOS_FSM_FFd3 3 6 FB6_3 STD RESET
iobm/ES<3> 3 6 FB6_4 STD RESET
@ -204,20 +206,19 @@ cnt/LTimer<4> 2 7 FB7_15 STD RESET
cnt/LTimer<12> 2 15 FB7_16 STD RESET
cnt/LTimer<11> 2 14 FB7_17 STD RESET
cnt/LTimer<10> 2 13 FB7_18 STD RESET
ram/RASEL 14 12 FB8_1 STD RESET
cnt/Timer<2> 5 6 FB8_3 STD RESET
cnt/Timer<0> 2 4 FB8_4 STD RESET
cnt/INITS_FSM_FFd2 2 6 FB8_7 STD RESET
fsb/Ready0r 2 7 FB8_9 STD RESET
cnt/Timer<1> 4 5 FB8_10 STD RESET
ram/RAMReady 9 12 FB8_11 STD RESET
ram/RAMEN 9 12 FB8_14 STD RESET
ram/RS_FSM_FFd3 13 12 FB8_16 STD RESET
iobs/Load1 14 18 FB8_3 STD RESET
iobs/IOReady 4 8 FB8_4 STD RESET
iobs/PS_FSM_FFd2 12 19 FB8_7 STD RESET
ram/RS_FSM_FFd3 7 10 FB8_9 STD RESET
IOL0 3 5 FB8_10 STD RESET
ram/RS_FSM_FFd1 3 9 FB8_11 STD RESET
iobs/PS_FSM_FFd1 2 3 FB8_13 STD RESET
Signal Total Total Loc Pwr Reg Init
Name Pts Inps Mode State
cnt/INITS_FSM_FFd1 1 7 FB8_17 STD RESET
cnt/LTimer<0> 1 3 FB8_18 STD RESET
ALE0S 1 2 FB8_14 STD RESET
nRESout 1 2 FB8_16 STD RESET
iobs/Once 15 18 FB8_17 STD RESET
** 35 Inputs **
@ -275,63 +276,64 @@ Pin Type/Use - I - Input GCK - Global Clock
X - Signal used as input to the macrocell logic.
Pin No. - ~ - User Assigned
*********************************** FB1 ***********************************
Number of function block inputs used/remaining: 21/33
Number of signals used by logic mapping into function block: 21
Number of function block inputs used/remaining: 22/32
Number of signals used by logic mapping into function block: 22
Signal Total Imp Exp Unused Loc Pin Pin Pin
Name Pt Pt Pt Pt # Type Use
ram/RefUrg 1 0 0 4 FB1_1 (b) (b)
ram/RefReqSync 1 0 0 4 FB1_2 11 I/O I
ram/RefReq 1 0 0 4 FB1_3 12 I/O I
ram/RegUrgSync 1 0 0 4 FB1_1 (b) (b)
ram/RefUrg 1 0 0 4 FB1_2 11 I/O I
ram/RefReqSync 1 0 0 4 FB1_3 12 I/O I
iobs/IOACTr 1 0 0 4 FB1_4 (b) (b)
iobm/Er 1 0 0 4 FB1_5 13 I/O I
iobm/DTACKrr 1 0 0 4 FB1_6 14 I/O I
iobm/DTACKrf 1 0 0 4 FB1_7 (b) (b)
iobm/BERRrr 1 0 0 4 FB1_8 15 I/O I
iobm/BERRrf 1 0 0 4 FB1_9 16 I/O I
cnt/nIPL2r 1 0 0 4 FB1_10 (b) (b)
cnt/Er<0> 1 0 0 4 FB1_11 17 I/O I
ALE0S 1 0 0 4 FB1_12 18 I/O I
ram/RS_FSM_FFd1 2 0 0 3 FB1_13 (b) (b)
iobm/Er2 1 0 0 4 FB1_5 13 I/O I
iobm/Er 1 0 0 4 FB1_6 14 I/O I
iobm/DTACKrr 1 0 0 4 FB1_7 (b) (b)
iobm/DTACKrf 1 0 0 4 FB1_8 15 I/O I
iobm/BERRrr 1 0 0 4 FB1_9 16 I/O I
iobm/BERRrf 1 0 0 4 FB1_10 (b) (b)
fsb/ASrf 1 0 0 4 FB1_11 17 I/O I
cnt/nIPL2r 1 0 0 4 FB1_12 18 I/O I
cnt/Er<0> 1 0 0 4 FB1_13 (b) (b)
iobs/IOU1 2 0 0 3 FB1_14 19 I/O I
iobs/IOL1 2 0 0 3 FB1_15 20 I/O I
iobm/IOS_FSM_FFd1 2 0 0 3 FB1_16 (b) (b)
ALE0M 2 0 0 3 FB1_17 22 GCK/I/O GCK
ram/RefDone 3 0 0 2 FB1_18 (b) (b)
IOU0 3 0 0 2 FB1_18 (b) (b)
Signals Used by Logic in Function Block
1: E 8: iobs/Load1 15: nUDS_FSB
2: IOACT 9: iobs/PS_FSM_FFd1 16: ram/RS_FSM_FFd1
3: RefReq 10: iobs/PS_FSM_FFd2 17: ram/RS_FSM_FFd2
4: iobm/IOREQr 11: nBERR_IOB 18: ram/RS_FSM_FFd3
5: iobm/IOS_FSM_FFd1 12: nDTACK_IOB 19: ram/RefDone
6: iobm/IOS_FSM_FFd2 13: nIPL2 20: ram/RefReqSync
7: iobm/IOS_FSM_FFd3 14: nLDS_FSB 21: ram/RegUrgSync
1: E 9: iobm/IOS_FSM_FFd3 16: nBERR_IOB
2: IOACT 10: iobs/IOU1 17: nDTACK_IOB
3: RefReq 11: iobs/Load1 18: nIPL2
4: RefUrg 12: iobs/PS_FSM_FFd1 19: nLDS_FSB
5: iobm/Er 13: iobs/PS_FSM_FFd2 20: nUDS_FSB
6: iobm/IOREQr 14: nADoutLE1 21: ram/RefDone
7: iobm/IOS_FSM_FFd1 15: nAS_FSB 22: ram/RegUrgSync
8: iobm/IOS_FSM_FFd2
Signal 1 2 3 4 FB
Name 0----+----0----+----0----+----0----+----0 Inputs
ram/RefUrg ..................X.X................... 2
ram/RegUrgSync ...X.................................... 1
ram/RefUrg ....................XX.................. 2
ram/RefReqSync ..X..................................... 1
ram/RefReq ..................XX.................... 2
iobs/IOACTr .X...................................... 1
iobm/Er2 ....X................................... 1
iobm/Er X....................................... 1
iobm/DTACKrr ...........X............................ 1
iobm/DTACKrf ...........X............................ 1
iobm/BERRrr ..........X............................. 1
iobm/BERRrf ..........X............................. 1
cnt/nIPL2r ............X........................... 1
iobm/DTACKrr ................X....................... 1
iobm/DTACKrf ................X....................... 1
iobm/BERRrr ...............X........................ 1
iobm/BERRrf ...............X........................ 1
fsb/ASrf ..............X......................... 1
cnt/nIPL2r .................X...................... 1
cnt/Er<0> X....................................... 1
ALE0S ........XX.............................. 2
ram/RS_FSM_FFd1 ...............XXX...................... 3
iobs/IOU1 .......X......X......................... 2
iobs/IOL1 .......X.....X.......................... 2
iobm/IOS_FSM_FFd1 ....XXX................................. 3
ALE0M ...XXXX................................. 4
ram/RefDone ...............XXXXX.................... 5
iobs/IOU1 ..........X........X.................... 2
iobs/IOL1 ..........X.......X..................... 2
iobm/IOS_FSM_FFd1 ......XXX............................... 3
ALE0M .....XXXX............................... 4
IOU0 .........X.XXX.....X.................... 5
0----+----1----+----2----+----3----+----4
0 0 0 0
*********************************** FB2 ***********************************
Number of function block inputs used/remaining: 4/50
Number of signals used by logic mapping into function block: 4
Number of function block inputs used/remaining: 3/51
Number of signals used by logic mapping into function block: 3
Signal Total Imp Exp Unused Loc Pin Pin Pin
Name Pt Pt Pt Pt # Type Use
(unused) 0 0 0 5 FB2_1 (b)
@ -346,25 +348,23 @@ Name Pt Pt Pt Pt # Type Use
(unused) 0 0 0 5 FB2_10 (b)
(unused) 0 0 0 5 FB2_11 6 I/O I
(unused) 0 0 0 5 FB2_12 7 I/O I
iobm/VPArr 1 0 0 4 FB2_13 (b) (b)
iobm/VPArf 1 0 0 4 FB2_14 8 I/O I
iobm/RESrr 1 0 0 4 FB2_15 9 I/O I
iobm/RESrf 1 0 0 4 FB2_16 (b) (b)
iobm/IOREQr 1 0 0 4 FB2_17 10 I/O I
iobm/Er2 1 0 0 4 FB2_18 (b) (b)
(unused) 0 0 0 5 FB2_13 (b)
iobm/VPArr 1 0 0 4 FB2_14 8 I/O I
iobm/VPArf 1 0 0 4 FB2_15 9 I/O I
iobm/RESrr 1 0 0 4 FB2_16 (b) (b)
iobm/RESrf 1 0 0 4 FB2_17 10 I/O I
iobm/IOREQr 1 0 0 4 FB2_18 (b) (b)
Signals Used by Logic in Function Block
1: IOREQ 3: iobm/Er 4: nVPA_IOB
2: nRES.PIN
1: IOREQ 2: nRES.PIN 3: nVPA_IOB
Signal 1 2 3 4 FB
Name 0----+----0----+----0----+----0----+----0 Inputs
iobm/VPArr ...X.................................... 1
iobm/VPArf ...X.................................... 1
iobm/VPArr ..X..................................... 1
iobm/VPArf ..X..................................... 1
iobm/RESrr .X...................................... 1
iobm/RESrf .X...................................... 1
iobm/IOREQr X....................................... 1
iobm/Er2 ..X..................................... 1
0----+----1----+----2----+----3----+----4
0 0 0 0
*********************************** FB3 ***********************************
@ -419,118 +419,117 @@ iobs/Clear1 ...........................XXX.......... 3
0----+----1----+----2----+----3----+----4
0 0 0 0
*********************************** FB4 ***********************************
Number of function block inputs used/remaining: 31/23
Number of signals used by logic mapping into function block: 31
Number of function block inputs used/remaining: 25/29
Number of signals used by logic mapping into function block: 25
Signal Total Imp Exp Unused Loc Pin Pin Pin
Name Pt Pt Pt Pt # Type Use
ram/RegUrgSync 1 0 0 4 FB4_1 (b) (b)
cnt/LTimer<0> 1 0 0 4 FB4_1 (b) (b)
nAoutOE 2 0 0 3 FB4_2 87 I/O O
ram/BACTr 1 0 0 4 FB4_3 (b) (b)
nRESout 1 0 0 4 FB4_4 (b) (b)
cnt/INITS_FSM_FFd1 1 0 0 4 FB4_3 (b) (b)
cnt/Er<1> 1 0 0 4 FB4_4 (b) (b)
nDoutOE 1 0 0 4 FB4_5 89 I/O O
nDinOE 3 0 0 2 FB4_6 90 I/O O
fsb/ASrf 1 0 0 4 FB4_7 (b) (b)
cnt/TimerTC 2 0 0 3 FB4_7 (b) (b)
nRES 1 0 0 4 FB4_8 91 I/O I/O
cnt/Er<1> 1 0 0 4 FB4_9 92 I/O I
cnt/TimerTC 2 0 0 3 FB4_10 (b) (b)
cnt/Timer<0> 2 0 0 3 FB4_9 92 I/O I
cnt/LTimer<3> 2 0 0 3 FB4_10 (b) (b)
nVPA_FSB 1 0 0 4 FB4_11 93 I/O O
cnt/LTimer<3> 2 0 0 3 FB4_12 94 I/O I
cnt/LTimer<2> 2 0 0 3 FB4_13 (b) (b)
cnt/LTimer<1> 2 0 0 3 FB4_14 95 I/O I
cnt/LTimer<2> 2 0 0 3 FB4_12 94 I/O I
cnt/LTimer<1> 2 0 0 3 FB4_13 (b) (b)
cnt/INITS_FSM_FFd2 2 0 0 3 FB4_14 95 I/O I
RefReq 2 0 0 3 FB4_15 96 I/O I
IOU0 3 0 0 2 FB4_16 (b) (b)
IOL0 3 0 0 2 FB4_17 97 I/O I
cnt/Timer<1> 4 0 0 1 FB4_16 (b) (b)
cnt/Timer<2> 5 0 0 0 FB4_17 97 I/O I
RefUrg 5 0 0 0 FB4_18 (b) (b)
Signals Used by Logic in Function Block
1: A_FSB<20> 12: cnt/LTimer<2> 22: iobs/PS_FSM_FFd1
2: A_FSB<21> 13: cnt/Timer<0> 23: iobs/PS_FSM_FFd2
3: A_FSB<22> 14: cnt/Timer<1> 24: nADoutLE1
4: A_FSB<23> 15: cnt/Timer<2> 25: nAS_FSB
5: RefUrg 16: cnt/TimerTC 26: nAoutOE
6: cnt/Er<0> 17: fsb/ASrf 27: nBR_IOB
7: cnt/Er<1> 18: fsb/VPA 28: nLDS_FSB
8: cnt/INITS_FSM_FFd1 19: iobm/DoutOE 29: nRESout
9: cnt/INITS_FSM_FFd2 20: iobs/IOL1 30: nUDS_FSB
10: cnt/LTimer<0> 21: iobs/IOU1 31: nWE_FSB
11: cnt/LTimer<1>
1: A_FSB<20> 10: cnt/LTimer<0> 18: cnt/nIPL2r
2: A_FSB<21> 11: cnt/LTimer<1> 19: fsb/VPA
3: A_FSB<22> 12: cnt/LTimer<2> 20: iobm/DoutOE
4: A_FSB<23> 13: cnt/LTimerTC 21: nAS_FSB
5: RefUrg 14: cnt/Timer<0> 22: nAoutOE
6: cnt/Er<0> 15: cnt/Timer<1> 23: nBR_IOB
7: cnt/Er<1> 16: cnt/Timer<2> 24: nRESout
8: cnt/INITS_FSM_FFd1 17: cnt/TimerTC 25: nWE_FSB
9: cnt/INITS_FSM_FFd2
Signal 1 2 3 4 FB
Name 0----+----0----+----0----+----0----+----0 Inputs
ram/RegUrgSync ....X................................... 1
nAoutOE .......XX................XX............. 4
ram/BACTr ................X.......X............... 2
nRESout .......XX............................... 2
nDoutOE ..................X......X.............. 2
nDinOE XXXX....................X.....X......... 6
fsb/ASrf ........................X............... 1
nRES ............................X........... 1
cnt/LTimer<0> .....XX.........X....................... 3
nAoutOE .......XX............XX................. 4
cnt/INITS_FSM_FFd1 .....XXXX...X...XX...................... 7
cnt/Er<1> .....X.................................. 1
cnt/TimerTC ....XXX.....XXX......................... 6
nVPA_FSB .................X......X............... 2
cnt/LTimer<3> .....XX..XXX...X........................ 6
cnt/LTimer<2> .....XX..XX....X........................ 5
cnt/LTimer<1> .....XX..X.....X........................ 4
RefReq ....XXX......XX......................... 5
IOU0 ....................XXXX.....X.......... 5
IOL0 ...................X.XXX...X............ 5
RefUrg ....XXX.....XXXX........................ 7
nDoutOE ...................X.X.................. 2
nDinOE XXXX................X...X............... 6
cnt/TimerTC ....XXX......XXX........................ 6
nRES .......................X................ 1
cnt/Timer<0> .....XX......X..X....................... 4
cnt/LTimer<3> .....XX..XXX....X....................... 6
nVPA_FSB ..................X.X................... 2
cnt/LTimer<2> .....XX..XX.....X....................... 5
cnt/LTimer<1> .....XX..X......X....................... 4
cnt/INITS_FSM_FFd2 .....XXXX...X...X....................... 6
RefReq ....XXX.......XX........................ 5
cnt/Timer<1> .....XX......XX.X....................... 5
cnt/Timer<2> .....XX......XXXX....................... 6
RefUrg ....XXX......XXXX....................... 7
0----+----1----+----2----+----3----+----4
0 0 0 0
*********************************** FB5 ***********************************
Number of function block inputs used/remaining: 34/20
Number of signals used by logic mapping into function block: 34
Number of function block inputs used/remaining: 30/24
Number of signals used by logic mapping into function block: 30
Signal Total Imp Exp Unused Loc Pin Pin Pin
Name Pt Pt Pt Pt # Type Use
(unused) 0 0 \/1 4 FB5_1 (b) (b)
nROMCS 2 1<- \/4 0 FB5_2 35 I/O O
iobs/Load1 14 9<- 0 0 FB5_3 (b) (b)
(unused) 0 0 /\5 0 FB5_4 (b) (b)
nCAS 1 0 \/1 3 FB5_5 36 I/O O
nOE 1 1<- \/5 0 FB5_6 37 I/O O
iobs/PS_FSM_FFd2 12 7<- 0 0 FB5_7 (b) (b)
ram/RS_FSM_FFd2 8 5<- /\2 0 FB5_8 39 I/O (b)
RA<4> 2 2<- /\5 0 FB5_9 40 I/O O
iobs/PS_FSM_FFd1 2 0 /\2 1 FB5_10 (b) (b)
(unused) 0 0 /\5 0 FB5_1 (b) (b)
nROMCS 2 0 \/3 0 FB5_2 35 I/O O
ram/RAMEN 9 4<- 0 0 FB5_3 (b) (b)
ram/RAMReady 9 5<- /\1 0 FB5_4 (b) (b)
nCAS 1 1<- /\5 0 FB5_5 36 I/O O
nOE 1 0 /\1 3 FB5_6 37 I/O O
ram/RS_FSM_FFd2 7 2<- 0 0 FB5_7 (b) (b)
fsb/Ready0r 2 0 /\2 1 FB5_8 39 I/O (b)
RA<4> 2 0 0 3 FB5_9 40 I/O O
ram/RefDone 2 0 0 3 FB5_10 (b) (b)
RA<3> 2 0 0 3 FB5_11 41 I/O O
RA<5> 2 0 0 3 FB5_12 42 I/O O
(unused) 0 0 0 5 FB5_13 (b)
RA<2> 2 0 \/1 2 FB5_14 43 I/O O
RA<6> 2 1<- \/4 0 FB5_15 46 I/O O
iobs/IOReady 4 4<- \/5 0 FB5_16 (b) (b)
iobs/Once 15 10<- 0 0 FB5_17 49 I/O (b)
(unused) 0 0 /\5 0 FB5_18 (b) (b)
ram/BACTr 1 0 0 4 FB5_13 (b) (b)
RA<2> 2 0 0 3 FB5_14 43 I/O O
RA<6> 2 0 0 3 FB5_15 46 I/O O
ram/RefRAS 1 0 0 4 FB5_16 (b) (b)
ram/RefReq 1 0 \/4 0 FB5_17 49 I/O (b)
ram/RASEL 14 9<- 0 0 FB5_18 (b) (b)
Signals Used by Logic in Function Block
1: A_FSB<12> 13: A_FSB<3> 24: iobs/PS_FSM_FFd1
2: A_FSB<13> 14: A_FSB<4> 25: iobs/PS_FSM_FFd2
3: A_FSB<14> 15: A_FSB<5> 26: nADoutLE1
4: A_FSB<15> 16: A_FSB<6> 27: nAS_FSB
5: A_FSB<16> 17: A_FSB<7> 28: nWE_FSB
6: A_FSB<17> 18: IOBERR 29: ram/RAMEN
7: A_FSB<18> 19: cs/nOverlay 30: ram/RASEL
8: A_FSB<19> 20: fsb/ASrf 31: ram/RS_FSM_FFd1
9: A_FSB<20> 21: iobs/IOACTr 32: ram/RS_FSM_FFd2
10: A_FSB<21> 22: iobs/IOReady 33: ram/RS_FSM_FFd3
11: A_FSB<22> 23: iobs/Once 34: ram/RefUrg
12: A_FSB<23>
1: A_FSB<12> 11: A_FSB<4> 21: ram/RAMEN
2: A_FSB<13> 12: A_FSB<5> 22: ram/RAMReady
3: A_FSB<14> 13: A_FSB<6> 23: ram/RASEL
4: A_FSB<15> 14: A_FSB<7> 24: ram/RS_FSM_FFd1
5: A_FSB<16> 15: cs/nOverlay 25: ram/RS_FSM_FFd2
6: A_FSB<20> 16: fsb/ASrf 26: ram/RS_FSM_FFd3
7: A_FSB<21> 17: fsb/Ready0r 27: ram/RefDone
8: A_FSB<22> 18: nAS_FSB 28: ram/RefReq
9: A_FSB<23> 19: nWE_FSB 29: ram/RefReqSync
10: A_FSB<3> 20: ram/BACTr 30: ram/RefUrg
Signal 1 2 3 4 FB
Name 0----+----0----+----0----+----0----+----0 Inputs
nROMCS ........XXXX......X..................... 5
iobs/Load1 .XX.XXXXXXXX......XX..XXXXXX............ 18
nCAS .............................X.......... 1
nOE ..........................XX............ 2
iobs/PS_FSM_FFd2 .XX.XXXXXXXX......XXX.XXXXXX............ 19
ram/RS_FSM_FFd2 ..........XX......XX......X.X.XXXX...... 10
RA<4> ..X...........X..............X.......... 3
iobs/PS_FSM_FFd1 ....................X..XX............... 3
RA<3> .X...........X...............X.......... 3
RA<5> ...X...........X.............X.......... 3
RA<2> X...........X................X.......... 3
RA<6> ....X...........X............X.......... 3
iobs/IOReady .................X.XXXX.XXX............. 8
iobs/Once .XX.XXXXXXXX......XX..XXXXXX............ 18
nROMCS .....XXXX.....X......................... 5
ram/RAMEN .......XX.....XX.X.XX..XXX.X.X.......... 12
ram/RAMReady .......XX.....XX.X.XX..XXX.X.X.......... 12
nCAS ......................X................. 1
nOE .................XX..................... 2
ram/RS_FSM_FFd2 .......XX.....XX.X.X...XXX.X.X.......... 11
fsb/Ready0r .......XX.....XXXX...X.................. 7
RA<4> ..X........X..........X................. 3
ram/RefDone .......................XX.X.X........... 4
RA<3> .X........X...........X................. 3
RA<5> ...X........X.........X................. 3
ram/BACTr ...............X.X...................... 2
RA<2> X........X............X................. 3
RA<6> ....X........X........X................. 3
ram/RefRAS .......................XX............... 2
ram/RefReq ..........................X.X........... 2
ram/RASEL .......XX.....XX.X.XX..XXX.X.X.......... 12
0----+----1----+----2----+----3----+----4
0 0 0 0
*********************************** FB6 ***********************************
@ -657,58 +656,57 @@ Number of function block inputs used/remaining: 35/19
Number of signals used by logic mapping into function block: 35
Signal Total Imp Exp Unused Loc Pin Pin Pin
Name Pt Pt Pt Pt # Type Use
ram/RASEL 14 9<- 0 0 FB8_1 (b) (b)
RA<11> 1 1<- /\5 0 FB8_2 63 I/O O
cnt/Timer<2> 5 1<- /\1 0 FB8_3 (b) (b)
cnt/Timer<0> 2 0 /\1 2 FB8_4 (b) (b)
nRAS 0 0 0 5 FB8_5 64 I/O O
nRAMLWE 1 0 0 4 FB8_6 65 I/O O
cnt/INITS_FSM_FFd2 2 0 0 3 FB8_7 (b) (b)
nRAMUWE 1 0 0 4 FB8_8 66 I/O O
fsb/Ready0r 2 0 \/2 1 FB8_9 67 I/O (b)
cnt/Timer<1> 4 2<- \/3 0 FB8_10 (b) (b)
ram/RAMReady 9 4<- 0 0 FB8_11 68 I/O (b)
nBERR_FSB 4 0 /\1 0 FB8_12 70 I/O O
(unused) 0 0 \/5 0 FB8_13 (b) (b)
ram/RAMEN 9 5<- \/1 0 FB8_14 71 I/O (b)
nBR_IOB 2 1<- \/4 0 FB8_15 72 I/O O
ram/RS_FSM_FFd3 13 8<- 0 0 FB8_16 (b) (b)
cnt/INITS_FSM_FFd1 1 0 /\4 0 FB8_17 73 I/O (b)
cnt/LTimer<0> 1 0 \/4 0 FB8_18 (b) (b)
(unused) 0 0 \/1 4 FB8_1 (b) (b)
RA<11> 1 1<- \/5 0 FB8_2 63 I/O O
iobs/Load1 14 9<- 0 0 FB8_3 (b) (b)
iobs/IOReady 4 3<- /\4 0 FB8_4 (b) (b)
nRAS 2 0 /\3 0 FB8_5 64 I/O O
nRAMLWE 1 0 \/4 0 FB8_6 65 I/O O
iobs/PS_FSM_FFd2 12 7<- 0 0 FB8_7 (b) (b)
nRAMUWE 1 0 /\3 1 FB8_8 66 I/O O
ram/RS_FSM_FFd3 7 2<- 0 0 FB8_9 67 I/O (b)
IOL0 3 0 /\2 0 FB8_10 (b) (b)
ram/RS_FSM_FFd1 3 0 0 2 FB8_11 68 I/O (b)
nBERR_FSB 4 0 0 1 FB8_12 70 I/O O
iobs/PS_FSM_FFd1 2 0 0 3 FB8_13 (b) (b)
ALE0S 1 0 0 4 FB8_14 71 I/O (b)
nBR_IOB 2 0 \/1 2 FB8_15 72 I/O O
nRESout 1 1<- \/5 0 FB8_16 (b) (b)
iobs/Once 15 10<- 0 0 FB8_17 73 I/O (b)
(unused) 0 0 /\5 0 FB8_18 (b) (b)
Signals Used by Logic in Function Block
1: A_FSB<19> 13: cnt/TimerTC 25: nLDS_FSB
2: A_FSB<22> 14: cnt/nIPL2r 26: nUDS_FSB
3: A_FSB<23> 15: cs/nOverlay 27: nWE_FSB
4: IOBERR 16: fsb/ASrf 28: ram/BACTr
5: cnt/Er<0> 17: fsb/Ready0r 29: ram/RAMEN
6: cnt/Er<1> 18: iobs/IOACTr 30: ram/RAMReady
7: cnt/INITS_FSM_FFd1 19: iobs/Once 31: ram/RS_FSM_FFd1
8: cnt/INITS_FSM_FFd2 20: iobs/PS_FSM_FFd2 32: ram/RS_FSM_FFd2
9: cnt/LTimerTC 21: nADoutLE1 33: ram/RS_FSM_FFd3
10: cnt/Timer<0> 22: nAS_FSB 34: ram/RefReq
11: cnt/Timer<1> 23: nBERR_FSB 35: ram/RefUrg
12: cnt/Timer<2> 24: nBR_IOB
1: A_FSB<13> 13: cnt/INITS_FSM_FFd2 25: nBERR_FSB
2: A_FSB<14> 14: cnt/nIPL2r 26: nBR_IOB
3: A_FSB<16> 15: cs/nOverlay 27: nLDS_FSB
4: A_FSB<17> 16: fsb/ASrf 28: nUDS_FSB
5: A_FSB<18> 17: iobs/IOACTr 29: nWE_FSB
6: A_FSB<19> 18: iobs/IOL1 30: ram/RAMEN
7: A_FSB<20> 19: iobs/IOReady 31: ram/RS_FSM_FFd1
8: A_FSB<21> 20: iobs/Once 32: ram/RS_FSM_FFd2
9: A_FSB<22> 21: iobs/PS_FSM_FFd1 33: ram/RS_FSM_FFd3
10: A_FSB<23> 22: iobs/PS_FSM_FFd2 34: ram/RefRAS
11: IOBERR 23: nADoutLE1 35: ram/RefUrg
12: cnt/INITS_FSM_FFd1 24: nAS_FSB
Signal 1 2 3 4 FB
Name 0----+----0----+----0----+----0----+----0 Inputs
ram/RASEL .XX...........XX.....X.....XX.XXXXX..... 12
RA<11> X....................................... 1
cnt/Timer<2> ....XX...XXXX........................... 6
cnt/Timer<0> ....XX...X..X........................... 4
nRAS ........................................ 0
nRAMLWE .....................X..X.X.X........... 4
cnt/INITS_FSM_FFd2 ....XXXXX...X........................... 6
nRAMUWE .....................X...XX.X........... 4
fsb/Ready0r .XX...........XXX....X.......X.......... 7
cnt/Timer<1> ....XX...XX.X........................... 5
ram/RAMReady .XX...........XX.....X.....XX.XXXXX..... 12
nBERR_FSB ...X...........X.XXXXXX................. 8
ram/RAMEN .XX...........XX.....X.....XX.XXXXX..... 12
nBR_IOB ......XX.....X.........X................ 4
ram/RS_FSM_FFd3 .XX...........XX.....X.....XX.XXXXX..... 12
cnt/INITS_FSM_FFd1 ....XXXXX...XX.......................... 7
cnt/LTimer<0> ....XX......X........................... 3
RA<11> .....X.................................. 1
iobs/Load1 XXXXXXXXXX....XX...XXXXX....X........... 18
iobs/IOReady ..........X....XX.XX.XXX................ 8
nRAS ........XX....X........X.....X...X...... 6
nRAMLWE .......................X..X.XX.......... 4
iobs/PS_FSM_FFd2 XXXXXXXXXX....XXX..XXXXX....X........... 19
nRAMUWE .......................X...XXX.......... 4
ram/RS_FSM_FFd3 ........XX....XX.......X.....XXXX.X..... 10
IOL0 .................X..XXX...X............. 5
ram/RS_FSM_FFd1 ........XX....XX.......X.....XXXX....... 9
nBERR_FSB ..........X....XX..X.XXXX............... 8
iobs/PS_FSM_FFd1 ................X...XX.................. 3
ALE0S ....................XX.................. 2
nBR_IOB ...........XXX...........X.............. 4
nRESout ...........XX........................... 2
iobs/Once XXXXXXXXXX....XX...XXXXX....X........... 18
0----+----1----+----2----+----3----+----4
0 0 0 0
******************************* Equations ********************************
@ -750,8 +748,6 @@ C25MEN <= '1';
@ -886,8 +882,8 @@ RA(5) <= ((A_FSB(15) AND NOT ram/RASEL)
OR (ram/RASEL AND A_FSB(6)));
RA(6) <= ((ram/RASEL AND A_FSB(7))
OR (A_FSB(16) AND NOT ram/RASEL));
RA(6) <= ((A_FSB(16) AND NOT ram/RASEL)
OR (ram/RASEL AND A_FSB(7)));
RA(7) <= ((A_FSB(8) AND ram/RASEL)
@ -1017,15 +1013,15 @@ cnt/Timer_T(0) <= (NOT cnt/Timer(0) AND cnt/TimerTC AND NOT cnt/Er(0) AND
cnt/Timer_CE(0) <= (NOT cnt/Er(0) AND cnt/Er(1));
FDCPE_cnt/Timer1: FDCPE port map (cnt/Timer(1),cnt/Timer_D(1),FCLK,'0','0',cnt/Timer_CE(1));
cnt/Timer_D(1) <= ((NOT cnt/Timer(0) AND NOT cnt/Timer(1))
OR (cnt/TimerTC AND NOT cnt/Er(0) AND cnt/Er(1))
OR (cnt/Timer(0) AND cnt/Timer(1)));
cnt/Timer_D(1) <= ((cnt/Timer(0) AND cnt/Timer(1))
OR (NOT cnt/Timer(0) AND NOT cnt/Timer(1))
OR (cnt/TimerTC AND NOT cnt/Er(0) AND cnt/Er(1)));
cnt/Timer_CE(1) <= (NOT cnt/Er(0) AND cnt/Er(1));
FDCPE_cnt/Timer2: FDCPE port map (cnt/Timer(2),cnt/Timer_D(2),FCLK,'0','0',cnt/Timer_CE(2));
cnt/Timer_D(2) <= ((cnt/Timer(0) AND cnt/Timer(1) AND cnt/Timer(2))
OR (NOT cnt/Timer(0) AND NOT cnt/Timer(2))
cnt/Timer_D(2) <= ((NOT cnt/Timer(0) AND NOT cnt/Timer(2))
OR (NOT cnt/Timer(1) AND NOT cnt/Timer(2))
OR (cnt/Timer(0) AND cnt/Timer(1) AND cnt/Timer(2))
OR (cnt/TimerTC AND NOT cnt/Er(0) AND cnt/Er(1)));
cnt/Timer_CE(2) <= (NOT cnt/Er(0) AND cnt/Er(1));
@ -1224,13 +1220,13 @@ iobs/IORW1_T <= ((iobs/Once)
OR (NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1));
FTCPE_iobs/IOReady: FTCPE port map (iobs/IOReady,iobs/IOReady_T,FCLK,'0','0');
iobs/IOReady_T <= ((iobs/IOReady AND nAS_FSB AND NOT fsb/ASrf)
OR (iobs/Once AND iobs/IOReady AND NOT iobs/PS_FSM_FFd2 AND
iobs/IOReady_T <= ((iobs/Once AND iobs/IOReady AND NOT iobs/PS_FSM_FFd2 AND
NOT iobs/IOACTr AND IOBERR AND nADoutLE1)
OR (iobs/Once AND NOT iobs/IOReady AND NOT nAS_FSB AND
NOT iobs/PS_FSM_FFd2 AND NOT iobs/IOACTr AND NOT IOBERR AND nADoutLE1)
OR (iobs/Once AND NOT iobs/IOReady AND NOT iobs/PS_FSM_FFd2 AND
NOT iobs/IOACTr AND NOT IOBERR AND fsb/ASrf AND nADoutLE1));
NOT iobs/IOACTr AND NOT IOBERR AND fsb/ASrf AND nADoutLE1)
OR (iobs/IOReady AND nAS_FSB AND NOT fsb/ASrf));
FDCPE_iobs/IOU1: FDCPE port map (iobs/IOU1,NOT nUDS_FSB,FCLK,'0','0',iobs/Load1);
@ -1239,11 +1235,11 @@ iobs/Load1_D <= ((iobs/Once)
OR (NOT nADoutLE1)
OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21))
OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(19))
OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(17))
OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(16))
OR (NOT A_FSB(23) AND NOT A_FSB(22) AND nWE_FSB)
OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(20))
OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(18))
OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(17))
OR (NOT A_FSB(23) AND NOT A_FSB(21) AND NOT A_FSB(20))
OR (NOT A_FSB(14) AND NOT A_FSB(13) AND NOT A_FSB(23) AND NOT A_FSB(22))
OR (nAS_FSB AND NOT fsb/ASrf)
@ -1303,14 +1299,14 @@ iobs/PS_FSM_FFd2_T <= ((A_FSB(22) AND A_FSB(21) AND NOT iobs/Once AND
OR (A_FSB(14) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND
A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Once AND cs/nOverlay AND
NOT nWE_FSB AND NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1)
OR (A_FSB(13) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND
A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Once AND cs/nOverlay AND
NOT nWE_FSB AND NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1)
OR (A_FSB(14) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND
A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Once AND cs/nOverlay AND
NOT nWE_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND fsb/ASrf)
OR (A_FSB(13) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND
A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Once AND cs/nOverlay AND
NOT nWE_FSB AND NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1)
OR (A_FSB(13) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND
A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Once AND cs/nOverlay AND
NOT nWE_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND fsb/ASrf)
OR (iobs/PS_FSM_FFd1 AND iobs/IOACTr)
OR (NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND NOT nADoutLE1)
@ -1341,19 +1337,19 @@ nAoutOE_D <= ((NOT nBR_IOB AND cnt/INITS_FSM_FFd1 AND
NOT nAoutOE));
FTCPE_nBERR_FSB: FTCPE port map (nBERR_FSB,nBERR_FSB_T,FCLK,'0','0');
nBERR_FSB_T <= ((NOT nBERR_FSB AND nAS_FSB AND NOT fsb/ASrf)
nBERR_FSB_T <= ((nAS_FSB AND NOT nBERR_FSB AND NOT fsb/ASrf)
OR (iobs/Once AND NOT nBERR_FSB AND NOT iobs/PS_FSM_FFd2 AND
NOT iobs/IOACTr AND NOT IOBERR AND nADoutLE1)
OR (iobs/Once AND nBERR_FSB AND NOT nAS_FSB AND
OR (iobs/Once AND NOT nAS_FSB AND nBERR_FSB AND
NOT iobs/PS_FSM_FFd2 AND NOT iobs/IOACTr AND IOBERR AND nADoutLE1)
OR (iobs/Once AND nBERR_FSB AND NOT iobs/PS_FSM_FFd2 AND
NOT iobs/IOACTr AND IOBERR AND fsb/ASrf AND nADoutLE1));
FTCPE_nBR_IOB: FTCPE port map (nBR_IOB,nBR_IOB_T,FCLK,'0','0');
nBR_IOB_T <= ((NOT nBR_IOB AND NOT cnt/INITS_FSM_FFd1 AND
cnt/INITS_FSM_FFd2 AND NOT cnt/nIPL2r)
OR (nBR_IOB AND NOT cnt/INITS_FSM_FFd1 AND
NOT cnt/INITS_FSM_FFd2));
nBR_IOB_T <= ((nBR_IOB AND NOT cnt/INITS_FSM_FFd1 AND
NOT cnt/INITS_FSM_FFd2)
OR (NOT nBR_IOB AND NOT cnt/INITS_FSM_FFd1 AND
cnt/INITS_FSM_FFd2 AND NOT cnt/nIPL2r));
FDCPE_nCAS: FDCPE port map (nCAS,NOT ram/RASEL,NOT FCLK,'0','0');
@ -1386,9 +1382,9 @@ FDCPE_nDinLE: FDCPE port map (nDinLE,nDinLE_D,NOT C16M,'0','0');
nDinLE_D <= (iobm/IOS_FSM_FFd1 AND iobm/IOS_FSM_FFd2);
nDinOE <= ((A_FSB(23) AND nWE_FSB AND NOT nAS_FSB)
nDinOE <= NOT (((A_FSB(23) AND nWE_FSB AND NOT nAS_FSB)
OR (A_FSB(22) AND A_FSB(21) AND nWE_FSB AND NOT nAS_FSB)
OR (A_FSB(22) AND A_FSB(20) AND nWE_FSB AND NOT nAS_FSB));
OR (A_FSB(22) AND A_FSB(20) AND nWE_FSB AND NOT nAS_FSB)));
nDoutOE <= NOT ((iobm/DoutOE AND NOT nAoutOE));
@ -1411,7 +1407,9 @@ nRAMLWE <= NOT ((NOT nLDS_FSB AND NOT nWE_FSB AND NOT nAS_FSB AND ram/RAMEN));
nRAMUWE <= NOT ((NOT nWE_FSB AND NOT nUDS_FSB AND NOT nAS_FSB AND ram/RAMEN));
nRAS <= '1';
nRAS <= NOT (((ram/RefRAS)
OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND NOT nAS_FSB AND
ram/RAMEN)));
nRES_I <= '0';
@ -1422,8 +1420,8 @@ FDCPE_nRESout: FDCPE port map (nRESout,nRESout_D,FCLK,'0','0');
nRESout_D <= (cnt/INITS_FSM_FFd1 AND NOT cnt/INITS_FSM_FFd2);
nROMCS <= NOT (((NOT A_FSB(23) AND NOT A_FSB(21) AND NOT A_FSB(20) AND NOT cs/nOverlay)
OR (NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND NOT A_FSB(20))));
nROMCS <= NOT (((NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND NOT A_FSB(20))
OR (NOT A_FSB(23) AND NOT A_FSB(21) AND NOT A_FSB(20) AND NOT cs/nOverlay)));
nROMWE <= NOT ((NOT nWE_FSB AND NOT nAS_FSB));
@ -1451,13 +1449,13 @@ FDCPE_ram/BACTr: FDCPE port map (ram/BACTr,ram/BACTr_D,FCLK,'0','0');
ram/BACTr_D <= (nAS_FSB AND NOT fsb/ASrf);
FDCPE_ram/RAMEN: FDCPE port map (ram/RAMEN,ram/RAMEN_D,FCLK,'0','0');
ram/RAMEN_D <= ((ram/RS_FSM_FFd3 AND ram/RAMEN)
OR (ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2 AND ram/RAMEN)
OR (NOT ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd2 AND ram/RAMEN)
OR (nAS_FSB AND ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd2 AND
NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND NOT fsb/ASrf)
OR (nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2 AND
ram/RAMEN_D <= ((ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3 AND ram/RAMEN)
OR (NOT ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd3 AND ram/RAMEN)
OR (nAS_FSB AND ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd1 AND
ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND NOT fsb/ASrf)
OR (nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND
NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND NOT fsb/ASrf)
OR (NOT ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd1 AND ram/RAMEN)
OR (NOT ram/RS_FSM_FFd1 AND NOT ram/RefUrg AND ram/RAMEN AND
ram/BACTr)
OR (NOT ram/RS_FSM_FFd1 AND NOT ram/RefUrg AND ram/RAMEN AND
@ -1468,24 +1466,24 @@ ram/RAMEN_D <= ((ram/RS_FSM_FFd3 AND ram/RAMEN)
NOT ram/RS_FSM_FFd1 AND ram/RAMEN AND fsb/ASrf));
FDCPE_ram/RAMReady: FDCPE port map (ram/RAMReady,ram/RAMReady_D,FCLK,'0','0');
ram/RAMReady_D <= ((A_FSB(23) AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2 AND
NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND NOT ram/RefReq)
OR (A_FSB(22) AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2 AND
ram/RAMReady_D <= ((A_FSB(23) AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND
NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND ram/BACTr)
OR (A_FSB(22) AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2 AND
OR (A_FSB(23) AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND
NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND NOT ram/RefReq)
OR (A_FSB(23) AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2 AND
OR (A_FSB(22) AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND
NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND ram/BACTr)
OR (ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd2 AND
NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg)
OR (NOT cs/nOverlay AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2 AND
NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND ram/BACTr)
OR (NOT cs/nOverlay AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2 AND
OR (A_FSB(22) AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND
NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND NOT ram/RefReq)
OR (nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2 AND
OR (NOT cs/nOverlay AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND
NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND ram/BACTr)
OR (ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd1 AND
ram/RS_FSM_FFd3 AND NOT ram/RefUrg)
OR (NOT cs/nOverlay AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND
NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND NOT ram/RefReq)
OR (nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND
NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND NOT fsb/ASrf)
OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND
NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND
NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND
NOT ram/RAMEN));
FDCPE_ram/RASEL: FDCPE port map (ram/RASEL,ram/RASEL_D,FCLK,'0','0');
@ -1507,69 +1505,59 @@ ram/RASEL_D <= ((A_FSB(23) AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3 AND
NOT ram/RefUrg AND ram/BACTr)
OR (NOT cs/nOverlay AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3 AND
NOT ram/RefUrg AND NOT ram/RefReq)
OR (NOT nAS_FSB AND ram/RS_FSM_FFd1)
OR (ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2)
OR (ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd3)
OR (ram/RS_FSM_FFd1 AND NOT ram/RefUrg)
OR (ram/RS_FSM_FFd1 AND fsb/ASrf));
OR (ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3)
OR (NOT nAS_FSB AND ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd3)
OR (ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND
ram/RS_FSM_FFd3)
OR (ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd3 AND NOT ram/RefUrg)
OR (ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd3 AND fsb/ASrf));
FDCPE_ram/RS_FSM_FFd1: FDCPE port map (ram/RS_FSM_FFd1,ram/RS_FSM_FFd1_D,FCLK,'0','0');
ram/RS_FSM_FFd1_D <= ((ram/RS_FSM_FFd3)
OR (ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2));
FTCPE_ram/RS_FSM_FFd1: FTCPE port map (ram/RS_FSM_FFd1,ram/RS_FSM_FFd1_T,FCLK,'0','0');
ram/RS_FSM_FFd1_T <= ((ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd3)
OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND NOT nAS_FSB AND
NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND ram/RAMEN)
OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND
NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND ram/RAMEN AND
fsb/ASrf));
FDCPE_ram/RS_FSM_FFd2: FDCPE port map (ram/RS_FSM_FFd2,ram/RS_FSM_FFd2_D,FCLK,'0','0');
ram/RS_FSM_FFd2_D <= ((ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd2 AND ram/RefUrg AND
fsb/ASrf)
FTCPE_ram/RS_FSM_FFd2: FTCPE port map (ram/RS_FSM_FFd2,ram/RS_FSM_FFd2_T,FCLK,'0','0');
ram/RS_FSM_FFd2_T <= ((ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3)
OR (nAS_FSB AND ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd1 AND
ram/RefUrg AND NOT fsb/ASrf)
OR (NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND
ram/BACTr)
OR (NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND
NOT ram/RefReq)
OR (nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND
NOT ram/RefUrg AND NOT fsb/ASrf)
OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND NOT nAS_FSB AND
NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3 AND ram/RefUrg)
OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND NOT nAS_FSB AND
NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3 AND ram/RAMEN)
NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3)
OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND
NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3 AND ram/RefUrg AND
fsb/ASrf)
OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND
NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3 AND ram/RAMEN AND
fsb/ASrf)
OR (ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd3)
OR (ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd3)
OR (NOT nAS_FSB AND ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd2 AND
ram/RefUrg));
NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND fsb/ASrf));
FTCPE_ram/RS_FSM_FFd3: FTCPE port map (ram/RS_FSM_FFd3,ram/RS_FSM_FFd3_T,FCLK,'0','0');
ram/RS_FSM_FFd3_T <= ((A_FSB(23) AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2 AND
NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND ram/BACTr)
OR (A_FSB(22) AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2 AND
NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND ram/BACTr)
OR (A_FSB(22) AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2 AND
NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND NOT ram/RefReq)
OR (NOT cs/nOverlay AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2 AND
NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND NOT ram/RefReq)
OR (A_FSB(23) AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2 AND
NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND NOT ram/RefReq)
OR (nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2 AND
NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND NOT fsb/ASrf)
OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND NOT nAS_FSB AND
NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3 AND NOT ram/RAMEN)
OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND
NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3 AND NOT ram/RAMEN AND
fsb/ASrf)
OR (NOT ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd2 AND
ram/RS_FSM_FFd3)
OR (NOT nAS_FSB AND ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd2 AND
ram/RS_FSM_FFd3_T <= ((nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND
NOT ram/RS_FSM_FFd3 AND NOT fsb/ASrf)
OR (NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND
NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND NOT ram/RAMEN)
OR (A_FSB(23) AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND
NOT ram/RS_FSM_FFd3)
OR (ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd2 AND
NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg)
OR (ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd2 AND
NOT ram/RS_FSM_FFd3 AND fsb/ASrf)
OR (NOT cs/nOverlay AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2 AND
NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND ram/BACTr));
OR (A_FSB(22) AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND
NOT ram/RS_FSM_FFd3)
OR (NOT cs/nOverlay AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND
NOT ram/RS_FSM_FFd3)
OR (NOT nAS_FSB AND ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd1 AND
ram/RS_FSM_FFd3 AND ram/RefUrg)
OR (ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd1 AND
ram/RS_FSM_FFd3 AND ram/RefUrg AND fsb/ASrf));
FDCPE_ram/RefDone: FDCPE port map (ram/RefDone,ram/RefDone_D,FCLK,'0','0');
ram/RefDone_D <= ((ram/RefDone AND ram/RefReqSync)
OR (ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2 AND
NOT ram/RS_FSM_FFd3 AND ram/RefReqSync)
OR (NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2 AND
ram/RS_FSM_FFd3 AND ram/RefReqSync));
OR (ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND
ram/RefReqSync));
FDCPE_ram/RefRAS: FDCPE port map (ram/RefRAS,ram/RefRAS_D,FCLK,'0','0');
ram/RefRAS_D <= (ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1);
FDCPE_ram/RefReq: FDCPE port map (ram/RefReq,ram/RefReq_D,FCLK,'0','0');
ram/RefReq_D <= (NOT ram/RefDone AND ram/RefReqSync);

View File

@ -43,8 +43,6 @@ C25MEN <= '1';
</td></tr><tr><td>
</td></tr><tr><td>
</td></tr><tr><td>
</td></tr><tr><td>
</td></tr><tr><td>
FDCPE_IOACT: FDCPE port map (IOACT,IOACT_D,C16M,'0','0');
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;IOACT_D <= ((C8M AND iobm/IOS_FSM_FFd3 AND iobm/IOS_FSM_FFd1 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; iobm/RESrf AND iobm/RESrr)
@ -176,8 +174,8 @@ RA(5) <= ((A_FSB(15) AND NOT ram/RASEL)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (ram/RASEL AND A_FSB(6)));
</td></tr><tr><td>
</td></tr><tr><td>
RA(6) <= ((ram/RASEL AND A_FSB(7))
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(16) AND NOT ram/RASEL));
RA(6) <= ((A_FSB(16) AND NOT ram/RASEL)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (ram/RASEL AND A_FSB(7)));
</td></tr><tr><td>
</td></tr><tr><td>
RA(7) <= ((A_FSB(8) AND ram/RASEL)
@ -307,15 +305,15 @@ FTCPE_cnt/Timer0: FTCPE port map (cnt/Timer(0),cnt/Timer_T(0),FCLK,'0','0',cnt/T
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;cnt/Timer_CE(0) <= (NOT cnt/Er(0) AND cnt/Er(1));
</td></tr><tr><td>
FDCPE_cnt/Timer1: FDCPE port map (cnt/Timer(1),cnt/Timer_D(1),FCLK,'0','0',cnt/Timer_CE(1));
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;cnt/Timer_D(1) <= ((NOT cnt/Timer(0) AND NOT cnt/Timer(1))
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (cnt/TimerTC AND NOT cnt/Er(0) AND cnt/Er(1))
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (cnt/Timer(0) AND cnt/Timer(1)));
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;cnt/Timer_D(1) <= ((cnt/Timer(0) AND cnt/Timer(1))
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT cnt/Timer(0) AND NOT cnt/Timer(1))
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (cnt/TimerTC AND NOT cnt/Er(0) AND cnt/Er(1)));
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;cnt/Timer_CE(1) <= (NOT cnt/Er(0) AND cnt/Er(1));
</td></tr><tr><td>
FDCPE_cnt/Timer2: FDCPE port map (cnt/Timer(2),cnt/Timer_D(2),FCLK,'0','0',cnt/Timer_CE(2));
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;cnt/Timer_D(2) <= ((cnt/Timer(0) AND cnt/Timer(1) AND cnt/Timer(2))
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT cnt/Timer(0) AND NOT cnt/Timer(2))
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;cnt/Timer_D(2) <= ((NOT cnt/Timer(0) AND NOT cnt/Timer(2))
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT cnt/Timer(1) AND NOT cnt/Timer(2))
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (cnt/Timer(0) AND cnt/Timer(1) AND cnt/Timer(2))
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (cnt/TimerTC AND NOT cnt/Er(0) AND cnt/Er(1)));
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;cnt/Timer_CE(2) <= (NOT cnt/Er(0) AND cnt/Er(1));
</td></tr><tr><td>
@ -514,13 +512,13 @@ FTCPE_iobs/IORW1: FTCPE port map (iobs/IORW1,iobs/IORW1_T,FCLK,'0','0');
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1));
</td></tr><tr><td>
FTCPE_iobs/IOReady: FTCPE port map (iobs/IOReady,iobs/IOReady_T,FCLK,'0','0');
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;iobs/IOReady_T <= ((iobs/IOReady AND nAS_FSB AND NOT fsb/ASrf)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (iobs/Once AND iobs/IOReady AND NOT iobs/PS_FSM_FFd2 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;iobs/IOReady_T <= ((iobs/Once AND iobs/IOReady AND NOT iobs/PS_FSM_FFd2 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT iobs/IOACTr AND IOBERR AND nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (iobs/Once AND NOT iobs/IOReady AND NOT nAS_FSB AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT iobs/PS_FSM_FFd2 AND NOT iobs/IOACTr AND NOT IOBERR AND nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (iobs/Once AND NOT iobs/IOReady AND NOT iobs/PS_FSM_FFd2 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT iobs/IOACTr AND NOT IOBERR AND fsb/ASrf AND nADoutLE1));
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT iobs/IOACTr AND NOT IOBERR AND fsb/ASrf AND nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (iobs/IOReady AND nAS_FSB AND NOT fsb/ASrf));
</td></tr><tr><td>
FDCPE_iobs/IOU1: FDCPE port map (iobs/IOU1,NOT nUDS_FSB,FCLK,'0','0',iobs/Load1);
</td></tr><tr><td>
@ -529,11 +527,11 @@ FDCPE_iobs/Load1: FDCPE port map (iobs/Load1,iobs/Load1_D,FCLK,'0','0');
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21))
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(19))
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(17))
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(16))
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND NOT A_FSB(22) AND nWE_FSB)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(20))
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(18))
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(17))
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND NOT A_FSB(21) AND NOT A_FSB(20))
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(14) AND NOT A_FSB(13) AND NOT A_FSB(23) AND NOT A_FSB(22))
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (nAS_FSB AND NOT fsb/ASrf)
@ -593,14 +591,14 @@ FTCPE_iobs/PS_FSM_FFd2: FTCPE port map (iobs/PS_FSM_FFd2,iobs/PS_FSM_FFd2_T,FCLK
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(14) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Once AND cs/nOverlay AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT nWE_FSB AND NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(13) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Once AND cs/nOverlay AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT nWE_FSB AND NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(14) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Once AND cs/nOverlay AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT nWE_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND fsb/ASrf)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(13) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Once AND cs/nOverlay AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT nWE_FSB AND NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(13) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Once AND cs/nOverlay AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT nWE_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND fsb/ASrf)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (iobs/PS_FSM_FFd1 AND iobs/IOACTr)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND NOT nADoutLE1)
@ -631,19 +629,19 @@ FDCPE_nAoutOE: FDCPE port map (nAoutOE,nAoutOE_D,FCLK,'0','0');
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT nAoutOE));
</td></tr><tr><td>
FTCPE_nBERR_FSB: FTCPE port map (nBERR_FSB,nBERR_FSB_T,FCLK,'0','0');
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;nBERR_FSB_T <= ((NOT nBERR_FSB AND nAS_FSB AND NOT fsb/ASrf)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;nBERR_FSB_T <= ((nAS_FSB AND NOT nBERR_FSB AND NOT fsb/ASrf)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (iobs/Once AND NOT nBERR_FSB AND NOT iobs/PS_FSM_FFd2 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT iobs/IOACTr AND NOT IOBERR AND nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (iobs/Once AND nBERR_FSB AND NOT nAS_FSB AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (iobs/Once AND NOT nAS_FSB AND nBERR_FSB AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT iobs/PS_FSM_FFd2 AND NOT iobs/IOACTr AND IOBERR AND nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (iobs/Once AND nBERR_FSB AND NOT iobs/PS_FSM_FFd2 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT iobs/IOACTr AND IOBERR AND fsb/ASrf AND nADoutLE1));
</td></tr><tr><td>
FTCPE_nBR_IOB: FTCPE port map (nBR_IOB,nBR_IOB_T,FCLK,'0','0');
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;nBR_IOB_T <= ((NOT nBR_IOB AND NOT cnt/INITS_FSM_FFd1 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; cnt/INITS_FSM_FFd2 AND NOT cnt/nIPL2r)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (nBR_IOB AND NOT cnt/INITS_FSM_FFd1 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT cnt/INITS_FSM_FFd2));
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;nBR_IOB_T <= ((nBR_IOB AND NOT cnt/INITS_FSM_FFd1 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT cnt/INITS_FSM_FFd2)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT nBR_IOB AND NOT cnt/INITS_FSM_FFd1 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; cnt/INITS_FSM_FFd2 AND NOT cnt/nIPL2r));
</td></tr><tr><td>
FDCPE_nCAS: FDCPE port map (nCAS,NOT ram/RASEL,NOT FCLK,'0','0');
</td></tr><tr><td>
@ -676,9 +674,9 @@ FDCPE_nDinLE: FDCPE port map (nDinLE,nDinLE_D,NOT C16M,'0','0');
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;nDinLE_D <= (iobm/IOS_FSM_FFd1 AND iobm/IOS_FSM_FFd2);
</td></tr><tr><td>
</td></tr><tr><td>
nDinOE <= ((A_FSB(23) AND nWE_FSB AND NOT nAS_FSB)
nDinOE <= NOT (((A_FSB(23) AND nWE_FSB AND NOT nAS_FSB)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(22) AND A_FSB(21) AND nWE_FSB AND NOT nAS_FSB)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(22) AND A_FSB(20) AND nWE_FSB AND NOT nAS_FSB));
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(22) AND A_FSB(20) AND nWE_FSB AND NOT nAS_FSB)));
</td></tr><tr><td>
</td></tr><tr><td>
nDoutOE <= NOT ((iobm/DoutOE AND NOT nAoutOE));
@ -701,7 +699,9 @@ nRAMLWE <= NOT ((NOT nLDS_FSB AND NOT nWE_FSB AND NOT nAS_FSB AND ram/RAMEN));
nRAMUWE <= NOT ((NOT nWE_FSB AND NOT nUDS_FSB AND NOT nAS_FSB AND ram/RAMEN));
</td></tr><tr><td>
</td></tr><tr><td>
nRAS <= '1';
nRAS <= NOT (((ram/RefRAS)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND NOT nAS_FSB AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; ram/RAMEN)));
</td></tr><tr><td>
</td></tr><tr><td>
nRES_I <= '0';
@ -712,8 +712,8 @@ FDCPE_nRESout: FDCPE port map (nRESout,nRESout_D,FCLK,'0','0');
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;nRESout_D <= (cnt/INITS_FSM_FFd1 AND NOT cnt/INITS_FSM_FFd2);
</td></tr><tr><td>
</td></tr><tr><td>
nROMCS <= NOT (((NOT A_FSB(23) AND NOT A_FSB(21) AND NOT A_FSB(20) AND NOT cs/nOverlay)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND NOT A_FSB(20))));
nROMCS <= NOT (((NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND NOT A_FSB(20))
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND NOT A_FSB(21) AND NOT A_FSB(20) AND NOT cs/nOverlay)));
</td></tr><tr><td>
</td></tr><tr><td>
nROMWE <= NOT ((NOT nWE_FSB AND NOT nAS_FSB));
@ -741,13 +741,13 @@ FDCPE_ram/BACTr: FDCPE port map (ram/BACTr,ram/BACTr_D,FCLK,'0','0');
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;ram/BACTr_D <= (nAS_FSB AND NOT fsb/ASrf);
</td></tr><tr><td>
FDCPE_ram/RAMEN: FDCPE port map (ram/RAMEN,ram/RAMEN_D,FCLK,'0','0');
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;ram/RAMEN_D <= ((ram/RS_FSM_FFd3 AND ram/RAMEN)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2 AND ram/RAMEN)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd2 AND ram/RAMEN)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (nAS_FSB AND ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd2 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND NOT fsb/ASrf)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;ram/RAMEN_D <= ((ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3 AND ram/RAMEN)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd3 AND ram/RAMEN)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (nAS_FSB AND ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd1 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND NOT fsb/ASrf)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND NOT fsb/ASrf)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd1 AND ram/RAMEN)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT ram/RS_FSM_FFd1 AND NOT ram/RefUrg AND ram/RAMEN AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; ram/BACTr)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT ram/RS_FSM_FFd1 AND NOT ram/RefUrg AND ram/RAMEN AND
@ -758,24 +758,24 @@ FDCPE_ram/RAMEN: FDCPE port map (ram/RAMEN,ram/RAMEN_D,FCLK,'0','0');
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT ram/RS_FSM_FFd1 AND ram/RAMEN AND fsb/ASrf));
</td></tr><tr><td>
FDCPE_ram/RAMReady: FDCPE port map (ram/RAMReady,ram/RAMReady_D,FCLK,'0','0');
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;ram/RAMReady_D <= ((A_FSB(23) AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND NOT ram/RefReq)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(22) AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;ram/RAMReady_D <= ((A_FSB(23) AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND ram/BACTr)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(22) AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(23) AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND NOT ram/RefReq)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(23) AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(22) AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND ram/BACTr)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd2 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT cs/nOverlay AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND ram/BACTr)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT cs/nOverlay AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(22) AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND NOT ram/RefReq)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT cs/nOverlay AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND ram/BACTr)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd1 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; ram/RS_FSM_FFd3 AND NOT ram/RefUrg)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT cs/nOverlay AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND NOT ram/RefReq)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND NOT fsb/ASrf)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT ram/RAMEN));
</td></tr><tr><td>
FDCPE_ram/RASEL: FDCPE port map (ram/RASEL,ram/RASEL_D,FCLK,'0','0');
@ -797,69 +797,59 @@ FDCPE_ram/RASEL: FDCPE port map (ram/RASEL,ram/RASEL_D,FCLK,'0','0');
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT ram/RefUrg AND ram/BACTr)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT cs/nOverlay AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT ram/RefUrg AND NOT ram/RefReq)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT nAS_FSB AND ram/RS_FSM_FFd1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd3)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (ram/RS_FSM_FFd1 AND NOT ram/RefUrg)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (ram/RS_FSM_FFd1 AND fsb/ASrf));
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT nAS_FSB AND ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd3)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; ram/RS_FSM_FFd3)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd3 AND NOT ram/RefUrg)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd3 AND fsb/ASrf));
</td></tr><tr><td>
FDCPE_ram/RS_FSM_FFd1: FDCPE port map (ram/RS_FSM_FFd1,ram/RS_FSM_FFd1_D,FCLK,'0','0');
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;ram/RS_FSM_FFd1_D <= ((ram/RS_FSM_FFd3)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2));
FTCPE_ram/RS_FSM_FFd1: FTCPE port map (ram/RS_FSM_FFd1,ram/RS_FSM_FFd1_T,FCLK,'0','0');
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;ram/RS_FSM_FFd1_T <= ((ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd3)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND NOT nAS_FSB AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND ram/RAMEN)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND ram/RAMEN AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; fsb/ASrf));
</td></tr><tr><td>
FDCPE_ram/RS_FSM_FFd2: FDCPE port map (ram/RS_FSM_FFd2,ram/RS_FSM_FFd2_D,FCLK,'0','0');
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;ram/RS_FSM_FFd2_D <= ((ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd2 AND ram/RefUrg AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; fsb/ASrf)
FTCPE_ram/RS_FSM_FFd2: FTCPE port map (ram/RS_FSM_FFd2,ram/RS_FSM_FFd2_T,FCLK,'0','0');
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;ram/RS_FSM_FFd2_T <= ((ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (nAS_FSB AND ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd1 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; ram/RefUrg AND NOT fsb/ASrf)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; ram/BACTr)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT ram/RefReq)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT ram/RefUrg AND NOT fsb/ASrf)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND NOT nAS_FSB AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3 AND ram/RefUrg)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND NOT nAS_FSB AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3 AND ram/RAMEN)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3 AND ram/RefUrg AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; fsb/ASrf)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3 AND ram/RAMEN AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; fsb/ASrf)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd3)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd3)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT nAS_FSB AND ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd2 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; ram/RefUrg));
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND fsb/ASrf));
</td></tr><tr><td>
FTCPE_ram/RS_FSM_FFd3: FTCPE port map (ram/RS_FSM_FFd3,ram/RS_FSM_FFd3_T,FCLK,'0','0');
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;ram/RS_FSM_FFd3_T <= ((A_FSB(23) AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND ram/BACTr)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(22) AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND ram/BACTr)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(22) AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND NOT ram/RefReq)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT cs/nOverlay AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND NOT ram/RefReq)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(23) AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND NOT ram/RefReq)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND NOT fsb/ASrf)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND NOT nAS_FSB AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3 AND NOT ram/RAMEN)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3 AND NOT ram/RAMEN AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; fsb/ASrf)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd2 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; ram/RS_FSM_FFd3)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT nAS_FSB AND ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd2 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;ram/RS_FSM_FFd3_T <= ((nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT ram/RS_FSM_FFd3 AND NOT fsb/ASrf)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND NOT ram/RAMEN)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(23) AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT ram/RS_FSM_FFd3)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd2 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd2 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT ram/RS_FSM_FFd3 AND fsb/ASrf)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT cs/nOverlay AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND ram/BACTr));
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(22) AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT ram/RS_FSM_FFd3)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT cs/nOverlay AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT ram/RS_FSM_FFd3)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT nAS_FSB AND ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd1 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; ram/RS_FSM_FFd3 AND ram/RefUrg)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd1 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; ram/RS_FSM_FFd3 AND ram/RefUrg AND fsb/ASrf));
</td></tr><tr><td>
FDCPE_ram/RefDone: FDCPE port map (ram/RefDone,ram/RefDone_D,FCLK,'0','0');
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;ram/RefDone_D <= ((ram/RefDone AND ram/RefReqSync)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT ram/RS_FSM_FFd3 AND ram/RefReqSync)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; ram/RS_FSM_FFd3 AND ram/RefReqSync));
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; ram/RefReqSync));
</td></tr><tr><td>
FDCPE_ram/RefRAS: FDCPE port map (ram/RefRAS,ram/RefRAS_D,FCLK,'0','0');
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;ram/RefRAS_D <= (ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1);
</td></tr><tr><td>
FDCPE_ram/RefReq: FDCPE port map (ram/RefReq,ram/RefReq_D,FCLK,'0','0');
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;ram/RefReq_D <= (NOT ram/RefDone AND ram/RefReqSync);

File diff suppressed because it is too large Load Diff

View File

@ -16,15 +16,15 @@
<tr>
<td align="center"><a href="javascript:showFBDetail('FB1');" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB1</a></td>
<td align="center">18 / 18</td>
<td align="center">21 / 54</td>
<td align="center">25 / 90</td>
<td align="center">22 / 54</td>
<td align="center">24 / 90</td>
<td align="center">10 / 11</td>
</tr>
<tr>
<td align="center"><a href="javascript:showFBDetail('FB2');" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB2</a></td>
<td align="center">6 / 18</td>
<td align="center">4 / 54</td>
<td align="center">6 / 90</td>
<td align="center">5 / 18</td>
<td align="center">3 / 54</td>
<td align="center">5 / 90</td>
<td align="center">8 / 10</td>
</tr>
<tr>
@ -37,15 +37,15 @@
<tr>
<td align="center"><a href="javascript:showFBDetail('FB4');" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB4</a></td>
<td align="center">18 / 18</td>
<td align="center">31 / 54</td>
<td align="center">34 / 90</td>
<td align="center">25 / 54</td>
<td align="center">39 / 90</td>
<td align="center">10 / 10</td>
</tr>
<tr>
<td align="center"><a href="javascript:showFBDetail('FB5');" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB5</a></td>
<td align="center">14 / 18</td>
<td align="center">34 / 54</td>
<td align="center">69 / 90</td>
<td align="center">17 / 18</td>
<td align="center">30 / 54</td>
<td align="center">60 / 90</td>
<td align="center">8 / 10</td>
</tr>
<tr>
@ -64,9 +64,9 @@
</tr>
<tr>
<td align="center"><a href="javascript:showFBDetail('FB8');" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB8</a></td>
<td align="center">17 / 18</td>
<td align="center">16 / 18</td>
<td align="center">35 / 54</td>
<td align="center">71 / 90</td>
<td align="center">73 / 90</td>
<td align="center">6 / 10</td>
</tr>
</table></span><form><span class="pgRef"><table width="90%" align="center"><tr>

View File

@ -27,7 +27,7 @@
<th width="10%">Pin Use</th>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('ramRefUrg_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RefUrg</a></td>
<td align="center" width="10%"><a href="Javascript:showEqn('ramRegUrgSync_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RegUrgSync</a></td>
<td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB1_1_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_1</a>
</td>
@ -38,7 +38,7 @@
<td align="center" width="10%">(b)</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('ramRefReqSync_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RefReqSync</a></td>
<td align="center" width="10%"><a href="Javascript:showEqn('ramRefUrg_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RefUrg</a></td>
<td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB1_2_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_1</a>
</td>
@ -49,7 +49,7 @@
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB&lt;13&gt;'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('ramRefReq_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RefReq</a></td>
<td align="center" width="10%"><a href="Javascript:showEqn('ramRefReqSync_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RefReqSync</a></td>
<td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB1_3_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_1</a>
</td>
@ -71,7 +71,7 @@
<td align="center" width="10%">(b)</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('iobmEr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/Er</a></td>
<td align="center" width="10%"><a href="Javascript:showEqn('iobmEr2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/Er2</a></td>
<td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB1_5_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">5_1</a>
</td>
@ -82,7 +82,7 @@
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB&lt;15&gt;'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('iobmDTACKrr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/DTACKrr</a></td>
<td align="center" width="10%"><a href="Javascript:showEqn('iobmEr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/Er</a></td>
<td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB1_6_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">6_1</a>
</td>
@ -93,7 +93,7 @@
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB&lt;16&gt;'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('iobmDTACKrf_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/DTACKrf</a></td>
<td align="center" width="10%"><a href="Javascript:showEqn('iobmDTACKrr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/DTACKrr</a></td>
<td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB1_7_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_1</a>
</td>
@ -104,7 +104,7 @@
<td align="center" width="10%">(b)</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('iobmBERRrr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/BERRrr</a></td>
<td align="center" width="10%"><a href="Javascript:showEqn('iobmDTACKrf_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/DTACKrf</a></td>
<td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB1_8_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_1</a>
</td>
@ -115,7 +115,7 @@
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB&lt;17&gt;'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('iobmBERRrf_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/BERRrf</a></td>
<td align="center" width="10%"><a href="Javascript:showEqn('iobmBERRrr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/BERRrr</a></td>
<td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB1_9_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_1</a>
</td>
@ -126,7 +126,7 @@
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB&lt;18&gt;'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('cntnIPL2r_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/nIPL2r</a></td>
<td align="center" width="10%"><a href="Javascript:showEqn('iobmBERRrf_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/BERRrf</a></td>
<td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB1_10_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_1</a>
</td>
@ -137,7 +137,7 @@
<td align="center" width="10%">(b)</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('cntEr0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/Er&lt;0&gt;</a></td>
<td align="center" width="10%"><a href="Javascript:showEqn('fsbASrf_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">fsb/ASrf</a></td>
<td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB1_11_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">11_1</a>
</td>
@ -148,7 +148,7 @@
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB&lt;19&gt;'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('ALE0S')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ALE0S</a></td>
<td align="center" width="10%"><a href="Javascript:showEqn('cntnIPL2r_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/nIPL2r</a></td>
<td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB1_12_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_1</a>
</td>
@ -159,9 +159,9 @@
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB&lt;20&gt;'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('ramRS_FSM_FFd1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RS_FSM_FFd1</a></td>
<td align="center" width="10%">2</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB1_13_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_1</a> <a href="Javascript:showPT('FB1_13_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_2</a>
<td align="center" width="10%"><a href="Javascript:showEqn('cntEr0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/Er&lt;0&gt;</a></td>
<td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB1_13_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_1</a>
</td>
<td align="center" width="10%">MC13</td>
<td align="center" width="10%">STD</td>
@ -214,7 +214,7 @@
<td align="center" width="10%">GCK</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('ramRefDone_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RefDone</a></td>
<td align="center" width="10%"><a href="Javascript:showEqn('IOU0')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">IOU0</a></td>
<td align="center" width="10%">3</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB1_18_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_1</a> <a href="Javascript:showPT('FB1_18_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_2</a> <a href="Javascript:showPT('FB1_18_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_3</a>
</td>
@ -230,23 +230,24 @@
<li>E</li>
<li><a href="Javascript:showEqn('IOACT')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">IOACT</a></li>
<li><a href="Javascript:showEqn('RefReq')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">RefReq</a></li>
<li><a href="Javascript:showEqn('RefUrg')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">RefUrg</a></li>
<li><a href="Javascript:showEqn('iobmEr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/Er</a></li>
<li><a href="Javascript:showEqn('iobmIOREQr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOREQr</a></li>
<li><a href="Javascript:showEqn('iobmIOS_FSM_FFd1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOS_FSM_FFd1</a></li>
<li><a href="Javascript:showEqn('iobmIOS_FSM_FFd2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOS_FSM_FFd2</a></li>
<li><a href="Javascript:showEqn('iobmIOS_FSM_FFd3_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOS_FSM_FFd3</a></li>
<li><a href="Javascript:showEqn('iobsIOU1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/IOU1</a></li>
<li><a href="Javascript:showEqn('iobsLoad1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/Load1</a></li>
<li><a href="Javascript:showEqn('iobsPS_FSM_FFd1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/PS_FSM_FFd1</a></li>
<li><a href="Javascript:showEqn('iobsPS_FSM_FFd2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/PS_FSM_FFd2</a></li>
<li><a href="Javascript:showEqn('nADoutLE1')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nADoutLE1</a></li>
<li>nAS_FSB</li>
<li>nBERR_IOB</li>
<li>nDTACK_IOB</li>
<li>nIPL2</li>
<li>nLDS_FSB</li>
<li>nUDS_FSB</li>
<li><a href="Javascript:showEqn('ramRS_FSM_FFd1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RS_FSM_FFd1</a></li>
<li><a href="Javascript:showEqn('ramRS_FSM_FFd2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RS_FSM_FFd2</a></li>
<li><a href="Javascript:showEqn('ramRS_FSM_FFd3_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RS_FSM_FFd3</a></li>
<li><a href="Javascript:showEqn('ramRefDone_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RefDone</a></li>
<li><a href="Javascript:showEqn('ramRefReqSync_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RefReqSync</a></li>
<li><a href="Javascript:showEqn('ramRegUrgSync_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RegUrgSync</a></li>
</ol></span><form><span class="pgRef"><table width="90%" align="center"><tr>
<td align="left"><input type="button" onclick="javascript:parent.leftnav.showTop()" onmouseover="window.status='goto top of page'; return true;" onmouseout="window.status=''" value="back to top"></td>

View File

@ -147,18 +147,17 @@
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB&lt;9&gt;'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('iobmVPArr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/VPArr</a></td>
<td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB2_13_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_1</a>
</td>
<td align="center" width="10%">(unused)</td>
<td align="center" width="10%">0</td>
<td align="center" width="30%"> </td>
<td align="center" width="10%">MC13</td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">(b)</td>
<td align="center" width="10%">(b)</td>
<td align="center" width="10%"> </td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('iobmVPArf_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/VPArf</a></td>
<td align="center" width="10%"><a href="Javascript:showEqn('iobmVPArr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/VPArr</a></td>
<td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB2_14_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_1</a>
</td>
@ -169,7 +168,7 @@
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB&lt;10&gt;'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('iobmRESrr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/RESrr</a></td>
<td align="center" width="10%"><a href="Javascript:showEqn('iobmVPArf_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/VPArf</a></td>
<td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB2_15_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_1</a>
</td>
@ -180,7 +179,7 @@
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB&lt;11&gt;'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('iobmRESrf_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/RESrf</a></td>
<td align="center" width="10%"><a href="Javascript:showEqn('iobmRESrr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/RESrr</a></td>
<td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB2_16_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_1</a>
</td>
@ -191,7 +190,7 @@
<td align="center" width="10%">(b)</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('iobmIOREQr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOREQr</a></td>
<td align="center" width="10%"><a href="Javascript:showEqn('iobmRESrf_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/RESrf</a></td>
<td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB2_17_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_1</a>
</td>
@ -202,7 +201,7 @@
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB&lt;12&gt;'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('iobmEr2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/Er2</a></td>
<td align="center" width="10%"><a href="Javascript:showEqn('iobmIOREQr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOREQr</a></td>
<td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB2_18_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_1</a>
</td>
@ -217,7 +216,6 @@
<br><span id="fbsiguse" class="pgRef"><b>Signals Used By Logic in Function Block</b><br><ol>
<li><a href="Javascript:showEqn('IOREQ')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">IOREQ</a></li>
<li>nRES.PIN</li>
<li><a href="Javascript:showEqn('iobmEr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/Er</a></li>
<li>nVPA_IOB</li>
</ol></span><form><span class="pgRef"><table width="90%" align="center"><tr>
<td align="left"><input type="button" onclick="javascript:parent.leftnav.showTop()" onmouseover="window.status='goto top of page'; return true;" onmouseout="window.status=''" value="back to top"></td>

View File

@ -27,9 +27,9 @@
<th width="10%">Pin Use</th>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('ramRegUrgSync_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RegUrgSync</a></td>
<td align="center" width="10%"><a href="Javascript:showEqn('cntLTimer0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;0&gt;</a></td>
<td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB4_1_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_1</a>
<td align="center" width="30%"> <a href="Javascript:showPT('FB4_1_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_1</a> <a href="Javascript:showPT('VCC')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''"></a>
</td>
<td align="center" width="10%">MC1</td>
<td align="center" width="10%">STD</td>
@ -49,7 +49,7 @@
<td align="center" width="10%">O</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('ramBACTr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/BACTr</a></td>
<td align="center" width="10%"><a href="Javascript:showEqn('cntINITS_FSM_FFd1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/INITS_FSM_FFd1</a></td>
<td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB4_3_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_1</a>
</td>
@ -60,7 +60,7 @@
<td align="center" width="10%">(b)</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('nRESout')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nRESout</a></td>
<td align="center" width="10%"><a href="Javascript:showEqn('cntEr1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/Er&lt;1&gt;</a></td>
<td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB4_4_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">4_1</a>
</td>
@ -93,9 +93,9 @@
<td align="center" width="10%">O</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('fsbASrf_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">fsb/ASrf</a></td>
<td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB4_7_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_1</a>
<td align="center" width="10%"><a href="Javascript:showEqn('cntTimerTC_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/TimerTC</a></td>
<td align="center" width="10%">2</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB4_7_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_1</a> <a href="Javascript:showPT('FB4_7_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_2</a>
</td>
<td align="center" width="10%">MC7</td>
<td align="center" width="10%">STD</td>
@ -115,9 +115,9 @@
<td align="center" width="10%">I/O</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('cntEr1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/Er&lt;1&gt;</a></td>
<td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB4_9_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_1</a>
<td align="center" width="10%"><a href="Javascript:showEqn('cntTimer0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/Timer&lt;0&gt;</a></td>
<td align="center" width="10%">2</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB4_9_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_1</a> <a href="Javascript:showPT('FB4_9_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_2</a>
</td>
<td align="center" width="10%">MC9</td>
<td align="center" width="10%">STD</td>
@ -126,7 +126,7 @@
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'nIPL2'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('cntTimerTC_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/TimerTC</a></td>
<td align="center" width="10%"><a href="Javascript:showEqn('cntLTimer3_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;3&gt;</a></td>
<td align="center" width="10%">2</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB4_10_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_1</a> <a href="Javascript:showPT('FB4_10_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_2</a>
</td>
@ -148,7 +148,7 @@
<td align="center" width="10%">O</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('cntLTimer3_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;3&gt;</a></td>
<td align="center" width="10%"><a href="Javascript:showEqn('cntLTimer2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;2&gt;</a></td>
<td align="center" width="10%">2</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB4_12_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_1</a> <a href="Javascript:showPT('FB4_12_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_2</a>
</td>
@ -159,7 +159,7 @@
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB&lt;1&gt;'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('cntLTimer2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;2&gt;</a></td>
<td align="center" width="10%"><a href="Javascript:showEqn('cntLTimer1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;1&gt;</a></td>
<td align="center" width="10%">2</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB4_13_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_1</a> <a href="Javascript:showPT('FB4_13_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_2</a>
</td>
@ -170,7 +170,7 @@
<td align="center" width="10%">(b)</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('cntLTimer1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;1&gt;</a></td>
<td align="center" width="10%"><a href="Javascript:showEqn('cntINITS_FSM_FFd2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/INITS_FSM_FFd2</a></td>
<td align="center" width="10%">2</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB4_14_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_1</a> <a href="Javascript:showPT('FB4_14_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_2</a>
</td>
@ -192,9 +192,9 @@
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB&lt;3&gt;'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('IOU0')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">IOU0</a></td>
<td align="center" width="10%">3</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB4_16_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_1</a> <a href="Javascript:showPT('FB4_16_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_2</a> <a href="Javascript:showPT('FB4_16_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_3</a>
<td align="center" width="10%"><a href="Javascript:showEqn('cntTimer1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/Timer&lt;1&gt;</a></td>
<td align="center" width="10%">4</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB4_16_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_1</a> <a href="Javascript:showPT('FB4_16_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_2</a> <a href="Javascript:showPT('FB4_16_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_3</a> <a href="Javascript:showPT('FB4_16_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_4</a>
</td>
<td align="center" width="10%">MC16</td>
<td align="center" width="10%">STD</td>
@ -203,9 +203,9 @@
<td align="center" width="10%">(b)</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('IOL0')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">IOL0</a></td>
<td align="center" width="10%">3</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB4_17_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_1</a> <a href="Javascript:showPT('FB4_17_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_2</a> <a href="Javascript:showPT('FB4_17_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_3</a>
<td align="center" width="10%"><a href="Javascript:showEqn('cntTimer2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/Timer&lt;2&gt;</a></td>
<td align="center" width="10%">5</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB4_17_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_1</a> <a href="Javascript:showPT('FB4_17_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_2</a> <a href="Javascript:showPT('FB4_17_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_3</a> <a href="Javascript:showPT('FB4_17_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_4</a> <a href="Javascript:showPT('FB4_17_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_5</a>
</td>
<td align="center" width="10%">MC17</td>
<td align="center" width="10%">STD</td>
@ -239,24 +239,18 @@
<li><a href="Javascript:showEqn('cntLTimer0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;0&gt;</a></li>
<li><a href="Javascript:showEqn('cntLTimer1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;1&gt;</a></li>
<li><a href="Javascript:showEqn('cntLTimer2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;2&gt;</a></li>
<li><a href="Javascript:showEqn('cntLTimerTC_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimerTC</a></li>
<li><a href="Javascript:showEqn('cntTimer0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/Timer&lt;0&gt;</a></li>
<li><a href="Javascript:showEqn('cntTimer1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/Timer&lt;1&gt;</a></li>
<li><a href="Javascript:showEqn('cntTimer2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/Timer&lt;2&gt;</a></li>
<li><a href="Javascript:showEqn('cntTimerTC_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/TimerTC</a></li>
<li><a href="Javascript:showEqn('fsbASrf_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">fsb/ASrf</a></li>
<li><a href="Javascript:showEqn('cntnIPL2r_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/nIPL2r</a></li>
<li><a href="Javascript:showEqn('fsbVPA_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">fsb/VPA</a></li>
<li><a href="Javascript:showEqn('iobmDoutOE_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/DoutOE</a></li>
<li><a href="Javascript:showEqn('iobsIOL1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/IOL1</a></li>
<li><a href="Javascript:showEqn('iobsIOU1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/IOU1</a></li>
<li><a href="Javascript:showEqn('iobsPS_FSM_FFd1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/PS_FSM_FFd1</a></li>
<li><a href="Javascript:showEqn('iobsPS_FSM_FFd2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/PS_FSM_FFd2</a></li>
<li><a href="Javascript:showEqn('nADoutLE1')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nADoutLE1</a></li>
<li>nAS_FSB</li>
<li><a href="Javascript:showEqn('nAoutOE')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nAoutOE</a></li>
<li><a href="Javascript:showEqn('nBR_IOB')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nBR_IOB</a></li>
<li>nLDS_FSB</li>
<li><a href="Javascript:showEqn('nRESout')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nRESout</a></li>
<li>nUDS_FSB</li>
<li>nWE_FSB</li>
</ol></span><form><span class="pgRef"><table width="90%" align="center"><tr>
<td align="left"><input type="button" onclick="javascript:parent.leftnav.showTop()" onmouseover="window.status='goto top of page'; return true;" onmouseout="window.status=''" value="back to top"></td>

View File

@ -39,7 +39,7 @@
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('nROMCS')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nROMCS</a></td>
<td align="center" width="10%">2</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB5_1_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_1</a> <a href="Javascript:showPT('FB5_2_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_1</a>
<td align="center" width="30%"> <a href="Javascript:showPT('FB5_2_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_1</a> <a href="Javascript:showPT('FB5_2_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_2</a>
</td>
<td align="center" width="10%">MC2</td>
<td align="center" width="10%">STD</td>
@ -48,9 +48,9 @@
<td align="center" width="10%">O</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('iobsLoad1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/Load1</a></td>
<td align="center" width="10%">14</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB5_2_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_2</a> <a href="Javascript:showPT('FB5_2_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_3</a> <a href="Javascript:showPT('FB5_2_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_4</a> <a href="Javascript:showPT('FB5_2_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_5</a> <a href="Javascript:showPT('FB5_3_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_1</a> <a href="Javascript:showPT('FB5_3_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_2</a> <a href="Javascript:showPT('FB5_3_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_3</a> <a href="Javascript:showPT('FB5_3_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_4</a> <a href="Javascript:showPT('FB5_3_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_5</a> <a href="Javascript:showPT('FB5_4_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">4_1</a> <a href="Javascript:showPT('FB5_4_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">4_2</a> <a href="Javascript:showPT('FB5_4_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">4_3</a> <a href="Javascript:showPT('FB5_4_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">4_4</a> <a href="Javascript:showPT('FB5_4_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">4_5</a>
<td align="center" width="10%"><a href="Javascript:showEqn('ramRAMEN_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RAMEN</a></td>
<td align="center" width="10%">9</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB5_2_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_3</a> <a href="Javascript:showPT('FB5_2_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_4</a> <a href="Javascript:showPT('FB5_2_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_5</a> <a href="Javascript:showPT('FB5_3_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_1</a> <a href="Javascript:showPT('FB5_3_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_2</a> <a href="Javascript:showPT('FB5_3_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_3</a> <a href="Javascript:showPT('FB5_3_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_4</a> <a href="Javascript:showPT('FB5_3_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_5</a> <a href="Javascript:showPT('FB5_4_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">4_3</a>
</td>
<td align="center" width="10%">MC3</td>
<td align="center" width="10%">STD</td>
@ -59,11 +59,12 @@
<td align="center" width="10%">(b)</td>
</tr>
<tr>
<td align="center" width="10%">(unused)</td>
<td align="center" width="10%">0</td>
<td align="center" width="30%"> </td>
<td align="center" width="10%"><a href="Javascript:showEqn('ramRAMReady_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RAMReady</a></td>
<td align="center" width="10%">9</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB5_4_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">4_1</a> <a href="Javascript:showPT('FB5_4_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">4_2</a> <a href="Javascript:showPT('FB5_4_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">4_3</a> <a href="Javascript:showPT('FB5_4_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">4_4</a> <a href="Javascript:showPT('FB5_5_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">5_1</a> <a href="Javascript:showPT('FB5_5_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">5_2</a> <a href="Javascript:showPT('FB5_5_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">5_3</a> <a href="Javascript:showPT('FB5_5_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">5_4</a> <a href="Javascript:showPT('FB5_5_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">5_5</a>
</td>
<td align="center" width="10%">MC4</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">(b)</td>
<td align="center" width="10%">(b)</td>
@ -71,7 +72,7 @@
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('nCAS')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nCAS</a></td>
<td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB5_5_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">5_1</a>
<td align="center" width="30%"> <a href="Javascript:showPT('FB5_6_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">6_2</a>
</td>
<td align="center" width="10%">MC5</td>
<td align="center" width="10%">STD</td>
@ -82,7 +83,7 @@
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('nOE')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nOE</a></td>
<td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB5_5_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">5_2</a>
<td align="center" width="30%"> <a href="Javascript:showPT('FB5_6_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">6_1</a>
</td>
<td align="center" width="10%">MC6</td>
<td align="center" width="10%">STD</td>
@ -91,9 +92,9 @@
<td align="center" width="10%">O</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('iobsPS_FSM_FFd2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/PS_FSM_FFd2</a></td>
<td align="center" width="10%">12</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB5_6_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">6_1</a> <a href="Javascript:showPT('FB5_6_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">6_2</a> <a href="Javascript:showPT('FB5_6_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">6_3</a> <a href="Javascript:showPT('FB5_6_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">6_4</a> <a href="Javascript:showPT('FB5_6_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">6_5</a> <a href="Javascript:showPT('FB5_7_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_1</a> <a href="Javascript:showPT('FB5_7_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_2</a> <a href="Javascript:showPT('FB5_7_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_3</a> <a href="Javascript:showPT('FB5_7_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_4</a> <a href="Javascript:showPT('FB5_7_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_5</a> <a href="Javascript:showPT('FB5_8_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_4</a> <a href="Javascript:showPT('FB5_8_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_5</a>
<td align="center" width="10%"><a href="Javascript:showEqn('ramRS_FSM_FFd2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RS_FSM_FFd2</a></td>
<td align="center" width="10%">7</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB5_7_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_1</a> <a href="Javascript:showPT('FB5_7_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_2</a> <a href="Javascript:showPT('FB5_7_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_3</a> <a href="Javascript:showPT('FB5_7_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_4</a> <a href="Javascript:showPT('FB5_7_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_5</a> <a href="Javascript:showPT('FB5_8_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_3</a> <a href="Javascript:showPT('FB5_8_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_4</a>
</td>
<td align="center" width="10%">MC7</td>
<td align="center" width="10%">STD</td>
@ -102,9 +103,9 @@
<td align="center" width="10%">(b)</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('ramRS_FSM_FFd2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RS_FSM_FFd2</a></td>
<td align="center" width="10%">8</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB5_8_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_1</a> <a href="Javascript:showPT('FB5_8_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_2</a> <a href="Javascript:showPT('FB5_8_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_3</a> <a href="Javascript:showPT('FB5_9_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_1</a> <a href="Javascript:showPT('FB5_9_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_2</a> <a href="Javascript:showPT('FB5_9_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_3</a> <a href="Javascript:showPT('FB5_9_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_4</a> <a href="Javascript:showPT('FB5_9_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_5</a>
<td align="center" width="10%"><a href="Javascript:showEqn('fsbReady0r_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">fsb/Ready0r</a></td>
<td align="center" width="10%">2</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB5_8_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_1</a> <a href="Javascript:showPT('FB5_8_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_2</a>
</td>
<td align="center" width="10%">MC8</td>
<td align="center" width="10%">STD</td>
@ -115,7 +116,7 @@
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('RA4_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">RA&lt;4&gt;</a></td>
<td align="center" width="10%">2</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB5_10_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_3</a> <a href="Javascript:showPT('FB5_10_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_4</a>
<td align="center" width="30%"> <a href="Javascript:showPT('FB5_9_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_1</a> <a href="Javascript:showPT('FB5_9_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_2</a>
</td>
<td align="center" width="10%">MC9</td>
<td align="center" width="10%">STD</td>
@ -124,7 +125,7 @@
<td align="center" width="10%">O</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('iobsPS_FSM_FFd1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/PS_FSM_FFd1</a></td>
<td align="center" width="10%"><a href="Javascript:showEqn('ramRefDone_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RefDone</a></td>
<td align="center" width="10%">2</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB5_10_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_1</a> <a href="Javascript:showPT('FB5_10_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_2</a>
</td>
@ -157,14 +158,15 @@
<td align="center" width="10%">O</td>
</tr>
<tr>
<td align="center" width="10%">(unused)</td>
<td align="center" width="10%">0</td>
<td align="center" width="30%"> </td>
<td align="center" width="10%"><a href="Javascript:showEqn('ramBACTr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/BACTr</a></td>
<td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB5_13_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_1</a>
</td>
<td align="center" width="10%">MC13</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">(b)</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">(b)</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('RA2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">RA&lt;2&gt;</a></td>
@ -180,7 +182,7 @@
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('RA6_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">RA&lt;6&gt;</a></td>
<td align="center" width="10%">2</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB5_14_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_3</a> <a href="Javascript:showPT('FB5_15_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_1</a>
<td align="center" width="30%"> <a href="Javascript:showPT('FB5_15_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_1</a> <a href="Javascript:showPT('FB5_15_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_2</a>
</td>
<td align="center" width="10%">MC15</td>
<td align="center" width="10%">STD</td>
@ -189,9 +191,9 @@
<td align="center" width="10%">O</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('iobsIOReady_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/IOReady</a></td>
<td align="center" width="10%">4</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB5_15_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_2</a> <a href="Javascript:showPT('FB5_15_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_3</a> <a href="Javascript:showPT('FB5_15_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_4</a> <a href="Javascript:showPT('FB5_15_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_5</a>
<td align="center" width="10%"><a href="Javascript:showEqn('ramRefRAS_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RefRAS</a></td>
<td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB5_16_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_1</a>
</td>
<td align="center" width="10%">MC16</td>
<td align="center" width="10%">STD</td>
@ -200,9 +202,9 @@
<td align="center" width="10%">(b)</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('iobsOnce_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/Once</a></td>
<td align="center" width="10%">15</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB5_16_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_1</a> <a href="Javascript:showPT('FB5_16_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_2</a> <a href="Javascript:showPT('FB5_16_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_3</a> <a href="Javascript:showPT('FB5_16_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_4</a> <a href="Javascript:showPT('FB5_16_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_5</a> <a href="Javascript:showPT('FB5_17_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_1</a> <a href="Javascript:showPT('FB5_17_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_2</a> <a href="Javascript:showPT('FB5_17_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_3</a> <a href="Javascript:showPT('FB5_17_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_4</a> <a href="Javascript:showPT('FB5_17_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_5</a> <a href="Javascript:showPT('FB5_18_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_1</a> <a href="Javascript:showPT('FB5_18_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_2</a> <a href="Javascript:showPT('FB5_18_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_3</a> <a href="Javascript:showPT('FB5_18_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_4</a> <a href="Javascript:showPT('FB5_18_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_5</a>
<td align="center" width="10%"><a href="Javascript:showEqn('ramRefReq_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RefReq</a></td>
<td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB5_17_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_1</a>
</td>
<td align="center" width="10%">MC17</td>
<td align="center" width="10%">STD</td>
@ -211,11 +213,12 @@
<td align="center" width="10%">(b)</td>
</tr>
<tr>
<td align="center" width="10%">(unused)</td>
<td align="center" width="10%">0</td>
<td align="center" width="30%"> </td>
<td align="center" width="10%"><a href="Javascript:showEqn('ramRASEL_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RASEL</a></td>
<td align="center" width="10%">14</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB5_17_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_2</a> <a href="Javascript:showPT('FB5_17_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_3</a> <a href="Javascript:showPT('FB5_17_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_4</a> <a href="Javascript:showPT('FB5_17_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_5</a> <a href="Javascript:showPT('FB5_18_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_1</a> <a href="Javascript:showPT('FB5_18_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_2</a> <a href="Javascript:showPT('FB5_18_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_3</a> <a href="Javascript:showPT('FB5_18_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_4</a> <a href="Javascript:showPT('FB5_18_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_5</a> <a href="Javascript:showPT('FB5_1_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_1</a> <a href="Javascript:showPT('FB5_1_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_2</a> <a href="Javascript:showPT('FB5_1_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_3</a> <a href="Javascript:showPT('FB5_1_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_4</a> <a href="Javascript:showPT('FB5_1_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_5</a>
</td>
<td align="center" width="10%">MC18</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">(b)</td>
<td align="center" width="10%">(b)</td>
@ -228,9 +231,6 @@
<li>A_FSB&lt;14&gt;</li>
<li>A_FSB&lt;15&gt;</li>
<li>A_FSB&lt;16&gt;</li>
<li>A_FSB&lt;17&gt;</li>
<li>A_FSB&lt;18&gt;</li>
<li>A_FSB&lt;19&gt;</li>
<li>A_FSB&lt;20&gt;</li>
<li>A_FSB&lt;21&gt;</li>
<li>A_FSB&lt;22&gt;</li>
@ -240,22 +240,21 @@
<li>A_FSB&lt;5&gt;</li>
<li>A_FSB&lt;6&gt;</li>
<li>A_FSB&lt;7&gt;</li>
<li><a href="Javascript:showEqn('IOBERR')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">IOBERR</a></li>
<li><a href="Javascript:showEqn('csnOverlay_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cs/nOverlay</a></li>
<li><a href="Javascript:showEqn('fsbASrf_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">fsb/ASrf</a></li>
<li><a href="Javascript:showEqn('iobsIOACTr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/IOACTr</a></li>
<li><a href="Javascript:showEqn('iobsIOReady_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/IOReady</a></li>
<li><a href="Javascript:showEqn('iobsOnce_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/Once</a></li>
<li><a href="Javascript:showEqn('iobsPS_FSM_FFd1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/PS_FSM_FFd1</a></li>
<li><a href="Javascript:showEqn('iobsPS_FSM_FFd2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/PS_FSM_FFd2</a></li>
<li><a href="Javascript:showEqn('nADoutLE1')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nADoutLE1</a></li>
<li><a href="Javascript:showEqn('fsbReady0r_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">fsb/Ready0r</a></li>
<li>nAS_FSB</li>
<li>nWE_FSB</li>
<li><a href="Javascript:showEqn('ramBACTr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/BACTr</a></li>
<li><a href="Javascript:showEqn('ramRAMEN_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RAMEN</a></li>
<li><a href="Javascript:showEqn('ramRAMReady_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RAMReady</a></li>
<li><a href="Javascript:showEqn('ramRASEL_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RASEL</a></li>
<li><a href="Javascript:showEqn('ramRS_FSM_FFd1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RS_FSM_FFd1</a></li>
<li><a href="Javascript:showEqn('ramRS_FSM_FFd2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RS_FSM_FFd2</a></li>
<li><a href="Javascript:showEqn('ramRS_FSM_FFd3_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RS_FSM_FFd3</a></li>
<li><a href="Javascript:showEqn('ramRefDone_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RefDone</a></li>
<li><a href="Javascript:showEqn('ramRefReq_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RefReq</a></li>
<li><a href="Javascript:showEqn('ramRefReqSync_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RefReqSync</a></li>
<li><a href="Javascript:showEqn('ramRefUrg_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RefUrg</a></li>
</ol></span><form><span class="pgRef"><table width="90%" align="center"><tr>
<td align="left"><input type="button" onclick="javascript:parent.leftnav.showTop()" onmouseover="window.status='goto top of page'; return true;" onmouseout="window.status=''" value="back to top"></td>

View File

@ -27,12 +27,11 @@
<th width="10%">Pin Use</th>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('ramRASEL_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RASEL</a></td>
<td align="center" width="10%">14</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB8_18_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_2</a> <a href="Javascript:showPT('FB8_18_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_3</a> <a href="Javascript:showPT('FB8_18_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_4</a> <a href="Javascript:showPT('FB8_18_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_5</a> <a href="Javascript:showPT('FB8_1_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_1</a> <a href="Javascript:showPT('FB8_1_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_2</a> <a href="Javascript:showPT('FB8_1_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_3</a> <a href="Javascript:showPT('FB8_1_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_4</a> <a href="Javascript:showPT('FB8_1_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_5</a> <a href="Javascript:showPT('FB8_2_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_1</a> <a href="Javascript:showPT('FB8_2_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_2</a> <a href="Javascript:showPT('FB8_2_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_3</a> <a href="Javascript:showPT('FB8_2_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_4</a> <a href="Javascript:showPT('FB8_2_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_5</a>
</td>
<td align="center" width="10%">(unused)</td>
<td align="center" width="10%">0</td>
<td align="center" width="30%"> </td>
<td align="center" width="10%">MC1</td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">(b)</td>
<td align="center" width="10%">(b)</td>
@ -40,7 +39,7 @@
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('RA11_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">RA&lt;11&gt;</a></td>
<td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB8_3_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_5</a>
<td align="center" width="30%"> <a href="Javascript:showPT('FB8_1_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_1</a>
</td>
<td align="center" width="10%">MC2</td>
<td align="center" width="10%">STD</td>
@ -49,9 +48,9 @@
<td align="center" width="10%">O</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('cntTimer2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/Timer&lt;2&gt;</a></td>
<td align="center" width="10%">5</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB8_3_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_1</a> <a href="Javascript:showPT('FB8_3_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_2</a> <a href="Javascript:showPT('FB8_3_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_3</a> <a href="Javascript:showPT('FB8_3_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_4</a> <a href="Javascript:showPT('FB8_4_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">4_3</a>
<td align="center" width="10%"><a href="Javascript:showEqn('iobsLoad1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/Load1</a></td>
<td align="center" width="10%">14</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB8_2_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_1</a> <a href="Javascript:showPT('FB8_2_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_2</a> <a href="Javascript:showPT('FB8_2_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_3</a> <a href="Javascript:showPT('FB8_2_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_4</a> <a href="Javascript:showPT('FB8_2_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_5</a> <a href="Javascript:showPT('FB8_3_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_1</a> <a href="Javascript:showPT('FB8_3_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_2</a> <a href="Javascript:showPT('FB8_3_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_3</a> <a href="Javascript:showPT('FB8_3_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_4</a> <a href="Javascript:showPT('FB8_3_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_5</a> <a href="Javascript:showPT('FB8_4_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">4_2</a> <a href="Javascript:showPT('FB8_4_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">4_3</a> <a href="Javascript:showPT('FB8_4_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">4_4</a> <a href="Javascript:showPT('FB8_4_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">4_5</a>
</td>
<td align="center" width="10%">MC3</td>
<td align="center" width="10%">STD</td>
@ -60,9 +59,9 @@
<td align="center" width="10%">(b)</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('cntTimer0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/Timer&lt;0&gt;</a></td>
<td align="center" width="10%">2</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB8_4_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">4_1</a> <a href="Javascript:showPT('FB8_4_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">4_2</a>
<td align="center" width="10%"><a href="Javascript:showEqn('iobsIOReady_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/IOReady</a></td>
<td align="center" width="10%">4</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB8_4_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">4_1</a> <a href="Javascript:showPT('FB8_5_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">5_3</a> <a href="Javascript:showPT('FB8_5_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">5_4</a> <a href="Javascript:showPT('FB8_5_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">5_5</a>
</td>
<td align="center" width="10%">MC4</td>
<td align="center" width="10%">STD</td>
@ -72,8 +71,8 @@
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('nRAS')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nRAS</a></td>
<td align="center" width="10%">0</td>
<td align="center" width="30%"> <a href="Javascript:showPT('VCC')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''"></a>
<td align="center" width="10%">2</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB8_5_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">5_1</a> <a href="Javascript:showPT('FB8_5_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">5_2</a>
</td>
<td align="center" width="10%">MC5</td>
<td align="center" width="10%">STD</td>
@ -93,9 +92,9 @@
<td align="center" width="10%">O</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('cntINITS_FSM_FFd2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/INITS_FSM_FFd2</a></td>
<td align="center" width="10%">2</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB8_7_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_1</a> <a href="Javascript:showPT('FB8_7_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_2</a>
<td align="center" width="10%"><a href="Javascript:showEqn('iobsPS_FSM_FFd2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/PS_FSM_FFd2</a></td>
<td align="center" width="10%">12</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB8_6_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">6_2</a> <a href="Javascript:showPT('FB8_6_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">6_3</a> <a href="Javascript:showPT('FB8_6_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">6_4</a> <a href="Javascript:showPT('FB8_6_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">6_5</a> <a href="Javascript:showPT('FB8_7_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_1</a> <a href="Javascript:showPT('FB8_7_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_2</a> <a href="Javascript:showPT('FB8_7_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_3</a> <a href="Javascript:showPT('FB8_7_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_4</a> <a href="Javascript:showPT('FB8_7_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_5</a> <a href="Javascript:showPT('FB8_8_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_2</a> <a href="Javascript:showPT('FB8_8_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_3</a> <a href="Javascript:showPT('FB8_8_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_4</a>
</td>
<td align="center" width="10%">MC7</td>
<td align="center" width="10%">STD</td>
@ -115,9 +114,9 @@
<td align="center" width="10%">O</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('fsbReady0r_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">fsb/Ready0r</a></td>
<td align="center" width="10%">2</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB8_9_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_1</a> <a href="Javascript:showPT('FB8_9_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_2</a>
<td align="center" width="10%"><a href="Javascript:showEqn('ramRS_FSM_FFd3_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RS_FSM_FFd3</a></td>
<td align="center" width="10%">7</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB8_10_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_4</a> <a href="Javascript:showPT('FB8_10_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_5</a> <a href="Javascript:showPT('FB8_9_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_1</a> <a href="Javascript:showPT('FB8_9_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_2</a> <a href="Javascript:showPT('FB8_9_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_3</a> <a href="Javascript:showPT('FB8_9_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_4</a> <a href="Javascript:showPT('FB8_9_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_5</a>
</td>
<td align="center" width="10%">MC9</td>
<td align="center" width="10%">STD</td>
@ -126,9 +125,9 @@
<td align="center" width="10%">(b)</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('cntTimer1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/Timer&lt;1&gt;</a></td>
<td align="center" width="10%">4</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB8_10_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_1</a> <a href="Javascript:showPT('FB8_10_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_2</a> <a href="Javascript:showPT('FB8_9_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_3</a> <a href="Javascript:showPT('FB8_9_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_4</a>
<td align="center" width="10%"><a href="Javascript:showEqn('IOL0')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">IOL0</a></td>
<td align="center" width="10%">3</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB8_10_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_1</a> <a href="Javascript:showPT('FB8_10_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_2</a> <a href="Javascript:showPT('FB8_10_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_3</a>
</td>
<td align="center" width="10%">MC10</td>
<td align="center" width="10%">STD</td>
@ -137,9 +136,9 @@
<td align="center" width="10%">(b)</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('ramRAMReady_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RAMReady</a></td>
<td align="center" width="10%">9</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB8_10_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_3</a> <a href="Javascript:showPT('FB8_10_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_4</a> <a href="Javascript:showPT('FB8_10_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_5</a> <a href="Javascript:showPT('FB8_11_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">11_1</a> <a href="Javascript:showPT('FB8_11_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">11_2</a> <a href="Javascript:showPT('FB8_11_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">11_3</a> <a href="Javascript:showPT('FB8_11_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">11_4</a> <a href="Javascript:showPT('FB8_11_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">11_5</a> <a href="Javascript:showPT('FB8_12_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_5</a>
<td align="center" width="10%"><a href="Javascript:showEqn('ramRS_FSM_FFd1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RS_FSM_FFd1</a></td>
<td align="center" width="10%">3</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB8_11_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">11_1</a> <a href="Javascript:showPT('FB8_11_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">11_2</a> <a href="Javascript:showPT('FB8_11_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">11_3</a>
</td>
<td align="center" width="10%">MC11</td>
<td align="center" width="10%">STD</td>
@ -159,19 +158,20 @@
<td align="center" width="10%">O</td>
</tr>
<tr>
<td align="center" width="10%">(unused)</td>
<td align="center" width="10%">0</td>
<td align="center" width="30%"> </td>
<td align="center" width="10%"><a href="Javascript:showEqn('iobsPS_FSM_FFd1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/PS_FSM_FFd1</a></td>
<td align="center" width="10%">2</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB8_13_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_1</a> <a href="Javascript:showPT('FB8_13_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_2</a>
</td>
<td align="center" width="10%">MC13</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">(b)</td>
<td align="center" width="10%">(b)</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('ramRAMEN_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RAMEN</a></td>
<td align="center" width="10%">9</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB8_13_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_1</a> <a href="Javascript:showPT('FB8_13_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_2</a> <a href="Javascript:showPT('FB8_13_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_3</a> <a href="Javascript:showPT('FB8_13_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_4</a> <a href="Javascript:showPT('FB8_13_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_5</a> <a href="Javascript:showPT('FB8_14_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_1</a> <a href="Javascript:showPT('FB8_14_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_2</a> <a href="Javascript:showPT('FB8_14_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_3</a> <a href="Javascript:showPT('FB8_14_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_4</a>
<td align="center" width="10%"><a href="Javascript:showEqn('ALE0S')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ALE0S</a></td>
<td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB8_14_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_1</a>
</td>
<td align="center" width="10%">MC14</td>
<td align="center" width="10%">STD</td>
@ -182,7 +182,7 @@
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('nBR_IOB')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nBR_IOB</a></td>
<td align="center" width="10%">2</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB8_14_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_5</a> <a href="Javascript:showPT('FB8_15_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_1</a>
<td align="center" width="30%"> <a href="Javascript:showPT('FB8_15_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_1</a> <a href="Javascript:showPT('FB8_15_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_2</a>
</td>
<td align="center" width="10%">MC15</td>
<td align="center" width="10%">STD</td>
@ -191,9 +191,9 @@
<td align="center" width="10%">O</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('ramRS_FSM_FFd3_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RS_FSM_FFd3</a></td>
<td align="center" width="10%">13</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB8_15_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_2</a> <a href="Javascript:showPT('FB8_15_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_3</a> <a href="Javascript:showPT('FB8_15_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_4</a> <a href="Javascript:showPT('FB8_15_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_5</a> <a href="Javascript:showPT('FB8_16_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_1</a> <a href="Javascript:showPT('FB8_16_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_2</a> <a href="Javascript:showPT('FB8_16_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_3</a> <a href="Javascript:showPT('FB8_16_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_4</a> <a href="Javascript:showPT('FB8_16_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_5</a> <a href="Javascript:showPT('FB8_17_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_2</a> <a href="Javascript:showPT('FB8_17_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_3</a> <a href="Javascript:showPT('FB8_17_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_4</a> <a href="Javascript:showPT('FB8_17_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_5</a>
<td align="center" width="10%"><a href="Javascript:showEqn('nRESout')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nRESout</a></td>
<td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB8_15_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_3</a>
</td>
<td align="center" width="10%">MC16</td>
<td align="center" width="10%">STD</td>
@ -202,9 +202,9 @@
<td align="center" width="10%">(b)</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('cntINITS_FSM_FFd1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/INITS_FSM_FFd1</a></td>
<td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB8_17_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_1</a>
<td align="center" width="10%"><a href="Javascript:showEqn('iobsOnce_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/Once</a></td>
<td align="center" width="10%">15</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB8_16_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_1</a> <a href="Javascript:showPT('FB8_16_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_2</a> <a href="Javascript:showPT('FB8_16_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_3</a> <a href="Javascript:showPT('FB8_16_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_4</a> <a href="Javascript:showPT('FB8_16_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_5</a> <a href="Javascript:showPT('FB8_17_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_1</a> <a href="Javascript:showPT('FB8_17_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_2</a> <a href="Javascript:showPT('FB8_17_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_3</a> <a href="Javascript:showPT('FB8_17_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_4</a> <a href="Javascript:showPT('FB8_17_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_5</a> <a href="Javascript:showPT('FB8_18_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_1</a> <a href="Javascript:showPT('FB8_18_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_2</a> <a href="Javascript:showPT('FB8_18_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_3</a> <a href="Javascript:showPT('FB8_18_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_4</a> <a href="Javascript:showPT('FB8_18_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_5</a>
</td>
<td align="center" width="10%">MC17</td>
<td align="center" width="10%">STD</td>
@ -213,12 +213,11 @@
<td align="center" width="10%">(b)</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('cntLTimer0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;0&gt;</a></td>
<td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB8_18_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_1</a> <a href="Javascript:showPT('VCC')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''"></a>
</td>
<td align="center" width="10%">(unused)</td>
<td align="center" width="10%">0</td>
<td align="center" width="30%"> </td>
<td align="center" width="10%">MC18</td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">(b)</td>
<td align="center" width="10%">(b)</td>
@ -226,25 +225,27 @@
</table></span></div>
<div id="tipBox"></div>
<br><span id="fbsiguse" class="pgRef"><b>Signals Used By Logic in Function Block</b><br><ol>
<li>A_FSB&lt;13&gt;</li>
<li>A_FSB&lt;14&gt;</li>
<li>A_FSB&lt;16&gt;</li>
<li>A_FSB&lt;17&gt;</li>
<li>A_FSB&lt;18&gt;</li>
<li>A_FSB&lt;19&gt;</li>
<li>A_FSB&lt;20&gt;</li>
<li>A_FSB&lt;21&gt;</li>
<li>A_FSB&lt;22&gt;</li>
<li>A_FSB&lt;23&gt;</li>
<li><a href="Javascript:showEqn('IOBERR')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">IOBERR</a></li>
<li><a href="Javascript:showEqn('cntEr0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/Er&lt;0&gt;</a></li>
<li><a href="Javascript:showEqn('cntEr1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/Er&lt;1&gt;</a></li>
<li><a href="Javascript:showEqn('cntINITS_FSM_FFd1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/INITS_FSM_FFd1</a></li>
<li><a href="Javascript:showEqn('cntINITS_FSM_FFd2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/INITS_FSM_FFd2</a></li>
<li><a href="Javascript:showEqn('cntLTimerTC_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimerTC</a></li>
<li><a href="Javascript:showEqn('cntTimer0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/Timer&lt;0&gt;</a></li>
<li><a href="Javascript:showEqn('cntTimer1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/Timer&lt;1&gt;</a></li>
<li><a href="Javascript:showEqn('cntTimer2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/Timer&lt;2&gt;</a></li>
<li><a href="Javascript:showEqn('cntTimerTC_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/TimerTC</a></li>
<li><a href="Javascript:showEqn('cntnIPL2r_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/nIPL2r</a></li>
<li><a href="Javascript:showEqn('csnOverlay_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cs/nOverlay</a></li>
<li><a href="Javascript:showEqn('fsbASrf_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">fsb/ASrf</a></li>
<li><a href="Javascript:showEqn('fsbReady0r_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">fsb/Ready0r</a></li>
<li><a href="Javascript:showEqn('iobsIOACTr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/IOACTr</a></li>
<li><a href="Javascript:showEqn('iobsIOL1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/IOL1</a></li>
<li><a href="Javascript:showEqn('iobsIOReady_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/IOReady</a></li>
<li><a href="Javascript:showEqn('iobsOnce_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/Once</a></li>
<li><a href="Javascript:showEqn('iobsPS_FSM_FFd1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/PS_FSM_FFd1</a></li>
<li><a href="Javascript:showEqn('iobsPS_FSM_FFd2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/PS_FSM_FFd2</a></li>
<li><a href="Javascript:showEqn('nADoutLE1')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nADoutLE1</a></li>
<li>nAS_FSB</li>
@ -253,13 +254,11 @@
<li>nLDS_FSB</li>
<li>nUDS_FSB</li>
<li>nWE_FSB</li>
<li><a href="Javascript:showEqn('ramBACTr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/BACTr</a></li>
<li><a href="Javascript:showEqn('ramRAMEN_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RAMEN</a></li>
<li><a href="Javascript:showEqn('ramRAMReady_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RAMReady</a></li>
<li><a href="Javascript:showEqn('ramRS_FSM_FFd1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RS_FSM_FFd1</a></li>
<li><a href="Javascript:showEqn('ramRS_FSM_FFd2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RS_FSM_FFd2</a></li>
<li><a href="Javascript:showEqn('ramRS_FSM_FFd3_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RS_FSM_FFd3</a></li>
<li><a href="Javascript:showEqn('ramRefReq_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RefReq</a></li>
<li><a href="Javascript:showEqn('ramRefRAS_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RefRAS</a></li>
<li><a href="Javascript:showEqn('ramRefUrg_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RefUrg</a></li>
</ol></span><form><span class="pgRef"><table width="90%" align="center"><tr>
<td align="left"><input type="button" onclick="javascript:parent.leftnav.showTop()" onmouseover="window.status='goto top of page'; return true;" onmouseout="window.status=''" value="back to top"></td>

View File

@ -36,13 +36,13 @@
<td width="28%"><a href="javascript:showEqn('ALE0S')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ALE0S</a></td>
<td align="center">1</td>
<td align="center">2</td>
<td align="center"><a href="javascript:showFB('FB1')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB1</a></td>
<td align="center">MC12</td>
<td align="center"><a href="javascript:showFB('FB8')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB8</a></td>
<td align="center">MC14</td>
<td align="center">STD</td>
<td align="center"> </td>
<td align="center">18</td>
<td align="center">71</td>
<td align="center">I/O</td>
<td align="center">I</td>
<td align="center">(b)</td>
<td align="center">RESET</td>
</tr>
<tr>
@ -101,13 +101,13 @@
<td width="28%"><a href="javascript:showEqn('IOL0')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">IOL0</a></td>
<td align="center">3</td>
<td align="center">5</td>
<td align="center"><a href="javascript:showFB('FB4')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB4</a></td>
<td align="center">MC17</td>
<td align="center"><a href="javascript:showFB('FB8')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB8</a></td>
<td align="center">MC10</td>
<td align="center">STD</td>
<td align="center"> </td>
<td align="center">97</td>
<td align="center">I/O</td>
<td align="center">I</td>
<td align="center"> </td>
<td align="center">(b)</td>
<td align="center">(b)</td>
<td align="center">RESET</td>
</tr>
<tr>
@ -140,8 +140,8 @@
<td width="28%"><a href="javascript:showEqn('IOU0')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">IOU0</a></td>
<td align="center">3</td>
<td align="center">5</td>
<td align="center"><a href="javascript:showFB('FB4')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB4</a></td>
<td align="center">MC16</td>
<td align="center"><a href="javascript:showFB('FB1')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB1</a></td>
<td align="center">MC18</td>
<td align="center">STD</td>
<td align="center"> </td>
<td align="center"> </td>
@ -336,12 +336,12 @@
<td align="center">1</td>
<td align="center">1</td>
<td align="center"><a href="javascript:showFB('FB1')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB1</a></td>
<td align="center">MC11</td>
<td align="center">MC13</td>
<td align="center">STD</td>
<td align="center"> </td>
<td align="center">17</td>
<td align="center">I/O</td>
<td align="center">I</td>
<td align="center"> </td>
<td align="center">(b)</td>
<td align="center">(b)</td>
<td align="center">RESET</td>
</tr>
<tr>
@ -349,24 +349,24 @@
<td align="center">1</td>
<td align="center">1</td>
<td align="center"><a href="javascript:showFB('FB4')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB4</a></td>
<td align="center">MC9</td>
<td align="center">MC4</td>
<td align="center">STD</td>
<td align="center"> </td>
<td align="center">92</td>
<td align="center">I/O</td>
<td align="center">I</td>
<td align="center"> </td>
<td align="center">(b)</td>
<td align="center">(b)</td>
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('cntINITS_FSM_FFd1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/INITS_FSM_FFd1</a></td>
<td align="center">1</td>
<td align="center">7</td>
<td align="center"><a href="javascript:showFB('FB8')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB8</a></td>
<td align="center">MC17</td>
<td align="center"><a href="javascript:showFB('FB4')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB4</a></td>
<td align="center">MC3</td>
<td align="center">STD</td>
<td align="center"> </td>
<td align="center">73</td>
<td align="center">I/O</td>
<td align="center"> </td>
<td align="center">(b)</td>
<td align="center">(b)</td>
<td align="center">RESET</td>
</tr>
@ -374,21 +374,21 @@
<td width="28%"><a href="javascript:showEqn('cntINITS_FSM_FFd2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/INITS_FSM_FFd2</a></td>
<td align="center">2</td>
<td align="center">6</td>
<td align="center"><a href="javascript:showFB('FB8')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB8</a></td>
<td align="center">MC7</td>
<td align="center"><a href="javascript:showFB('FB4')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB4</a></td>
<td align="center">MC14</td>
<td align="center">STD</td>
<td align="center"> </td>
<td align="center"> </td>
<td align="center">(b)</td>
<td align="center">(b)</td>
<td align="center">95</td>
<td align="center">I/O</td>
<td align="center">I</td>
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('cntLTimer0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;0&gt;</a></td>
<td align="center">1</td>
<td align="center">3</td>
<td align="center"><a href="javascript:showFB('FB8')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB8</a></td>
<td align="center">MC18</td>
<td align="center"><a href="javascript:showFB('FB4')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB4</a></td>
<td align="center">MC1</td>
<td align="center">STD</td>
<td align="center"> </td>
<td align="center"> </td>
@ -440,19 +440,6 @@
<td align="center">2</td>
<td align="center">4</td>
<td align="center"><a href="javascript:showFB('FB4')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB4</a></td>
<td align="center">MC14</td>
<td align="center">STD</td>
<td align="center"> </td>
<td align="center">95</td>
<td align="center">I/O</td>
<td align="center">I</td>
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('cntLTimer2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;2&gt;</a></td>
<td align="center">2</td>
<td align="center">5</td>
<td align="center"><a href="javascript:showFB('FB4')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB4</a></td>
<td align="center">MC13</td>
<td align="center">STD</td>
<td align="center"> </td>
@ -462,9 +449,9 @@
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('cntLTimer3_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;3&gt;</a></td>
<td width="28%"><a href="javascript:showEqn('cntLTimer2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;2&gt;</a></td>
<td align="center">2</td>
<td align="center">6</td>
<td align="center">5</td>
<td align="center"><a href="javascript:showFB('FB4')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB4</a></td>
<td align="center">MC12</td>
<td align="center">STD</td>
@ -475,6 +462,19 @@
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('cntLTimer3_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;3&gt;</a></td>
<td align="center">2</td>
<td align="center">6</td>
<td align="center"><a href="javascript:showFB('FB4')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB4</a></td>
<td align="center">MC10</td>
<td align="center">STD</td>
<td align="center"> </td>
<td align="center"> </td>
<td align="center">(b)</td>
<td align="center">(b)</td>
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('cntLTimer4_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;4&gt;</a></td>
<td align="center">2</td>
<td align="center">7</td>
@ -569,21 +569,21 @@
<td width="28%"><a href="javascript:showEqn('cntTimer0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/Timer&lt;0&gt;</a></td>
<td align="center">2</td>
<td align="center">4</td>
<td align="center"><a href="javascript:showFB('FB8')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB8</a></td>
<td align="center">MC4</td>
<td align="center"><a href="javascript:showFB('FB4')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB4</a></td>
<td align="center">MC9</td>
<td align="center">STD</td>
<td align="center"> </td>
<td align="center"> </td>
<td align="center">(b)</td>
<td align="center">(b)</td>
<td align="center">92</td>
<td align="center">I/O</td>
<td align="center">I</td>
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('cntTimer1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/Timer&lt;1&gt;</a></td>
<td align="center">4</td>
<td align="center">5</td>
<td align="center"><a href="javascript:showFB('FB8')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB8</a></td>
<td align="center">MC10</td>
<td align="center"><a href="javascript:showFB('FB4')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB4</a></td>
<td align="center">MC16</td>
<td align="center">STD</td>
<td align="center"> </td>
<td align="center"> </td>
@ -595,13 +595,13 @@
<td width="28%"><a href="javascript:showEqn('cntTimer2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/Timer&lt;2&gt;</a></td>
<td align="center">5</td>
<td align="center">6</td>
<td align="center"><a href="javascript:showFB('FB8')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB8</a></td>
<td align="center">MC3</td>
<td align="center"><a href="javascript:showFB('FB4')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB4</a></td>
<td align="center">MC17</td>
<td align="center">STD</td>
<td align="center"> </td>
<td align="center"> </td>
<td align="center">(b)</td>
<td align="center">(b)</td>
<td align="center">97</td>
<td align="center">I/O</td>
<td align="center">I</td>
<td align="center">RESET</td>
</tr>
<tr>
@ -609,7 +609,7 @@
<td align="center">2</td>
<td align="center">6</td>
<td align="center"><a href="javascript:showFB('FB4')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB4</a></td>
<td align="center">MC10</td>
<td align="center">MC7</td>
<td align="center">STD</td>
<td align="center"> </td>
<td align="center"> </td>
@ -622,12 +622,12 @@
<td align="center">1</td>
<td align="center">1</td>
<td align="center"><a href="javascript:showFB('FB1')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB1</a></td>
<td align="center">MC10</td>
<td align="center">MC12</td>
<td align="center">STD</td>
<td align="center"> </td>
<td align="center"> </td>
<td align="center">(b)</td>
<td align="center">(b)</td>
<td align="center">18</td>
<td align="center">I/O</td>
<td align="center">I</td>
<td align="center">RESET</td>
</tr>
<tr>
@ -647,24 +647,24 @@
<td width="28%"><a href="javascript:showEqn('fsbASrf_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">fsb/ASrf</a></td>
<td align="center">1</td>
<td align="center">1</td>
<td align="center"><a href="javascript:showFB('FB4')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB4</a></td>
<td align="center">MC7</td>
<td align="center"><a href="javascript:showFB('FB1')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB1</a></td>
<td align="center">MC11</td>
<td align="center">STD</td>
<td align="center"> </td>
<td align="center"> </td>
<td align="center">(b)</td>
<td align="center">(b)</td>
<td align="center">17</td>
<td align="center">I/O</td>
<td align="center">I</td>
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('fsbReady0r_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">fsb/Ready0r</a></td>
<td align="center">2</td>
<td align="center">7</td>
<td align="center"><a href="javascript:showFB('FB8')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB8</a></td>
<td align="center">MC9</td>
<td align="center"><a href="javascript:showFB('FB5')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB5</a></td>
<td align="center">MC8</td>
<td align="center">STD</td>
<td align="center"> </td>
<td align="center">67</td>
<td align="center">39</td>
<td align="center">I/O</td>
<td align="center">(b)</td>
<td align="center">RESET</td>
@ -700,6 +700,19 @@
<td align="center">1</td>
<td align="center">1</td>
<td align="center"><a href="javascript:showFB('FB1')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB1</a></td>
<td align="center">MC10</td>
<td align="center">STD</td>
<td align="center"> </td>
<td align="center"> </td>
<td align="center">(b)</td>
<td align="center">(b)</td>
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('iobmBERRrr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/BERRrr</a></td>
<td align="center">1</td>
<td align="center">1</td>
<td align="center"><a href="javascript:showFB('FB1')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB1</a></td>
<td align="center">MC9</td>
<td align="center">STD</td>
<td align="center"> </td>
@ -709,7 +722,7 @@
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('iobmBERRrr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/BERRrr</a></td>
<td width="28%"><a href="javascript:showEqn('iobmDTACKrf_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/DTACKrf</a></td>
<td align="center">1</td>
<td align="center">1</td>
<td align="center"><a href="javascript:showFB('FB1')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB1</a></td>
@ -722,7 +735,7 @@
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('iobmDTACKrf_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/DTACKrf</a></td>
<td width="28%"><a href="javascript:showEqn('iobmDTACKrr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/DTACKrr</a></td>
<td align="center">1</td>
<td align="center">1</td>
<td align="center"><a href="javascript:showFB('FB1')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB1</a></td>
@ -735,19 +748,6 @@
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('iobmDTACKrr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/DTACKrr</a></td>
<td align="center">1</td>
<td align="center">1</td>
<td align="center"><a href="javascript:showFB('FB1')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB1</a></td>
<td align="center">MC6</td>
<td align="center">STD</td>
<td align="center"> </td>
<td align="center">14</td>
<td align="center">I/O</td>
<td align="center">I</td>
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('iobmDoutOE_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/DoutOE</a></td>
<td align="center">3</td>
<td align="center">6</td>
@ -842,19 +842,6 @@
<td width="28%"><a href="javascript:showEqn('iobmEr2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/Er2</a></td>
<td align="center">1</td>
<td align="center">1</td>
<td align="center"><a href="javascript:showFB('FB2')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB2</a></td>
<td align="center">MC18</td>
<td align="center">STD</td>
<td align="center"> </td>
<td align="center"> </td>
<td align="center">(b)</td>
<td align="center">(b)</td>
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('iobmEr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/Er</a></td>
<td align="center">1</td>
<td align="center">1</td>
<td align="center"><a href="javascript:showFB('FB1')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB1</a></td>
<td align="center">MC5</td>
<td align="center">STD</td>
@ -865,16 +852,29 @@
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('iobmEr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/Er</a></td>
<td align="center">1</td>
<td align="center">1</td>
<td align="center"><a href="javascript:showFB('FB1')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB1</a></td>
<td align="center">MC6</td>
<td align="center">STD</td>
<td align="center"> </td>
<td align="center">14</td>
<td align="center">I/O</td>
<td align="center">I</td>
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('iobmIOREQr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOREQr</a></td>
<td align="center">1</td>
<td align="center">1</td>
<td align="center"><a href="javascript:showFB('FB2')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB2</a></td>
<td align="center">MC17</td>
<td align="center">MC18</td>
<td align="center">STD</td>
<td align="center"> </td>
<td align="center">10</td>
<td align="center">I/O</td>
<td align="center">I</td>
<td align="center"> </td>
<td align="center">(b)</td>
<td align="center">(b)</td>
<td align="center">RESET</td>
</tr>
<tr>
@ -921,6 +921,19 @@
<td align="center">1</td>
<td align="center">1</td>
<td align="center"><a href="javascript:showFB('FB2')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB2</a></td>
<td align="center">MC17</td>
<td align="center">STD</td>
<td align="center"> </td>
<td align="center">10</td>
<td align="center">I/O</td>
<td align="center">I</td>
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('iobmRESrr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/RESrr</a></td>
<td align="center">1</td>
<td align="center">1</td>
<td align="center"><a href="javascript:showFB('FB2')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB2</a></td>
<td align="center">MC16</td>
<td align="center">STD</td>
<td align="center"> </td>
@ -930,7 +943,7 @@
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('iobmRESrr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/RESrr</a></td>
<td width="28%"><a href="javascript:showEqn('iobmVPArf_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/VPArf</a></td>
<td align="center">1</td>
<td align="center">1</td>
<td align="center"><a href="javascript:showFB('FB2')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB2</a></td>
@ -943,7 +956,7 @@
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('iobmVPArf_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/VPArf</a></td>
<td width="28%"><a href="javascript:showEqn('iobmVPArr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/VPArr</a></td>
<td align="center">1</td>
<td align="center">1</td>
<td align="center"><a href="javascript:showFB('FB2')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB2</a></td>
@ -956,19 +969,6 @@
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('iobmVPArr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/VPArr</a></td>
<td align="center">1</td>
<td align="center">1</td>
<td align="center"><a href="javascript:showFB('FB2')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB2</a></td>
<td align="center">MC13</td>
<td align="center">STD</td>
<td align="center"> </td>
<td align="center"> </td>
<td align="center">(b)</td>
<td align="center">(b)</td>
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('iobsClear1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/Clear1</a></td>
<td align="center">1</td>
<td align="center">3</td>
@ -1024,8 +1024,8 @@
<td width="28%"><a href="javascript:showEqn('iobsIOReady_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/IOReady</a></td>
<td align="center">4</td>
<td align="center">8</td>
<td align="center"><a href="javascript:showFB('FB5')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB5</a></td>
<td align="center">MC16</td>
<td align="center"><a href="javascript:showFB('FB8')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB8</a></td>
<td align="center">MC4</td>
<td align="center">STD</td>
<td align="center"> </td>
<td align="center"> </td>
@ -1050,7 +1050,7 @@
<td width="28%"><a href="javascript:showEqn('iobsLoad1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/Load1</a></td>
<td align="center">14</td>
<td align="center">18</td>
<td align="center"><a href="javascript:showFB('FB5')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB5</a></td>
<td align="center"><a href="javascript:showFB('FB8')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB8</a></td>
<td align="center">MC3</td>
<td align="center">STD</td>
<td align="center"> </td>
@ -1063,11 +1063,11 @@
<td width="28%"><a href="javascript:showEqn('iobsOnce_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/Once</a></td>
<td align="center">15</td>
<td align="center">18</td>
<td align="center"><a href="javascript:showFB('FB5')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB5</a></td>
<td align="center"><a href="javascript:showFB('FB8')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB8</a></td>
<td align="center">MC17</td>
<td align="center">STD</td>
<td align="center"> </td>
<td align="center">49</td>
<td align="center">73</td>
<td align="center">I/O</td>
<td align="center">(b)</td>
<td align="center">RESET</td>
@ -1076,8 +1076,8 @@
<td width="28%"><a href="javascript:showEqn('iobsPS_FSM_FFd1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/PS_FSM_FFd1</a></td>
<td align="center">2</td>
<td align="center">3</td>
<td align="center"><a href="javascript:showFB('FB5')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB5</a></td>
<td align="center">MC10</td>
<td align="center"><a href="javascript:showFB('FB8')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB8</a></td>
<td align="center">MC13</td>
<td align="center">STD</td>
<td align="center"> </td>
<td align="center"> </td>
@ -1089,7 +1089,7 @@
<td width="28%"><a href="javascript:showEqn('iobsPS_FSM_FFd2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/PS_FSM_FFd2</a></td>
<td align="center">12</td>
<td align="center">19</td>
<td align="center"><a href="javascript:showFB('FB5')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB5</a></td>
<td align="center"><a href="javascript:showFB('FB8')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB8</a></td>
<td align="center">MC7</td>
<td align="center">STD</td>
<td align="center"> </td>
@ -1295,8 +1295,8 @@
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('nRAS')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nRAS</a></td>
<td align="center">0</td>
<td align="center">0</td>
<td align="center">2</td>
<td align="center">6</td>
<td align="center"><a href="javascript:showFB('FB8')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB8</a></td>
<td align="center">MC5</td>
<td align="center">STD</td>
@ -1323,8 +1323,8 @@
<td width="28%"><a href="javascript:showEqn('nRESout')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nRESout</a></td>
<td align="center">1</td>
<td align="center">2</td>
<td align="center"><a href="javascript:showFB('FB4')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB4</a></td>
<td align="center">MC4</td>
<td align="center"><a href="javascript:showFB('FB8')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB8</a></td>
<td align="center">MC16</td>
<td align="center">STD</td>
<td align="center"> </td>
<td align="center"> </td>
@ -1401,8 +1401,8 @@
<td width="28%"><a href="javascript:showEqn('ramBACTr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/BACTr</a></td>
<td align="center">1</td>
<td align="center">2</td>
<td align="center"><a href="javascript:showFB('FB4')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB4</a></td>
<td align="center">MC3</td>
<td align="center"><a href="javascript:showFB('FB5')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB5</a></td>
<td align="center">MC13</td>
<td align="center">STD</td>
<td align="center"> </td>
<td align="center"> </td>
@ -1414,12 +1414,12 @@
<td width="28%"><a href="javascript:showEqn('ramRAMEN_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RAMEN</a></td>
<td align="center">9</td>
<td align="center">12</td>
<td align="center"><a href="javascript:showFB('FB8')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB8</a></td>
<td align="center">MC14</td>
<td align="center"><a href="javascript:showFB('FB5')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB5</a></td>
<td align="center">MC3</td>
<td align="center">STD</td>
<td align="center"> </td>
<td align="center">71</td>
<td align="center">I/O</td>
<td align="center"> </td>
<td align="center">(b)</td>
<td align="center">(b)</td>
<td align="center">RESET</td>
</tr>
@ -1427,6 +1427,32 @@
<td width="28%"><a href="javascript:showEqn('ramRAMReady_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RAMReady</a></td>
<td align="center">9</td>
<td align="center">12</td>
<td align="center"><a href="javascript:showFB('FB5')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB5</a></td>
<td align="center">MC4</td>
<td align="center">STD</td>
<td align="center"> </td>
<td align="center"> </td>
<td align="center">(b)</td>
<td align="center">(b)</td>
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('ramRASEL_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RASEL</a></td>
<td align="center">14</td>
<td align="center">12</td>
<td align="center"><a href="javascript:showFB('FB5')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB5</a></td>
<td align="center">MC18</td>
<td align="center">STD</td>
<td align="center"> </td>
<td align="center"> </td>
<td align="center">(b)</td>
<td align="center">(b)</td>
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('ramRS_FSM_FFd1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RS_FSM_FFd1</a></td>
<td align="center">3</td>
<td align="center">9</td>
<td align="center"><a href="javascript:showFB('FB8')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB8</a></td>
<td align="center">MC11</td>
<td align="center">STD</td>
@ -1437,50 +1463,37 @@
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('ramRASEL_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RASEL</a></td>
<td align="center">14</td>
<td align="center">12</td>
<td align="center"><a href="javascript:showFB('FB8')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB8</a></td>
<td align="center">MC1</td>
<td align="center">STD</td>
<td align="center"> </td>
<td align="center"> </td>
<td align="center">(b)</td>
<td align="center">(b)</td>
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('ramRS_FSM_FFd1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RS_FSM_FFd1</a></td>
<td align="center">2</td>
<td align="center">3</td>
<td align="center"><a href="javascript:showFB('FB1')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB1</a></td>
<td align="center">MC13</td>
<td align="center">STD</td>
<td align="center"> </td>
<td align="center"> </td>
<td align="center">(b)</td>
<td align="center">(b)</td>
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('ramRS_FSM_FFd2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RS_FSM_FFd2</a></td>
<td align="center">8</td>
<td align="center">10</td>
<td align="center">7</td>
<td align="center">11</td>
<td align="center"><a href="javascript:showFB('FB5')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB5</a></td>
<td align="center">MC8</td>
<td align="center">MC7</td>
<td align="center">STD</td>
<td align="center"> </td>
<td align="center">39</td>
<td align="center">I/O</td>
<td align="center"> </td>
<td align="center">(b)</td>
<td align="center">(b)</td>
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('ramRS_FSM_FFd3_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RS_FSM_FFd3</a></td>
<td align="center">13</td>
<td align="center">12</td>
<td align="center">7</td>
<td align="center">10</td>
<td align="center"><a href="javascript:showFB('FB8')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB8</a></td>
<td align="center">MC16</td>
<td align="center">MC9</td>
<td align="center">STD</td>
<td align="center"> </td>
<td align="center">67</td>
<td align="center">I/O</td>
<td align="center">(b)</td>
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('ramRefDone_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RefDone</a></td>
<td align="center">2</td>
<td align="center">4</td>
<td align="center"><a href="javascript:showFB('FB5')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB5</a></td>
<td align="center">MC10</td>
<td align="center">STD</td>
<td align="center"> </td>
<td align="center"> </td>
@ -1489,11 +1502,11 @@
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('ramRefDone_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RefDone</a></td>
<td align="center">3</td>
<td align="center">5</td>
<td align="center"><a href="javascript:showFB('FB1')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB1</a></td>
<td align="center">MC18</td>
<td width="28%"><a href="javascript:showEqn('ramRefRAS_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RefRAS</a></td>
<td align="center">1</td>
<td align="center">2</td>
<td align="center"><a href="javascript:showFB('FB5')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB5</a></td>
<td align="center">MC16</td>
<td align="center">STD</td>
<td align="center"> </td>
<td align="center"> </td>
@ -1506,19 +1519,6 @@
<td align="center">1</td>
<td align="center">1</td>
<td align="center"><a href="javascript:showFB('FB1')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB1</a></td>
<td align="center">MC2</td>
<td align="center">STD</td>
<td align="center"> </td>
<td align="center">11</td>
<td align="center">I/O</td>
<td align="center">I</td>
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('ramRefReq_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RefReq</a></td>
<td align="center">1</td>
<td align="center">2</td>
<td align="center"><a href="javascript:showFB('FB1')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB1</a></td>
<td align="center">MC3</td>
<td align="center">STD</td>
<td align="center"> </td>
@ -1528,23 +1528,36 @@
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('ramRefReq_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RefReq</a></td>
<td align="center">1</td>
<td align="center">2</td>
<td align="center"><a href="javascript:showFB('FB5')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB5</a></td>
<td align="center">MC17</td>
<td align="center">STD</td>
<td align="center"> </td>
<td align="center">49</td>
<td align="center">I/O</td>
<td align="center">(b)</td>
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('ramRefUrg_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RefUrg</a></td>
<td align="center">1</td>
<td align="center">2</td>
<td align="center"><a href="javascript:showFB('FB1')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB1</a></td>
<td align="center">MC1</td>
<td align="center">MC2</td>
<td align="center">STD</td>
<td align="center"> </td>
<td align="center"> </td>
<td align="center">(b)</td>
<td align="center">(b)</td>
<td align="center">11</td>
<td align="center">I/O</td>
<td align="center">I</td>
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('ramRegUrgSync_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RegUrgSync</a></td>
<td align="center">1</td>
<td align="center">1</td>
<td align="center"><a href="javascript:showFB('FB4')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB4</a></td>
<td align="center"><a href="javascript:showFB('FB1')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB1</a></td>
<td align="center">MC1</td>
<td align="center">STD</td>
<td align="center"> </td>

View File

@ -20,9 +20,9 @@
<th align="center">Reg Init State</th>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('ramRefUrg_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RefUrg</a></td>
<td width="28%"><a href="javascript:showEqn('ramRegUrgSync_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RegUrgSync</a></td>
<td align="center">1</td>
<td align="center">1</td>
<td align="center">2</td>
<td align="center"><a href="javascript:showFB('FB1')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB1</a></td>
<td align="center">MC1</td>
<td align="center">STD</td>
@ -33,9 +33,9 @@
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('ramRefReqSync_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RefReqSync</a></td>
<td align="center">1</td>
<td width="28%"><a href="javascript:showEqn('ramRefUrg_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RefUrg</a></td>
<td align="center">1</td>
<td align="center">2</td>
<td align="center"><a href="javascript:showFB('FB1')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB1</a></td>
<td align="center">MC2</td>
<td align="center">STD</td>
@ -46,9 +46,9 @@
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('ramRefReq_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RefReq</a></td>
<td width="28%"><a href="javascript:showEqn('ramRefReqSync_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RefReqSync</a></td>
<td align="center">1</td>
<td align="center">1</td>
<td align="center">2</td>
<td align="center"><a href="javascript:showFB('FB1')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB1</a></td>
<td align="center">MC3</td>
<td align="center">STD</td>
@ -72,7 +72,7 @@
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('iobmEr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/Er</a></td>
<td width="28%"><a href="javascript:showEqn('iobmEr2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/Er2</a></td>
<td align="center">1</td>
<td align="center">1</td>
<td align="center"><a href="javascript:showFB('FB1')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB1</a></td>
@ -85,7 +85,7 @@
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('iobmDTACKrr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/DTACKrr</a></td>
<td width="28%"><a href="javascript:showEqn('iobmEr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/Er</a></td>
<td align="center">1</td>
<td align="center">1</td>
<td align="center"><a href="javascript:showFB('FB1')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB1</a></td>
@ -98,7 +98,7 @@
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('iobmDTACKrf_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/DTACKrf</a></td>
<td width="28%"><a href="javascript:showEqn('iobmDTACKrr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/DTACKrr</a></td>
<td align="center">1</td>
<td align="center">1</td>
<td align="center"><a href="javascript:showFB('FB1')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB1</a></td>
@ -111,7 +111,7 @@
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('iobmBERRrr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/BERRrr</a></td>
<td width="28%"><a href="javascript:showEqn('iobmDTACKrf_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/DTACKrf</a></td>
<td align="center">1</td>
<td align="center">1</td>
<td align="center"><a href="javascript:showFB('FB1')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB1</a></td>
@ -124,7 +124,7 @@
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('iobmBERRrf_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/BERRrf</a></td>
<td width="28%"><a href="javascript:showEqn('iobmBERRrr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/BERRrr</a></td>
<td align="center">1</td>
<td align="center">1</td>
<td align="center"><a href="javascript:showFB('FB1')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB1</a></td>
@ -137,7 +137,7 @@
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('cntnIPL2r_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/nIPL2r</a></td>
<td width="28%"><a href="javascript:showEqn('iobmBERRrf_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/BERRrf</a></td>
<td align="center">1</td>
<td align="center">1</td>
<td align="center"><a href="javascript:showFB('FB1')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB1</a></td>
@ -150,7 +150,7 @@
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('cntEr0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/Er&lt;0&gt;</a></td>
<td width="28%"><a href="javascript:showEqn('fsbASrf_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">fsb/ASrf</a></td>
<td align="center">1</td>
<td align="center">1</td>
<td align="center"><a href="javascript:showFB('FB1')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB1</a></td>
@ -163,9 +163,9 @@
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('ALE0S')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ALE0S</a></td>
<td width="28%"><a href="javascript:showEqn('cntnIPL2r_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/nIPL2r</a></td>
<td align="center">1</td>
<td align="center">1</td>
<td align="center">2</td>
<td align="center"><a href="javascript:showFB('FB1')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB1</a></td>
<td align="center">MC12</td>
<td align="center">STD</td>
@ -176,9 +176,9 @@
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('ramRS_FSM_FFd1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RS_FSM_FFd1</a></td>
<td align="center">2</td>
<td align="center">3</td>
<td width="28%"><a href="javascript:showEqn('cntEr0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/Er&lt;0&gt;</a></td>
<td align="center">1</td>
<td align="center">1</td>
<td align="center"><a href="javascript:showFB('FB1')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB1</a></td>
<td align="center">MC13</td>
<td align="center">STD</td>
@ -241,7 +241,7 @@
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('ramRefDone_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RefDone</a></td>
<td width="28%"><a href="javascript:showEqn('IOU0')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">IOU0</a></td>
<td align="center">3</td>
<td align="center">5</td>
<td align="center"><a href="javascript:showFB('FB1')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB1</a></td>
@ -258,19 +258,6 @@
<td align="center">1</td>
<td align="center">1</td>
<td align="center"><a href="javascript:showFB('FB2')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB2</a></td>
<td align="center">MC13</td>
<td align="center">STD</td>
<td align="center"> </td>
<td align="center"> </td>
<td align="center">(b)</td>
<td align="center">(b)</td>
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('iobmVPArf_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/VPArf</a></td>
<td align="center">1</td>
<td align="center">1</td>
<td align="center"><a href="javascript:showFB('FB2')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB2</a></td>
<td align="center">MC14</td>
<td align="center">STD</td>
<td align="center"> </td>
@ -280,7 +267,7 @@
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('iobmRESrr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/RESrr</a></td>
<td width="28%"><a href="javascript:showEqn('iobmVPArf_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/VPArf</a></td>
<td align="center">1</td>
<td align="center">1</td>
<td align="center"><a href="javascript:showFB('FB2')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB2</a></td>
@ -293,7 +280,7 @@
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('iobmRESrf_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/RESrf</a></td>
<td width="28%"><a href="javascript:showEqn('iobmRESrr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/RESrr</a></td>
<td align="center">1</td>
<td align="center">1</td>
<td align="center"><a href="javascript:showFB('FB2')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB2</a></td>
@ -306,7 +293,7 @@
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('iobmIOREQr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOREQr</a></td>
<td width="28%"><a href="javascript:showEqn('iobmRESrf_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/RESrf</a></td>
<td align="center">1</td>
<td align="center">1</td>
<td align="center"><a href="javascript:showFB('FB2')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB2</a></td>
@ -319,7 +306,7 @@
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('iobmEr2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/Er2</a></td>
<td width="28%"><a href="javascript:showEqn('iobmIOREQr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOREQr</a></td>
<td align="center">1</td>
<td align="center">1</td>
<td align="center"><a href="javascript:showFB('FB2')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB2</a></td>
@ -449,9 +436,9 @@
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('ramRegUrgSync_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RegUrgSync</a></td>
<td align="center">1</td>
<td width="28%"><a href="javascript:showEqn('cntLTimer0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;0&gt;</a></td>
<td align="center">1</td>
<td align="center">3</td>
<td align="center"><a href="javascript:showFB('FB4')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB4</a></td>
<td align="center">MC1</td>
<td align="center">STD</td>
@ -475,9 +462,9 @@
<td align="center">SET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('ramBACTr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/BACTr</a></td>
<td width="28%"><a href="javascript:showEqn('cntINITS_FSM_FFd1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/INITS_FSM_FFd1</a></td>
<td align="center">1</td>
<td align="center">2</td>
<td align="center">7</td>
<td align="center"><a href="javascript:showFB('FB4')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB4</a></td>
<td align="center">MC3</td>
<td align="center">STD</td>
@ -488,9 +475,9 @@
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('nRESout')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nRESout</a></td>
<td width="28%"><a href="javascript:showEqn('cntEr1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/Er&lt;1&gt;</a></td>
<td align="center">1</td>
<td align="center">1</td>
<td align="center">2</td>
<td align="center"><a href="javascript:showFB('FB4')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB4</a></td>
<td align="center">MC4</td>
<td align="center">STD</td>
@ -527,9 +514,9 @@
<td align="center"> </td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('fsbASrf_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">fsb/ASrf</a></td>
<td align="center">1</td>
<td align="center">1</td>
<td width="28%"><a href="javascript:showEqn('cntTimerTC_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/TimerTC</a></td>
<td align="center">2</td>
<td align="center">6</td>
<td align="center"><a href="javascript:showFB('FB4')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB4</a></td>
<td align="center">MC7</td>
<td align="center">STD</td>
@ -553,9 +540,9 @@
<td align="center"> </td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('cntEr1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/Er&lt;1&gt;</a></td>
<td align="center">1</td>
<td align="center">1</td>
<td width="28%"><a href="javascript:showEqn('cntTimer0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/Timer&lt;0&gt;</a></td>
<td align="center">2</td>
<td align="center">4</td>
<td align="center"><a href="javascript:showFB('FB4')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB4</a></td>
<td align="center">MC9</td>
<td align="center">STD</td>
@ -566,7 +553,7 @@
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('cntTimerTC_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/TimerTC</a></td>
<td width="28%"><a href="javascript:showEqn('cntLTimer3_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;3&gt;</a></td>
<td align="center">2</td>
<td align="center">6</td>
<td align="center"><a href="javascript:showFB('FB4')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB4</a></td>
@ -592,9 +579,9 @@
<td align="center"> </td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('cntLTimer3_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;3&gt;</a></td>
<td width="28%"><a href="javascript:showEqn('cntLTimer2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;2&gt;</a></td>
<td align="center">2</td>
<td align="center">6</td>
<td align="center">5</td>
<td align="center"><a href="javascript:showFB('FB4')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB4</a></td>
<td align="center">MC12</td>
<td align="center">STD</td>
@ -605,9 +592,9 @@
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('cntLTimer2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;2&gt;</a></td>
<td width="28%"><a href="javascript:showEqn('cntLTimer1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;1&gt;</a></td>
<td align="center">2</td>
<td align="center">5</td>
<td align="center">4</td>
<td align="center"><a href="javascript:showFB('FB4')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB4</a></td>
<td align="center">MC13</td>
<td align="center">STD</td>
@ -618,9 +605,9 @@
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('cntLTimer1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;1&gt;</a></td>
<td width="28%"><a href="javascript:showEqn('cntINITS_FSM_FFd2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/INITS_FSM_FFd2</a></td>
<td align="center">2</td>
<td align="center">4</td>
<td align="center">6</td>
<td align="center"><a href="javascript:showFB('FB4')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB4</a></td>
<td align="center">MC14</td>
<td align="center">STD</td>
@ -644,8 +631,8 @@
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('IOU0')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">IOU0</a></td>
<td align="center">3</td>
<td width="28%"><a href="javascript:showEqn('cntTimer1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/Timer&lt;1&gt;</a></td>
<td align="center">4</td>
<td align="center">5</td>
<td align="center"><a href="javascript:showFB('FB4')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB4</a></td>
<td align="center">MC16</td>
@ -657,9 +644,9 @@
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('IOL0')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">IOL0</a></td>
<td align="center">3</td>
<td width="28%"><a href="javascript:showEqn('cntTimer2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/Timer&lt;2&gt;</a></td>
<td align="center">5</td>
<td align="center">6</td>
<td align="center"><a href="javascript:showFB('FB4')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB4</a></td>
<td align="center">MC17</td>
<td align="center">STD</td>
@ -696,9 +683,9 @@
<td align="center"> </td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('iobsLoad1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/Load1</a></td>
<td align="center">14</td>
<td align="center">18</td>
<td width="28%"><a href="javascript:showEqn('ramRAMEN_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RAMEN</a></td>
<td align="center">9</td>
<td align="center">12</td>
<td align="center"><a href="javascript:showFB('FB5')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB5</a></td>
<td align="center">MC3</td>
<td align="center">STD</td>
@ -709,6 +696,19 @@
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('ramRAMReady_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RAMReady</a></td>
<td align="center">9</td>
<td align="center">12</td>
<td align="center"><a href="javascript:showFB('FB5')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB5</a></td>
<td align="center">MC4</td>
<td align="center">STD</td>
<td align="center"> </td>
<td align="center"> </td>
<td align="center">(b)</td>
<td align="center">(b)</td>
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('nCAS')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nCAS</a></td>
<td align="center">1</td>
<td align="center">1</td>
@ -735,9 +735,9 @@
<td align="center"> </td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('iobsPS_FSM_FFd2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/PS_FSM_FFd2</a></td>
<td align="center">12</td>
<td align="center">19</td>
<td width="28%"><a href="javascript:showEqn('ramRS_FSM_FFd2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RS_FSM_FFd2</a></td>
<td align="center">7</td>
<td align="center">11</td>
<td align="center"><a href="javascript:showFB('FB5')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB5</a></td>
<td align="center">MC7</td>
<td align="center">STD</td>
@ -748,9 +748,9 @@
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('ramRS_FSM_FFd2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RS_FSM_FFd2</a></td>
<td align="center">8</td>
<td align="center">10</td>
<td width="28%"><a href="javascript:showEqn('fsbReady0r_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">fsb/Ready0r</a></td>
<td align="center">2</td>
<td align="center">7</td>
<td align="center"><a href="javascript:showFB('FB5')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB5</a></td>
<td align="center">MC8</td>
<td align="center">STD</td>
@ -774,9 +774,9 @@
<td align="center"> </td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('iobsPS_FSM_FFd1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/PS_FSM_FFd1</a></td>
<td width="28%"><a href="javascript:showEqn('ramRefDone_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RefDone</a></td>
<td align="center">2</td>
<td align="center">3</td>
<td align="center">4</td>
<td align="center"><a href="javascript:showFB('FB5')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB5</a></td>
<td align="center">MC10</td>
<td align="center">STD</td>
@ -813,6 +813,19 @@
<td align="center"> </td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('ramBACTr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/BACTr</a></td>
<td align="center">1</td>
<td align="center">2</td>
<td align="center"><a href="javascript:showFB('FB5')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB5</a></td>
<td align="center">MC13</td>
<td align="center">STD</td>
<td align="center"> </td>
<td align="center"> </td>
<td align="center">(b)</td>
<td align="center">(b)</td>
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('RA2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">RA&lt;2&gt;</a></td>
<td align="center">2</td>
<td align="center">3</td>
@ -839,9 +852,9 @@
<td align="center"> </td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('iobsIOReady_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/IOReady</a></td>
<td align="center">4</td>
<td align="center">8</td>
<td width="28%"><a href="javascript:showEqn('ramRefRAS_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RefRAS</a></td>
<td align="center">1</td>
<td align="center">2</td>
<td align="center"><a href="javascript:showFB('FB5')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB5</a></td>
<td align="center">MC16</td>
<td align="center">STD</td>
@ -852,9 +865,9 @@
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('iobsOnce_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/Once</a></td>
<td align="center">15</td>
<td align="center">18</td>
<td width="28%"><a href="javascript:showEqn('ramRefReq_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RefReq</a></td>
<td align="center">1</td>
<td align="center">2</td>
<td align="center"><a href="javascript:showFB('FB5')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB5</a></td>
<td align="center">MC17</td>
<td align="center">STD</td>
@ -865,6 +878,19 @@
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('ramRASEL_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RASEL</a></td>
<td align="center">14</td>
<td align="center">12</td>
<td align="center"><a href="javascript:showFB('FB5')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB5</a></td>
<td align="center">MC18</td>
<td align="center">STD</td>
<td align="center"> </td>
<td align="center"> </td>
<td align="center">(b)</td>
<td align="center">(b)</td>
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('iobmETACK_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/ETACK</a></td>
<td align="center">1</td>
<td align="center">6</td>
@ -1333,19 +1359,6 @@
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('ramRASEL_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RASEL</a></td>
<td align="center">14</td>
<td align="center">12</td>
<td align="center"><a href="javascript:showFB('FB8')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB8</a></td>
<td align="center">MC1</td>
<td align="center">STD</td>
<td align="center"> </td>
<td align="center"> </td>
<td align="center">(b)</td>
<td align="center">(b)</td>
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('RA11_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">RA&lt;11&gt;</a></td>
<td align="center">1</td>
<td align="center">1</td>
@ -1359,9 +1372,9 @@
<td align="center"> </td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('cntTimer2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/Timer&lt;2&gt;</a></td>
<td align="center">5</td>
<td align="center">6</td>
<td width="28%"><a href="javascript:showEqn('iobsLoad1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/Load1</a></td>
<td align="center">14</td>
<td align="center">18</td>
<td align="center"><a href="javascript:showFB('FB8')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB8</a></td>
<td align="center">MC3</td>
<td align="center">STD</td>
@ -1372,9 +1385,9 @@
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('cntTimer0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/Timer&lt;0&gt;</a></td>
<td align="center">2</td>
<td width="28%"><a href="javascript:showEqn('iobsIOReady_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/IOReady</a></td>
<td align="center">4</td>
<td align="center">8</td>
<td align="center"><a href="javascript:showFB('FB8')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB8</a></td>
<td align="center">MC4</td>
<td align="center">STD</td>
@ -1386,8 +1399,8 @@
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('nRAS')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nRAS</a></td>
<td align="center">0</td>
<td align="center">0</td>
<td align="center">2</td>
<td align="center">6</td>
<td align="center"><a href="javascript:showFB('FB8')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB8</a></td>
<td align="center">MC5</td>
<td align="center">STD</td>
@ -1411,9 +1424,9 @@
<td align="center"> </td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('cntINITS_FSM_FFd2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/INITS_FSM_FFd2</a></td>
<td align="center">2</td>
<td align="center">6</td>
<td width="28%"><a href="javascript:showEqn('iobsPS_FSM_FFd2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/PS_FSM_FFd2</a></td>
<td align="center">12</td>
<td align="center">19</td>
<td align="center"><a href="javascript:showFB('FB8')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB8</a></td>
<td align="center">MC7</td>
<td align="center">STD</td>
@ -1437,9 +1450,9 @@
<td align="center"> </td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('fsbReady0r_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">fsb/Ready0r</a></td>
<td align="center">2</td>
<td width="28%"><a href="javascript:showEqn('ramRS_FSM_FFd3_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RS_FSM_FFd3</a></td>
<td align="center">7</td>
<td align="center">10</td>
<td align="center"><a href="javascript:showFB('FB8')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB8</a></td>
<td align="center">MC9</td>
<td align="center">STD</td>
@ -1450,8 +1463,8 @@
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('cntTimer1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/Timer&lt;1&gt;</a></td>
<td align="center">4</td>
<td width="28%"><a href="javascript:showEqn('IOL0')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">IOL0</a></td>
<td align="center">3</td>
<td align="center">5</td>
<td align="center"><a href="javascript:showFB('FB8')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB8</a></td>
<td align="center">MC10</td>
@ -1463,9 +1476,9 @@
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('ramRAMReady_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RAMReady</a></td>
<td width="28%"><a href="javascript:showEqn('ramRS_FSM_FFd1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RS_FSM_FFd1</a></td>
<td align="center">3</td>
<td align="center">9</td>
<td align="center">12</td>
<td align="center"><a href="javascript:showFB('FB8')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB8</a></td>
<td align="center">MC11</td>
<td align="center">STD</td>
@ -1489,9 +1502,22 @@
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('ramRAMEN_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RAMEN</a></td>
<td align="center">9</td>
<td align="center">12</td>
<td width="28%"><a href="javascript:showEqn('iobsPS_FSM_FFd1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/PS_FSM_FFd1</a></td>
<td align="center">2</td>
<td align="center">3</td>
<td align="center"><a href="javascript:showFB('FB8')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB8</a></td>
<td align="center">MC13</td>
<td align="center">STD</td>
<td align="center"> </td>
<td align="center"> </td>
<td align="center">(b)</td>
<td align="center">(b)</td>
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('ALE0S')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ALE0S</a></td>
<td align="center">1</td>
<td align="center">2</td>
<td align="center"><a href="javascript:showFB('FB8')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB8</a></td>
<td align="center">MC14</td>
<td align="center">STD</td>
@ -1515,9 +1541,9 @@
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('ramRS_FSM_FFd3_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RS_FSM_FFd3</a></td>
<td align="center">13</td>
<td align="center">12</td>
<td width="28%"><a href="javascript:showEqn('nRESout')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nRESout</a></td>
<td align="center">1</td>
<td align="center">2</td>
<td align="center"><a href="javascript:showFB('FB8')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB8</a></td>
<td align="center">MC16</td>
<td align="center">STD</td>
@ -1528,9 +1554,9 @@
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('cntINITS_FSM_FFd1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/INITS_FSM_FFd1</a></td>
<td align="center">1</td>
<td align="center">7</td>
<td width="28%"><a href="javascript:showEqn('iobsOnce_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/Once</a></td>
<td align="center">15</td>
<td align="center">18</td>
<td align="center"><a href="javascript:showFB('FB8')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB8</a></td>
<td align="center">MC17</td>
<td align="center">STD</td>
@ -1540,19 +1566,6 @@
<td align="center">(b)</td>
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('cntLTimer0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;0&gt;</a></td>
<td align="center">1</td>
<td align="center">3</td>
<td align="center"><a href="javascript:showFB('FB8')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB8</a></td>
<td align="center">MC18</td>
<td align="center">STD</td>
<td align="center"> </td>
<td align="center"> </td>
<td align="center">(b)</td>
<td align="center">(b)</td>
<td align="center">RESET</td>
</tr>
</table></span><form><span class="pgRef"><table width="90%" align="center"><tr>
<td align="left"><input type="button" onclick="javascript:parent.leftnav.showTop()" onmouseover="window.status='goto top of page'; return true;" onmouseout="window.status=''" value="back to top"></td>
<td align="right">

View File

@ -20,7 +20,7 @@
<th align="center">Reg Init State</th>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('iobmVPArf_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/VPArf</a></td>
<td width="28%"><a href="javascript:showEqn('iobmVPArr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/VPArr</a></td>
<td align="center">1</td>
<td align="center">1</td>
<td align="center"><a href="javascript:showFB('FB2')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB2</a></td>
@ -33,7 +33,7 @@
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('iobmRESrr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/RESrr</a></td>
<td width="28%"><a href="javascript:showEqn('iobmVPArf_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/VPArf</a></td>
<td align="center">1</td>
<td align="center">1</td>
<td align="center"><a href="javascript:showFB('FB2')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB2</a></td>
@ -46,7 +46,7 @@
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('iobmIOREQr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOREQr</a></td>
<td width="28%"><a href="javascript:showEqn('iobmRESrf_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/RESrf</a></td>
<td align="center">1</td>
<td align="center">1</td>
<td align="center"><a href="javascript:showFB('FB2')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB2</a></td>
@ -59,9 +59,9 @@
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('ramRefReqSync_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RefReqSync</a></td>
<td align="center">1</td>
<td width="28%"><a href="javascript:showEqn('ramRefUrg_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RefUrg</a></td>
<td align="center">1</td>
<td align="center">2</td>
<td align="center"><a href="javascript:showFB('FB1')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB1</a></td>
<td align="center">MC2</td>
<td align="center">STD</td>
@ -72,9 +72,9 @@
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('ramRefReq_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RefReq</a></td>
<td width="28%"><a href="javascript:showEqn('ramRefReqSync_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RefReqSync</a></td>
<td align="center">1</td>
<td align="center">1</td>
<td align="center">2</td>
<td align="center"><a href="javascript:showFB('FB1')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB1</a></td>
<td align="center">MC3</td>
<td align="center">STD</td>
@ -85,7 +85,7 @@
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('iobmEr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/Er</a></td>
<td width="28%"><a href="javascript:showEqn('iobmEr2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/Er2</a></td>
<td align="center">1</td>
<td align="center">1</td>
<td align="center"><a href="javascript:showFB('FB1')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB1</a></td>
@ -98,7 +98,7 @@
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('iobmDTACKrr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/DTACKrr</a></td>
<td width="28%"><a href="javascript:showEqn('iobmEr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/Er</a></td>
<td align="center">1</td>
<td align="center">1</td>
<td align="center"><a href="javascript:showFB('FB1')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB1</a></td>
@ -111,7 +111,7 @@
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('iobmBERRrr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/BERRrr</a></td>
<td width="28%"><a href="javascript:showEqn('iobmDTACKrf_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/DTACKrf</a></td>
<td align="center">1</td>
<td align="center">1</td>
<td align="center"><a href="javascript:showFB('FB1')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB1</a></td>
@ -124,7 +124,7 @@
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('iobmBERRrf_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/BERRrf</a></td>
<td width="28%"><a href="javascript:showEqn('iobmBERRrr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/BERRrr</a></td>
<td align="center">1</td>
<td align="center">1</td>
<td align="center"><a href="javascript:showFB('FB1')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB1</a></td>
@ -137,7 +137,7 @@
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('cntEr0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/Er&lt;0&gt;</a></td>
<td width="28%"><a href="javascript:showEqn('fsbASrf_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">fsb/ASrf</a></td>
<td align="center">1</td>
<td align="center">1</td>
<td align="center"><a href="javascript:showFB('FB1')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB1</a></td>
@ -150,9 +150,9 @@
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('ALE0S')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ALE0S</a></td>
<td width="28%"><a href="javascript:showEqn('cntnIPL2r_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/nIPL2r</a></td>
<td align="center">1</td>
<td align="center">1</td>
<td align="center">2</td>
<td align="center"><a href="javascript:showFB('FB1')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB1</a></td>
<td align="center">MC12</td>
<td align="center">STD</td>
@ -306,9 +306,9 @@
<td align="center"> </td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('ramRS_FSM_FFd2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RS_FSM_FFd2</a></td>
<td align="center">8</td>
<td align="center">10</td>
<td width="28%"><a href="javascript:showEqn('fsbReady0r_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">fsb/Ready0r</a></td>
<td align="center">2</td>
<td align="center">7</td>
<td align="center"><a href="javascript:showFB('FB5')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB5</a></td>
<td align="center">MC8</td>
<td align="center">STD</td>
@ -384,9 +384,9 @@
<td align="center"> </td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('iobsOnce_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/Once</a></td>
<td align="center">15</td>
<td align="center">18</td>
<td width="28%"><a href="javascript:showEqn('ramRefReq_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RefReq</a></td>
<td align="center">1</td>
<td align="center">2</td>
<td align="center"><a href="javascript:showFB('FB5')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB5</a></td>
<td align="center">MC17</td>
<td align="center">STD</td>
@ -541,8 +541,8 @@
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('nRAS')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nRAS</a></td>
<td align="center">0</td>
<td align="center">0</td>
<td align="center">2</td>
<td align="center">6</td>
<td align="center"><a href="javascript:showFB('FB8')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB8</a></td>
<td align="center">MC5</td>
<td align="center">STD</td>
@ -579,9 +579,9 @@
<td align="center"> </td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('fsbReady0r_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">fsb/Ready0r</a></td>
<td align="center">2</td>
<td width="28%"><a href="javascript:showEqn('ramRS_FSM_FFd3_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RS_FSM_FFd3</a></td>
<td align="center">7</td>
<td align="center">10</td>
<td align="center"><a href="javascript:showFB('FB8')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB8</a></td>
<td align="center">MC9</td>
<td align="center">STD</td>
@ -592,9 +592,9 @@
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('ramRAMReady_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RAMReady</a></td>
<td width="28%"><a href="javascript:showEqn('ramRS_FSM_FFd1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RS_FSM_FFd1</a></td>
<td align="center">3</td>
<td align="center">9</td>
<td align="center">12</td>
<td align="center"><a href="javascript:showFB('FB8')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB8</a></td>
<td align="center">MC11</td>
<td align="center">STD</td>
@ -618,9 +618,9 @@
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('ramRAMEN_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RAMEN</a></td>
<td align="center">9</td>
<td align="center">12</td>
<td width="28%"><a href="javascript:showEqn('ALE0S')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ALE0S</a></td>
<td align="center">1</td>
<td align="center">2</td>
<td align="center"><a href="javascript:showFB('FB8')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB8</a></td>
<td align="center">MC14</td>
<td align="center">STD</td>
@ -644,9 +644,9 @@
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('cntINITS_FSM_FFd1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/INITS_FSM_FFd1</a></td>
<td align="center">1</td>
<td align="center">7</td>
<td width="28%"><a href="javascript:showEqn('iobsOnce_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/Once</a></td>
<td align="center">15</td>
<td align="center">18</td>
<td align="center"><a href="javascript:showFB('FB8')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB8</a></td>
<td align="center">MC17</td>
<td align="center">STD</td>
@ -839,9 +839,9 @@
<td align="center"> </td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('cntEr1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/Er&lt;1&gt;</a></td>
<td align="center">1</td>
<td align="center">1</td>
<td width="28%"><a href="javascript:showEqn('cntTimer0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/Timer&lt;0&gt;</a></td>
<td align="center">2</td>
<td align="center">4</td>
<td align="center"><a href="javascript:showFB('FB4')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB4</a></td>
<td align="center">MC9</td>
<td align="center">STD</td>
@ -865,9 +865,9 @@
<td align="center"> </td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('cntLTimer3_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;3&gt;</a></td>
<td width="28%"><a href="javascript:showEqn('cntLTimer2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;2&gt;</a></td>
<td align="center">2</td>
<td align="center">6</td>
<td align="center">5</td>
<td align="center"><a href="javascript:showFB('FB4')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB4</a></td>
<td align="center">MC12</td>
<td align="center">STD</td>
@ -878,9 +878,9 @@
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('cntLTimer1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;1&gt;</a></td>
<td width="28%"><a href="javascript:showEqn('cntINITS_FSM_FFd2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/INITS_FSM_FFd2</a></td>
<td align="center">2</td>
<td align="center">4</td>
<td align="center">6</td>
<td align="center"><a href="javascript:showFB('FB4')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB4</a></td>
<td align="center">MC14</td>
<td align="center">STD</td>
@ -904,9 +904,9 @@
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('IOL0')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">IOL0</a></td>
<td align="center">3</td>
<td width="28%"><a href="javascript:showEqn('cntTimer2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/Timer&lt;2&gt;</a></td>
<td align="center">5</td>
<td align="center">6</td>
<td align="center"><a href="javascript:showFB('FB4')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB4</a></td>
<td align="center">MC17</td>
<td align="center">STD</td>
@ -917,9 +917,9 @@
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('ramRefUrg_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RefUrg</a></td>
<td width="28%"><a href="javascript:showEqn('ramRegUrgSync_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RegUrgSync</a></td>
<td align="center">1</td>
<td align="center">1</td>
<td align="center">2</td>
<td align="center"><a href="javascript:showFB('FB1')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB1</a></td>
<td align="center">MC1</td>
<td align="center">STD</td>
@ -949,7 +949,7 @@
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('iobmDTACKrf_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/DTACKrf</a></td>
<td width="28%"><a href="javascript:showEqn('iobmDTACKrr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/DTACKrr</a></td>
<td align="center">1</td>
<td align="center">1</td>
<td align="center"><a href="javascript:showFB('FB1')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB1</a></td>
@ -965,7 +965,7 @@
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('cntnIPL2r_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/nIPL2r</a></td>
<td width="28%"><a href="javascript:showEqn('iobmBERRrf_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/BERRrf</a></td>
<td align="center">1</td>
<td align="center">1</td>
<td align="center"><a href="javascript:showFB('FB1')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB1</a></td>
@ -981,9 +981,9 @@
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('ramRS_FSM_FFd1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RS_FSM_FFd1</a></td>
<td align="center">2</td>
<td align="center">3</td>
<td width="28%"><a href="javascript:showEqn('cntEr0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/Er&lt;0&gt;</a></td>
<td align="center">1</td>
<td align="center">1</td>
<td align="center"><a href="javascript:showFB('FB1')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB1</a></td>
<td align="center">MC13</td>
<td align="center">STD</td>
@ -1013,7 +1013,7 @@
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('ramRefDone_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RefDone</a></td>
<td width="28%"><a href="javascript:showEqn('IOU0')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">IOU0</a></td>
<td align="center">3</td>
<td align="center">5</td>
<td align="center"><a href="javascript:showFB('FB1')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB1</a></td>
@ -1029,23 +1029,7 @@
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('iobmVPArr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/VPArr</a></td>
<td align="center">1</td>
<td align="center">1</td>
<td align="center"><a href="javascript:showFB('FB2')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB2</a></td>
<td align="center">MC13</td>
<td align="center">STD</td>
<td align="center"> </td>
<td align="center"> </td>
<td align="center">(b)</td>
<td align="center">(b)</td>
<td align="center">D</td>
<td align="center"> </td>
<td align="center"> </td>
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('iobmRESrf_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/RESrf</a></td>
<td width="28%"><a href="javascript:showEqn('iobmRESrr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/RESrr</a></td>
<td align="center">1</td>
<td align="center">1</td>
<td align="center"><a href="javascript:showFB('FB2')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB2</a></td>
@ -1061,7 +1045,7 @@
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('iobmEr2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/Er2</a></td>
<td width="28%"><a href="javascript:showEqn('iobmIOREQr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOREQr</a></td>
<td align="center">1</td>
<td align="center">1</td>
<td align="center"><a href="javascript:showFB('FB2')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB2</a></td>
@ -1141,9 +1125,9 @@
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('ramRegUrgSync_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RegUrgSync</a></td>
<td align="center">1</td>
<td width="28%"><a href="javascript:showEqn('cntLTimer0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;0&gt;</a></td>
<td align="center">1</td>
<td align="center">3</td>
<td align="center"><a href="javascript:showFB('FB4')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB4</a></td>
<td align="center">MC1</td>
<td align="center">STD</td>
@ -1151,15 +1135,15 @@
<td align="center"> </td>
<td align="center">(b)</td>
<td align="center">(b)</td>
<td align="center">D</td>
<td align="center">T</td>
<td align="center"> </td>
<td align="center"> </td>
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('ramBACTr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/BACTr</a></td>
<td width="28%"><a href="javascript:showEqn('cntINITS_FSM_FFd1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/INITS_FSM_FFd1</a></td>
<td align="center">1</td>
<td align="center">2</td>
<td align="center">7</td>
<td align="center"><a href="javascript:showFB('FB4')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB4</a></td>
<td align="center">MC3</td>
<td align="center">STD</td>
@ -1167,33 +1151,17 @@
<td align="center"> </td>
<td align="center">(b)</td>
<td align="center">(b)</td>
<td align="center">D</td>
<td align="center">T</td>
<td align="center"> </td>
<td align="center"> </td>
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('nRESout')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nRESout</a></td>
<td align="center">1</td>
<td align="center">2</td>
<td align="center"><a href="javascript:showFB('FB4')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB4</a></td>
<td align="center">MC4</td>
<td align="center">STD</td>
<td align="center"> </td>
<td align="center"> </td>
<td align="center">(b)</td>
<td align="center">(b)</td>
<td align="center">D</td>
<td align="center"> </td>
<td align="center"> </td>
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('fsbASrf_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">fsb/ASrf</a></td>
<td width="28%"><a href="javascript:showEqn('cntEr1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/Er&lt;1&gt;</a></td>
<td align="center">1</td>
<td align="center">1</td>
<td align="center"><a href="javascript:showFB('FB4')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB4</a></td>
<td align="center">MC7</td>
<td align="center">MC4</td>
<td align="center">STD</td>
<td align="center"> </td>
<td align="center"> </td>
@ -1209,7 +1177,7 @@
<td align="center">2</td>
<td align="center">6</td>
<td align="center"><a href="javascript:showFB('FB4')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB4</a></td>
<td align="center">MC10</td>
<td align="center">MC7</td>
<td align="center">STD</td>
<td align="center"> </td>
<td align="center"> </td>
@ -1221,9 +1189,25 @@
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('cntLTimer2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;2&gt;</a></td>
<td width="28%"><a href="javascript:showEqn('cntLTimer3_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;3&gt;</a></td>
<td align="center">2</td>
<td align="center">5</td>
<td align="center">6</td>
<td align="center"><a href="javascript:showFB('FB4')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB4</a></td>
<td align="center">MC10</td>
<td align="center">STD</td>
<td align="center"> </td>
<td align="center"> </td>
<td align="center">(b)</td>
<td align="center">(b)</td>
<td align="center">T</td>
<td align="center"> </td>
<td align="center"> </td>
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('cntLTimer1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;1&gt;</a></td>
<td align="center">2</td>
<td align="center">4</td>
<td align="center"><a href="javascript:showFB('FB4')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB4</a></td>
<td align="center">MC13</td>
<td align="center">STD</td>
@ -1237,8 +1221,8 @@
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('IOU0')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">IOU0</a></td>
<td align="center">3</td>
<td width="28%"><a href="javascript:showEqn('cntTimer1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/Timer&lt;1&gt;</a></td>
<td align="center">4</td>
<td align="center">5</td>
<td align="center"><a href="javascript:showFB('FB4')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB4</a></td>
<td align="center">MC16</td>
@ -1269,9 +1253,9 @@
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('iobsLoad1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/Load1</a></td>
<td align="center">14</td>
<td align="center">18</td>
<td width="28%"><a href="javascript:showEqn('ramRAMEN_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RAMEN</a></td>
<td align="center">9</td>
<td align="center">12</td>
<td align="center"><a href="javascript:showFB('FB5')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB5</a></td>
<td align="center">MC3</td>
<td align="center">STD</td>
@ -1285,9 +1269,25 @@
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('iobsPS_FSM_FFd2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/PS_FSM_FFd2</a></td>
<td width="28%"><a href="javascript:showEqn('ramRAMReady_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RAMReady</a></td>
<td align="center">9</td>
<td align="center">12</td>
<td align="center">19</td>
<td align="center"><a href="javascript:showFB('FB5')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB5</a></td>
<td align="center">MC4</td>
<td align="center">STD</td>
<td align="center"> </td>
<td align="center"> </td>
<td align="center">(b)</td>
<td align="center">(b)</td>
<td align="center">D</td>
<td align="center"> </td>
<td align="center"> </td>
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('ramRS_FSM_FFd2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RS_FSM_FFd2</a></td>
<td align="center">7</td>
<td align="center">11</td>
<td align="center"><a href="javascript:showFB('FB5')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB5</a></td>
<td align="center">MC7</td>
<td align="center">STD</td>
@ -1301,9 +1301,9 @@
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('iobsPS_FSM_FFd1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/PS_FSM_FFd1</a></td>
<td width="28%"><a href="javascript:showEqn('ramRefDone_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RefDone</a></td>
<td align="center">2</td>
<td align="center">3</td>
<td align="center">4</td>
<td align="center"><a href="javascript:showFB('FB5')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB5</a></td>
<td align="center">MC10</td>
<td align="center">STD</td>
@ -1317,9 +1317,25 @@
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('iobsIOReady_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/IOReady</a></td>
<td align="center">4</td>
<td align="center">8</td>
<td width="28%"><a href="javascript:showEqn('ramBACTr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/BACTr</a></td>
<td align="center">1</td>
<td align="center">2</td>
<td align="center"><a href="javascript:showFB('FB5')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB5</a></td>
<td align="center">MC13</td>
<td align="center">STD</td>
<td align="center"> </td>
<td align="center"> </td>
<td align="center">(b)</td>
<td align="center">(b)</td>
<td align="center">D</td>
<td align="center"> </td>
<td align="center"> </td>
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('ramRefRAS_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RefRAS</a></td>
<td align="center">1</td>
<td align="center">2</td>
<td align="center"><a href="javascript:showFB('FB5')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB5</a></td>
<td align="center">MC16</td>
<td align="center">STD</td>
@ -1327,7 +1343,23 @@
<td align="center"> </td>
<td align="center">(b)</td>
<td align="center">(b)</td>
<td align="center">T</td>
<td align="center">D</td>
<td align="center"> </td>
<td align="center"> </td>
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('ramRASEL_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RASEL</a></td>
<td align="center">14</td>
<td align="center">12</td>
<td align="center"><a href="javascript:showFB('FB5')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB5</a></td>
<td align="center">MC18</td>
<td align="center">STD</td>
<td align="center"> </td>
<td align="center"> </td>
<td align="center">(b)</td>
<td align="center">(b)</td>
<td align="center">D</td>
<td align="center"> </td>
<td align="center"> </td>
<td align="center">RESET</td>
@ -1589,25 +1621,9 @@
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('ramRASEL_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RASEL</a></td>
<td width="28%"><a href="javascript:showEqn('iobsLoad1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/Load1</a></td>
<td align="center">14</td>
<td align="center">12</td>
<td align="center"><a href="javascript:showFB('FB8')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB8</a></td>
<td align="center">MC1</td>
<td align="center">STD</td>
<td align="center"> </td>
<td align="center"> </td>
<td align="center">(b)</td>
<td align="center">(b)</td>
<td align="center">D</td>
<td align="center"> </td>
<td align="center"> </td>
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('cntTimer2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/Timer&lt;2&gt;</a></td>
<td align="center">5</td>
<td align="center">6</td>
<td align="center">18</td>
<td align="center"><a href="javascript:showFB('FB8')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB8</a></td>
<td align="center">MC3</td>
<td align="center">STD</td>
@ -1621,9 +1637,9 @@
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('cntTimer0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/Timer&lt;0&gt;</a></td>
<td align="center">2</td>
<td width="28%"><a href="javascript:showEqn('iobsIOReady_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/IOReady</a></td>
<td align="center">4</td>
<td align="center">8</td>
<td align="center"><a href="javascript:showFB('FB8')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB8</a></td>
<td align="center">MC4</td>
<td align="center">STD</td>
@ -1637,9 +1653,9 @@
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('cntINITS_FSM_FFd2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/INITS_FSM_FFd2</a></td>
<td align="center">2</td>
<td align="center">6</td>
<td width="28%"><a href="javascript:showEqn('iobsPS_FSM_FFd2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/PS_FSM_FFd2</a></td>
<td align="center">12</td>
<td align="center">19</td>
<td align="center"><a href="javascript:showFB('FB8')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB8</a></td>
<td align="center">MC7</td>
<td align="center">STD</td>
@ -1653,8 +1669,8 @@
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('cntTimer1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/Timer&lt;1&gt;</a></td>
<td align="center">4</td>
<td width="28%"><a href="javascript:showEqn('IOL0')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">IOL0</a></td>
<td align="center">3</td>
<td align="center">5</td>
<td align="center"><a href="javascript:showFB('FB8')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB8</a></td>
<td align="center">MC10</td>
@ -1669,9 +1685,25 @@
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('ramRS_FSM_FFd3_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RS_FSM_FFd3</a></td>
<td align="center">13</td>
<td align="center">12</td>
<td width="28%"><a href="javascript:showEqn('iobsPS_FSM_FFd1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/PS_FSM_FFd1</a></td>
<td align="center">2</td>
<td align="center">3</td>
<td align="center"><a href="javascript:showFB('FB8')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB8</a></td>
<td align="center">MC13</td>
<td align="center">STD</td>
<td align="center"> </td>
<td align="center"> </td>
<td align="center">(b)</td>
<td align="center">(b)</td>
<td align="center">D</td>
<td align="center"> </td>
<td align="center"> </td>
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('nRESout')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nRESout</a></td>
<td align="center">1</td>
<td align="center">2</td>
<td align="center"><a href="javascript:showFB('FB8')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB8</a></td>
<td align="center">MC16</td>
<td align="center">STD</td>
@ -1679,23 +1711,7 @@
<td align="center"> </td>
<td align="center">(b)</td>
<td align="center">(b)</td>
<td align="center">T</td>
<td align="center"> </td>
<td align="center"> </td>
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('cntLTimer0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;0&gt;</a></td>
<td align="center">1</td>
<td align="center">3</td>
<td align="center"><a href="javascript:showFB('FB8')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB8</a></td>
<td align="center">MC18</td>
<td align="center">STD</td>
<td align="center"> </td>
<td align="center"> </td>
<td align="center">(b)</td>
<td align="center">(b)</td>
<td align="center">T</td>
<td align="center">D</td>
<td align="center"> </td>
<td align="center"> </td>
<td align="center">RESET</td>

View File

@ -30,7 +30,7 @@
<tr>
<td width="40%"> <b>Date</b>
</td>
<td width="60%">  3-26-2023, 4:30AM</td>
<td width="60%">  3-27-2023, 9:56AM</td>
</tr>
</table></span><br><span id="sumres" class="pgRef"><h5 align="center">RESOURCES SUMMARY</h5>
<table align="center" width="90%" border="1" cellspacing="0" cellpadding="0">
@ -42,11 +42,11 @@
<th width="20%">Function Block Inputs Used</th>
</tr>
<tr>
<td width="20%" align="center">118/144  (82%)</td>
<td width="20%" align="center">384/720  (54%)</td>
<td width="20%" align="center">93/144  (65%)</td>
<td width="20%" align="center">119/144  (83%)</td>
<td width="20%" align="center">380/720  (53%)</td>
<td width="20%" align="center">94/144  (66%)</td>
<td width="20%" align="center">71/81  (88%)</td>
<td width="20%" align="center">224/432  (52%)</td>
<td width="20%" align="center">214/432  (50%)</td>
</tr>
</table></span><br><span id="pinres" class="pgRef"><h5 align="center">PIN RESOURCES</h5>
<table align="center" width="90%" border="0" cellspacing="0" cellpadding="0"><tr>
@ -133,7 +133,7 @@
<table align="center" width="90%" border="1" cellspacing="0" cellpadding="0">
<tr>
<td width="50%"> Macrocells in high performance mode (MCHP)</td>
<td width="50%"> 118</td>
<td width="50%"> 119</td>
</tr>
<tr>
<td width="50%"> Macrocells in low power mode (MCLP)</td>
@ -141,7 +141,7 @@
</tr>
<tr>
<td width="50%"> Total macrocells used (MC)</td>
<td width="50%"> 118</td>
<td width="50%"> 119</td>
</tr>
</table></span><form><span class="pgRef"><table width="90%" align="center"><tr>
<td align="left"><input type="button" onclick="javascript:parent.leftnav.showTop()" onmouseover="window.status='goto top of page'; return true;" onmouseout="window.status=''" value="back to top"></td>

View File

@ -5,7 +5,7 @@
The structure and the elements are likely to change over the next few releases.
This means code written to parse this file will need to be revisited each subsequent release.-->
<application stringID="NgdBuild" timeStamp="Sun Mar 26 04:30:07 2023">
<application stringID="NgdBuild" timeStamp="Mon Mar 27 09:56:06 2023">
<section stringID="User_Env">
<table stringID="User_EnvVar">
<column stringID="variable"/>
@ -66,36 +66,36 @@
<item dataType="int" stringID="NGDBUILD_NUM_INFOS" value="0"/>
</section>
<section stringID="NGDBUILD_PRE_UNISIM_SUMMARY">
<item dataType="int" stringID="NGDBUILD_NUM_AND2" value="172"/>
<item dataType="int" stringID="NGDBUILD_NUM_AND3" value="27"/>
<item dataType="int" stringID="NGDBUILD_NUM_AND2" value="184"/>
<item dataType="int" stringID="NGDBUILD_NUM_AND3" value="25"/>
<item dataType="int" stringID="NGDBUILD_NUM_AND4" value="7"/>
<item dataType="int" stringID="NGDBUILD_NUM_AND5" value="3"/>
<item dataType="int" stringID="NGDBUILD_NUM_FD" value="56"/>
<item dataType="int" stringID="NGDBUILD_NUM_AND5" value="2"/>
<item dataType="int" stringID="NGDBUILD_NUM_FD" value="57"/>
<item dataType="int" stringID="NGDBUILD_NUM_FDCE" value="37"/>
<item dataType="int" stringID="NGDBUILD_NUM_GND" value="6"/>
<item dataType="int" stringID="NGDBUILD_NUM_IBUF" value="35"/>
<item dataType="int" stringID="NGDBUILD_NUM_INV" value="226"/>
<item dataType="int" stringID="NGDBUILD_NUM_INV" value="234"/>
<item dataType="int" stringID="NGDBUILD_NUM_OBUF" value="31"/>
<item dataType="int" stringID="NGDBUILD_NUM_OR2" value="97"/>
<item dataType="int" stringID="NGDBUILD_NUM_OR3" value="4"/>
<item dataType="int" stringID="NGDBUILD_NUM_OR3" value="7"/>
<item dataType="int" stringID="NGDBUILD_NUM_OR4" value="1"/>
<item dataType="int" stringID="NGDBUILD_NUM_VCC" value="3"/>
<item dataType="int" stringID="NGDBUILD_NUM_XOR2" value="20"/>
<item dataType="int" stringID="NGDBUILD_NUM_VCC" value="2"/>
<item dataType="int" stringID="NGDBUILD_NUM_XOR2" value="19"/>
</section>
<section stringID="NGDBUILD_POST_UNISIM_SUMMARY">
<item dataType="int" stringID="NGDBUILD_NUM_AND2" value="172"/>
<item dataType="int" stringID="NGDBUILD_NUM_AND3" value="27"/>
<item dataType="int" stringID="NGDBUILD_NUM_AND2" value="184"/>
<item dataType="int" stringID="NGDBUILD_NUM_AND3" value="25"/>
<item dataType="int" stringID="NGDBUILD_NUM_AND4" value="7"/>
<item dataType="int" stringID="NGDBUILD_NUM_AND5" value="3"/>
<item dataType="int" stringID="NGDBUILD_NUM_GND" value="62"/>
<item dataType="int" stringID="NGDBUILD_NUM_AND5" value="2"/>
<item dataType="int" stringID="NGDBUILD_NUM_GND" value="63"/>
<item dataType="int" stringID="NGDBUILD_NUM_IBUF" value="40"/>
<item dataType="int" stringID="NGDBUILD_NUM_INV" value="226"/>
<item dataType="int" stringID="NGDBUILD_NUM_INV" value="234"/>
<item dataType="int" stringID="NGDBUILD_NUM_OBUF" value="31"/>
<item dataType="int" stringID="NGDBUILD_NUM_OR2" value="97"/>
<item dataType="int" stringID="NGDBUILD_NUM_OR3" value="4"/>
<item dataType="int" stringID="NGDBUILD_NUM_OR3" value="7"/>
<item dataType="int" stringID="NGDBUILD_NUM_OR4" value="1"/>
<item dataType="int" stringID="NGDBUILD_NUM_VCC" value="3"/>
<item dataType="int" stringID="NGDBUILD_NUM_XOR2" value="20"/>
<item dataType="int" stringID="NGDBUILD_NUM_VCC" value="2"/>
<item dataType="int" stringID="NGDBUILD_NUM_XOR2" value="19"/>
</section>
<section stringID="NGDBUILD_CORE_GENERATION_SUMMARY">
<section stringID="NGDBUILD_CORE_INSTANCES"/>

View File

@ -1,7 +1,7 @@
Release 8.1i - Fit P.20131013
Copyright(c) 1995-2003 Xilinx Inc. All rights reserved
3-26-2023 4:30AM
3-27-2023 9:56AM
NOTE: This file is designed to be imported into a spreadsheet program
such as Microsoft Excel for viewing, printing and sorting. The comma ','

1 Release 8.1i - Fit P.20131013
2 Copyright(c) 1995-2003 Xilinx Inc. All rights reserved
3 3-26-2023 4:30AM 3-27-2023 9:56AM
4 NOTE: This file is designed to be imported into a spreadsheet program
5 such as Microsoft Excel for viewing, printing and sorting. The comma ','
6 character is used as the data field separator.
7 This file is also designed to support parsing.

View File

@ -2,7 +2,7 @@
<BODY TEXT='#000000' BGCOLOR='#FFFFFF' LINK='#0000EE' VLINK='#551A8B' ALINK='#FF0000'>
<TABLE BORDER CELLSPACING=0 CELLPADDING=3 WIDTH='100%'>
<TR ALIGN=CENTER BGCOLOR='#99CCFF'>
<TD ALIGN=CENTER COLSPAN='4'><B>WarpSE Project Status (03/26/2023 - 04:30:29)</B></TD></TR>
<TD ALIGN=CENTER COLSPAN='4'><B>WarpSE Project Status (03/27/2023 - 09:56:27)</B></TD></TR>
<TR ALIGN=LEFT>
<TD BGCOLOR='#FFFF99'><B>Project File:</B></TD>
<TD>WarpSE.xise</TD>
@ -25,7 +25,7 @@ No Errors</TD>
<TR ALIGN=LEFT>
<TD BGCOLOR='#FFFF99'><B>Product Version:</B></TD><TD>ISE 14.7</TD>
<TD BGCOLOR='#FFFF99'><UL><LI><B>Warnings:</B></LI></UL></TD>
<TD ALIGN=LEFT><A HREF_DISABLED='C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL\_xmsgs/*.xmsgs?&DataKey=Warning'>5 Warnings (0 new)</A></TD>
<TD ALIGN=LEFT><A HREF_DISABLED='C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL\_xmsgs/*.xmsgs?&DataKey=Warning'>4 Warnings (0 new)</A></TD>
</TR>
<TR ALIGN=LEFT>
<TD BGCOLOR='#FFFF99'><B>Design Goal:</B></dif></TD>
@ -65,9 +65,9 @@ System Settings</A>
<TR ALIGN=CENTER BGCOLOR='#99CCFF'><TD ALIGN=CENTER COLSPAN='6'><B>Detailed Reports</B></TD><TD ALIGN=RIGHT WIDTH='10%'COLSPAN=1> <A HREF_DISABLED="?&ExpandedTable=DetailedReports"><B>[-]</B></a></TD></TR>
<TR BGCOLOR='#FFFF99'><TD><B>Report Name</B></TD><TD><B>Status</B></TD><TD><B>Generated</B></TD>
<TD ALIGN=LEFT><B>Errors</B></TD><TD ALIGN=LEFT><B>Warnings</B></TD><TD ALIGN=LEFT COLSPAN='2'><B>Infos</B></TD></TR>
<TR ALIGN=LEFT><TD><A HREF_DISABLED='C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL\WarpSE.syr'>Synthesis Report</A></TD><TD>Current</TD><TD>Sun Mar 26 04:30:02 2023</TD><TD ALIGN=LEFT>0</TD><TD ALIGN=LEFT><A HREF_DISABLED='C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL\_xmsgs/xst.xmsgs?&DataKey=Warning'>5 Warnings (0 new)</A></TD><TD ALIGN=LEFT COLSPAN='2'>0</TD></TR>
<TR ALIGN=LEFT><TD><A HREF_DISABLED='C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL\WarpSE.bld'>Translation Report</A></TD><TD>Current</TD><TD>Sun Mar 26 04:30:07 2023</TD><TD ALIGN=LEFT>0</TD><TD ALIGN=LEFT>0</TD><TD ALIGN=LEFT COLSPAN='2'>0</TD></TR>
<TR ALIGN=LEFT><TD><A HREF_DISABLED='C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL\WarpSE.rpt'>CPLD Fitter Report (Text)</A></TD><TD>Current</TD><TD>Sun Mar 26 04:30:20 2023</TD><TD ALIGN=LEFT>0</TD><TD ALIGN=LEFT><A HREF_DISABLED='C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL\_xmsgs/cpldfit.xmsgs?&DataKey=Warning'>5 Warnings (1 new)</A></TD><TD ALIGN=LEFT COLSPAN='2'><A HREF_DISABLED='C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL\_xmsgs/cpldfit.xmsgs?&DataKey=Info'>3 Infos (3 new)</A></TD></TR>
<TR ALIGN=LEFT><TD><A HREF_DISABLED='C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL\WarpSE.syr'>Synthesis Report</A></TD><TD>Current</TD><TD>Mon Mar 27 09:56:00 2023</TD><TD ALIGN=LEFT>0</TD><TD ALIGN=LEFT><A HREF_DISABLED='C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL\_xmsgs/xst.xmsgs?&DataKey=Warning'>4 Warnings (0 new)</A></TD><TD ALIGN=LEFT COLSPAN='2'>0</TD></TR>
<TR ALIGN=LEFT><TD><A HREF_DISABLED='C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL\WarpSE.bld'>Translation Report</A></TD><TD>Current</TD><TD>Mon Mar 27 09:56:06 2023</TD><TD ALIGN=LEFT>0</TD><TD ALIGN=LEFT>0</TD><TD ALIGN=LEFT COLSPAN='2'>0</TD></TR>
<TR ALIGN=LEFT><TD><A HREF_DISABLED='C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL\WarpSE.rpt'>CPLD Fitter Report (Text)</A></TD><TD>Current</TD><TD>Mon Mar 27 09:56:18 2023</TD><TD ALIGN=LEFT>0</TD><TD ALIGN=LEFT><A HREF_DISABLED='C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL\_xmsgs/cpldfit.xmsgs?&DataKey=Warning'>5 Warnings (1 new)</A></TD><TD ALIGN=LEFT COLSPAN='2'><A HREF_DISABLED='C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL\_xmsgs/cpldfit.xmsgs?&DataKey=Info'>3 Infos (3 new)</A></TD></TR>
<TR ALIGN=LEFT><TD>Power Report</TD><TD>&nbsp;</TD><TD>&nbsp;</TD><TD>&nbsp;</TD><TD>&nbsp;</TD><TD COLSPAN='2'>&nbsp;</TD></TR>
</TABLE>
&nbsp;<BR><TABLE BORDER CELLSPACING=0 CELLPADDING=3 WIDTH='100%'>
@ -77,5 +77,5 @@ System Settings</A>
</TABLE>
<br><center><b>Date Generated:</b> 03/26/2023 - 04:30:29</center>
<br><center><b>Date Generated:</b> 03/27/2023 - 09:56:27</center>
</BODY></HTML>

View File

@ -5,7 +5,7 @@
The structure and the elements are likely to change over the next few releases.
This means code written to parse this file will need to be revisited each subsequent release.-->
<application stringID="Xst" timeStamp="Sun Mar 26 04:29:57 2023">
<application stringID="Xst" timeStamp="Mon Mar 27 09:55:55 2023">
<section stringID="User_Env">
<table stringID="User_EnvVar">
<column stringID="variable"/>
@ -78,8 +78,8 @@
<item dataType="int" stringID="XST_COUNTERS" value="3">
<item dataType="int" stringID="XST_4BIT_UP_COUNTER" value="1"/>
</item>
<item dataType="int" stringID="XST_REGISTERS" value="60">
<item dataType="int" stringID="XST_1BIT_REGISTER" value="59"/>
<item dataType="int" stringID="XST_REGISTERS" value="61">
<item dataType="int" stringID="XST_1BIT_REGISTER" value="60"/>
<item dataType="int" stringID="XST_2BIT_REGISTER" value="1"/>
</item>
<item dataType="int" stringID="XST_TRISTATES" value="5">
@ -91,8 +91,8 @@
<item dataType="int" stringID="XST_COUNTERS" value="3">
<item dataType="int" stringID="XST_4BIT_UP_COUNTER" value="1"/>
</item>
<item dataType="int" stringID="XST_REGISTERS" value="47">
<item dataType="int" stringID="XST_FLIPFLOPS" value="47"/>
<item dataType="int" stringID="XST_REGISTERS" value="48">
<item dataType="int" stringID="XST_FLIPFLOPS" value="48"/>
</item>
</section>
<section stringID="XST_PARTITION_REPORT">
@ -112,18 +112,18 @@
<item stringID="XST_IOS" value="75"/>
</section>
<section stringID="XST_CELL_USAGE">
<item dataType="int" stringID="XST_BELS" value="571">
<item dataType="int" stringID="XST_AND2" value="172"/>
<item dataType="int" stringID="XST_AND3" value="27"/>
<item dataType="int" stringID="XST_BELS" value="590">
<item dataType="int" stringID="XST_AND2" value="184"/>
<item dataType="int" stringID="XST_AND3" value="25"/>
<item dataType="int" stringID="XST_AND4" value="7"/>
<item dataType="int" stringID="XST_GND" value="6"/>
<item dataType="int" stringID="XST_INV" value="226"/>
<item dataType="int" stringID="XST_INV" value="234"/>
<item dataType="int" stringID="XST_OR2" value="97"/>
<item dataType="int" stringID="XST_VCC" value="3"/>
<item dataType="int" stringID="XST_XOR2" value="20"/>
<item dataType="int" stringID="XST_VCC" value="2"/>
<item dataType="int" stringID="XST_XOR2" value="19"/>
</item>
<item dataType="int" stringID="XST_FLIPFLOPSLATCHES" value="93">
<item dataType="int" stringID="XST_FD" value="56"/>
<item dataType="int" stringID="XST_FLIPFLOPSLATCHES" value="94">
<item dataType="int" stringID="XST_FD" value="57"/>
<item dataType="int" stringID="XST_FDCE" value="37"/>
</item>
<item dataType="int" stringID="XST_IO_BUFFERS" value="71">
@ -134,7 +134,7 @@
</section>
<section stringID="XST_ERRORS_STATISTICS">
<item dataType="int" filtered="0" stringID="XST_NUMBER_OF_ERRORS" value="0"/>
<item dataType="int" filtered="0" stringID="XST_NUMBER_OF_WARNINGS" value="5"/>
<item dataType="int" filtered="0" stringID="XST_NUMBER_OF_WARNINGS" value="4"/>
<item dataType="int" filtered="0" stringID="XST_NUMBER_OF_INFOS" value="0"/>
</section>
</application>

View File

@ -1,2 +1,2 @@
C:\Users\Wolf\Documents\GitHub\Warp-SE\cpld\XC95144XL\WarpSE.ngc 1679819402
C:\Users\Wolf\Documents\GitHub\Warp-SE\cpld\XC95144XL\WarpSE.ngc 1679925360
OK

View File

@ -8,9 +8,6 @@
<msg type="warning" file="HDLCompilers" num="259" delta="old" ><arg fmt="%s" index="1">&quot;../WarpSE.v&quot; line 139 </arg>Connection to input port &apos;<arg fmt="%s" index="2">Ready2</arg>&apos; does not match port size
</msg>
<msg type="warning" file="Xst" num="646" delta="old" >Signal &lt;<arg fmt="%s" index="1">RefRAS</arg>&gt; is assigned but never used. This unconnected signal will be trimmed during the optimization process.
</msg>
<msg type="warning" file="Xst" num="647" delta="old" >Input &lt;<arg fmt="%s" index="1">SW</arg>&gt; is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
</msg>

View File

@ -1,7 +1,7 @@
<?xml version='1.0' encoding='UTF-8'?>
<report-views version="2.0" >
<header>
<DateModified>2023-03-26T03:40:09</DateModified>
<DateModified>2023-03-27T06:25:21</DateModified>
<ModuleName>WarpSE</ModuleName>
<SummaryTimeStamp>Unknown</SummaryTimeStamp>
<SavedFilePath>C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/iseconfig/WarpSE.xreport</SavedFilePath>

View File

@ -3,7 +3,7 @@
<!--The data in this file is primarily intended for consumption by Xilinx tools.
The structure and the elements are likely to change over the next few releases.
This means code written to parse this file will need to be revisited each subsequent release.-->
<application name="pn" timeStamp="Sun Mar 26 04:29:56 2023">
<application name="pn" timeStamp="Mon Mar 27 09:55:54 2023">
<section name="Project Information" visible="false">
<property name="ProjectID" value="17F4E7DEC0A14EDB82C58FCA99308E56" type="project"/>
<property name="ProjectIteration" value="0" type="project"/>

View File

@ -1,7 +1,7 @@
MO CNT NULL ../CNT.v vlg65/_c_n_t.bin 1679819397
MO CS NULL ../CS.v vlg22/_c_s.bin 1679819397
MO FSB NULL ../FSB.v vlg37/_f_s_b.bin 1679819397
MO IOBM NULL ../IOBM.v vlg73/_i_o_b_m.bin 1679819397
MO WarpSE NULL ../WarpSE.v vlg52/_warp_s_e.bin 1679819397
MO IOBS NULL ../IOBS.v vlg79/_i_o_b_s.bin 1679819397
MO RAM NULL ../RAM.v vlg14/_r_a_m.bin 1679819397
MO CNT NULL ../CNT.v vlg65/_c_n_t.bin 1679925356
MO CS NULL ../CS.v vlg22/_c_s.bin 1679925356
MO FSB NULL ../FSB.v vlg37/_f_s_b.bin 1679925356
MO IOBM NULL ../IOBM.v vlg73/_i_o_b_m.bin 1679925356
MO WarpSE NULL ../WarpSE.v vlg52/_warp_s_e.bin 1679925356
MO IOBS NULL ../IOBS.v vlg79/_i_o_b_s.bin 1679925356
MO RAM NULL ../RAM.v vlg14/_r_a_m.bin 1679925356