RAM working!!

This commit is contained in:
Zane Kaminski 2023-04-07 02:33:04 -04:00
parent 804e84d1e2
commit b8e6cb393d
75 changed files with 14034 additions and 17073 deletions

View File

@ -25,7 +25,7 @@ module CS(
/* RAM select signals */
assign RAMCS0X = A[23:22]==2'b00;
assign RAMCS = 0;// RAMCS0X && !Overlay;
assign RAMCS = RAMCS0X && !Overlay;
wire VidRAMCSWR64k = RAMCS && !nWE && (A[23:20]==4'h3) && (A[19:16]==4'hF); // 3F0000-3FFFFF
wire VidRAMCSWR = VidRAMCSWR64k && (
(A[15:12]==4'h2) || // 1792 bytes RAM, 2304 bytes video
@ -40,9 +40,6 @@ module CS(
(A[15:12]==4'hD) || // 4096 bytes video
(A[15:12]==4'hE) || // 4096 bytes video
(A[15:12]==4'hF)); // 3200 bytes video, 128 bytes RAM (system error space), 768 bytes sound
assign SndRAMCSWR = VidRAMCSWR64k && (
((A[15:12]==4'hF) && ((A[11:8]==4'hD) || (A[11:8]==4'hE) || (A[11:8]==4'hF))) ||
((A[15:12]==4'hA) && ((A[11:8]==4'h1) || (A[11:8]==4'h2) || (A[11:8]==4'h3))));
/* Select signals - IOB domain */
assign IACS = (A[23:20]==4'hF) && (A[19:18]==2'b11); // IACK
@ -57,7 +54,6 @@ module CS(
(A[23:20]==4'h7) || // empty
(A[23:20]==4'h6) || // empty
(A[23:20]==4'h5) || // SCSI
((A[23:20]==4'h4) && Overlay) || // ROM once
(A[23:22]==2'b00); // IORAM
assign IOPWCS = (A[23:22]==2'b00) && !nWE;
((A[23:20]==4'h4) && Overlay); // ROM once
assign IOPWCS = VidRAMCSWR;
endmodule

View File

@ -7,7 +7,6 @@ module FSB(
input ROMCS,
input RAMCS, input RAMReady,
input IOPWCS, input IOPWReady, input IONPReady,
input QoSCS, input QoSReady,
/* Interrupt acknowledge select */
input IACS);
@ -18,9 +17,8 @@ module FSB(
/* DTACK/VPA control */
wire Ready = /*(RAMCS && RAMReady && !IOPWCS) ||*/
(/*RAMCS && RAMReady &&*/ IOPWCS && IOPWReady /*&& !QoSCS*/) ||
/*(RAMCS && RAMReady && IOPWCS && IOPWReady && QoSCS && QoSReady) ||*/
wire Ready = (RAMCS && RAMReady && !IOPWCS) ||
(RAMCS && RAMReady && IOPWCS && IOPWReady) ||
(ROMCS) || (IONPReady);
always @(posedge FCLK) nDTACK <= !(Ready && BACT && !IACS);
always @(posedge FCLK, posedge nAS) begin

View File

@ -21,9 +21,8 @@ module IOBS(
reg IOACTr = 0; always @(posedge CLK) IOACTr <= IOACT;
/* IODTACK input synchronization */
reg [1:0] IODONEr;
always @(posedge CLK) IODONEr[1:0] <= { IODONEr[0], IODONEin };
wire IODONE = IODONEr[0];
reg IODONEr; always @(posedge CLK) IODONEr <= IODONEin;
wire IODONE = IODONEr;
/* Read data OE control */
assign nDinOE = !(!nAS && IOCS && nWE && !ROMCS);

View File

@ -59,7 +59,7 @@ module WarpSE(
/* FSB chip select signals */
wire IOCS, IOPWCS, IACS;
wire ROMCS, ROMCS4X;
wire RAMCS, RAMCS0X, SndRAMCSWR;
wire RAMCS, RAMCS0X;
CS cs(
/* MC68HC000 interface */
A_FSB[23:08], FCLK, nRESin, nWE_FSB,
@ -68,7 +68,7 @@ module WarpSE(
/* Device select outputs */
IOCS, IOPWCS, IACS,
ROMCS, ROMCS4X,
RAMCS, RAMCS0X, SndRAMCSWR);
RAMCS, RAMCS0X);
wire RAMReady;
RAM ram(
@ -147,7 +147,6 @@ module WarpSE(
ROMCS4X,
RAMCS0X, RAMReady,
IOPWCS, IOPWReady, IONPReady,
SndRAMCSWR, 1,
/* Interrupt acknowledge select */
IACS);

83
cpld/XC95144XL/CNT.v Normal file
View File

@ -0,0 +1,83 @@
module CNT(
/* FSB clock and E clock inputs */
input CLK, input E,
/* Refresh request */
output reg RefReq, output RefUrg,
/* Reset, button */
output reg nRESout, input nIPL2,
/* Mac PDS bus master control outputs */
output reg AoutOE, output reg nBR_IOB);
/* E clock synchronization */
reg [1:0] Er;
wire EFall = Er[1] && !Er[0];
always @(posedge CLK) Er[1:0] <= { Er[0], E };
/* Timer counts from 0 to 1010 (10) -- 11 states == 14.042 us
* Refresh timer sequence
* | Timer | RefReq | RefUrg |
* |------------------------------|
* | 0 0000 | 0 | 0 |
* | 1 0001 | 0 | 0 |
* | 2 0010 | 1 | 0 |
* | 3 0011 | 1 | 0 |
* | 4 0100 | 1 | 0 |
* | 5 0101 | 1 | 0 |
* | 6 0110 | 1 | 0 |
* | 7 0111 | 1 | 0 |
* | 8 1000 | 1 | 1 |
* | 9 1001 | 1 | 1 |
* | 10 1010 | 1 | 1 |
* back to timer==0
*/
reg [3:0] Timer = 0;
reg TimerTC;
always @(posedge CLK) begin
if (EFall) begin
if (TimerTC) Timer <= 0;
else Timer <= Timer+1;
RefReq <= !(Timer==4'h0 || Timer==4'h1);
TimerTC <= Timer[3:0]==4'h9;
end
end
assign RefUrg = Timer[3];
/* Long timer counts from 0 to 8191 -- 8192 states == 115.033 ms */
reg [12:0] LTimer;
reg LTimerTC;
always @(posedge CLK) begin
if (EFall && TimerTC) begin
LTimer <= LTimer+1;
LTimerTC <= LTimer[12:0]==13'h1FFE;
end
end
reg nIPL2r; always @(posedge CLK) nIPL2r <= nIPL2;
/* Startup sequence control */
reg [1:0] INITS = 0;
wire INITSTC = EFall && TimerTC && LTimerTC;
always @(posedge CLK) begin
case (INITS[1:0])
2'h0: begin
AoutOE <= 0; // Tristate PDS address and control
nRESout <= 0; // Hold reset low
nBR_IOB <= 0; // Default to request bus
if (INITSTC) INITS <= 1;
end 2'h1: begin
AoutOE <= 0;
nRESout <= 0;
nBR_IOB <= !(!nBR_IOB && nIPL2r); // Disable bus request if NMI pressed
if (INITSTC && nIPL2r) INITS <= 2;
end 2'h2: begin
AoutOE <= !nBR_IOB;
nRESout <= 0;
if (INITSTC) INITS <= 3;
end 2'h3: begin
nRESout <= 1; // Release reset
INITS <= 3;
end
endcase
end
endmodule

60
cpld/XC95144XL/CS.v Normal file
View File

@ -0,0 +1,60 @@
module CS(
/* MC68HC000 interface */
input [23:08] A, input CLK, input nRES, input nWE,
/* AS cycle detection */
input BACT,
/* Device select outputs */
output IOCS, output IOPWCS, output IACS,
output ROMCS, output ROMCS4X,
output RAMCS, output RAMCS0X, output SndRAMCSWR);
/* Overlay control */
reg nOverlay = 0; wire Overlay = !nOverlay;
reg ODCSr;
always @(posedge CLK) begin
ODCSr <= ROMCS4X && BACT;
if (!BACT) begin
if (!nRES) nOverlay <= 0;
else if (ODCSr) nOverlay <= 1;
end
end
/* ROM select signals */
assign ROMCS4X = A[23:20]==4'h4;
assign ROMCS = ((A[23:20]==4'h0) && Overlay) || ROMCS4X;
/* RAM select signals */
assign RAMCS0X = A[23:22]==2'b00;
assign RAMCS = RAMCS0X && !Overlay;
wire VidRAMCSWR64k = RAMCS && !nWE && (A[23:20]==4'h3) && (A[19:16]==4'hF); // 3F0000-3FFFFF
wire VidRAMCSWR = VidRAMCSWR64k && (
(A[15:12]==4'h2) || // 1792 bytes RAM, 2304 bytes video
(A[15:12]==4'h3) || // 4096 bytes video
(A[15:12]==4'h4) || // 4096 bytes video
(A[15:12]==4'h5) || // 4096 bytes video
(A[15:12]==4'h6) || // 4096 bytes video
(A[15:12]==4'h7) || // 3200 bytes video, 896 bytes RAM,
(A[15:12]==4'hA) || // 256 bytes RAM, 768 bytes sound, 768 bytes RAM, 2304 bytes video
(A[15:12]==4'hB) || // 4096 bytes video
(A[15:12]==4'hC) || // 4096 bytes video
(A[15:12]==4'hD) || // 4096 bytes video
(A[15:12]==4'hE) || // 4096 bytes video
(A[15:12]==4'hF)); // 3200 bytes video, 128 bytes RAM (system error space), 768 bytes sound
/* Select signals - IOB domain */
assign IACS = (A[23:20]==4'hF) && (A[19:18]==2'b11); // IACK
assign IOCS = (A[23:20]==4'hF) || // IACK
(A[23:20]==4'hE) || // VIA
(A[23:20]==4'hD) || // IWM
(A[23:20]==4'hC) || // empty / fast ROM
(A[23:20]==4'hB) || // SCC write
(A[23:20]==4'hA) || // empty
(A[23:20]==4'h9) || // SCC read/reset
(A[23:20]==4'h8) || // empty
(A[23:20]==4'h7) || // empty
(A[23:20]==4'h6) || // empty
(A[23:20]==4'h5) || // SCSI
((A[23:20]==4'h4) && Overlay) ||
VidRAMCSWR; // ROM once
assign IOPWCS = VidRAMCSWR;
endmodule

29
cpld/XC95144XL/FSB.v Normal file
View File

@ -0,0 +1,29 @@
module FSB(
/* MC68HC000 interface */
input FCLK, input nAS, output reg nDTACK, output reg nVPA,
/* AS cycle detection */
output BACT,
/* Ready inputs */
input ROMCS,
input RAMCS, input RAMReady,
input IOPWCS, input IOPWReady, input IONPReady,
/* Interrupt acknowledge select */
input IACS);
/* AS cycle detection */
reg ASrf = 0;
always @(negedge FCLK) begin ASrf <= !nAS; end
assign BACT = !nAS || ASrf; // BACT - bus active
/* DTACK/VPA control */
wire Ready = (RAMCS && RAMReady && !IOPWCS) ||
(RAMCS && RAMReady && IOPWCS && IOPWReady) ||
(ROMCS) || (IONPReady);
always @(posedge FCLK) nDTACK <= !(Ready && BACT && !IACS);
always @(posedge FCLK, posedge nAS) begin
if (nAS) nVPA <= 1;
else nVPA <= !(Ready && BACT && IACS);
end
endmodule

120
cpld/XC95144XL/IOBM.v Normal file
View File

@ -0,0 +1,120 @@
module IOBM(
/* PDS interface */
input C16M, input C8M, input E,
output reg nASout, output reg nLDS, output reg nUDS, output reg nVMA,
input nDTACK, input nVPA, input nBERR, input nRES,
/* PDS address and data latch control */
input AoutOE, output nDoutOE, output reg ALE0, output reg nDinLE,
/* IO bus slave port interface */
input IORDREQ, input IOWRREQ, input IOLDS, input IOUDS,
output reg IOACT, output reg IODONE, output reg IOBERR);
/* C8M clock registration */
reg C8Mr; always @(posedge C16M) C8Mr <= C8M;
/* I/O request input synchronization */
reg IORDREQr; always @(posedge C16M) IORDREQr <= IORDREQ;
reg IOWRREQr; always @(posedge C16M) IOWRREQr <= IOWRREQ;
wire IOREQr = IORDREQr || IOWRREQr;
/* VPA synchronization */
reg VPAr; always @(negedge C8M) VPAr <= !nVPA;
/* E clock synchronization */
reg Er; always @(negedge C8M) begin Er <= E; end
/* E clock state */
reg [3:0] ES;
always @(negedge C8M) begin
if (!E && Er) ES <= 1;
else if (ES==0 || ES==9) ES <= 0;
else ES <= ES+1;
end
/* ETACK and VMA generation */
wire ETACK = (ES==8) && !nVMA;
always @(posedge C8M) begin
if ((ES==5) && IOACT && VPAr) nVMA <= 0;
else if(ES==0) nVMA <= 1;
end
/* DTACK and BERR synchronization */
always @(negedge C8M, posedge nASout) begin
if (nASout) begin
IODONE <= 0;
IOBERR <= 0;
end else begin
IODONE <= (!nDTACK || ETACK || !nRES);
IOBERR <= !nBERR;
end
end
/* I/O bus state */
reg [2:0] IOS = 0;
reg IOS0;
always @(posedge C16M) begin
if (IOS==0) begin
if (IOREQr && !C8Mr && AoutOE) begin // "IOS1"
IOS <= 2;
IOS0 <= 0;
end else begin // "regular" IOS0
IOS <= 0;
IOS0 <= 1;
end
IOACT <= IOREQr && AoutOE;
ALE0 <= IOREQr && AoutOE;
end else if (IOS==2) begin
IOS <= 3;
IOS0 <= 0;
IOACT <= 1;
ALE0 <= 1;
end else if (IOS==3) begin
IOS <= 4;
IOS0 <= 0;
IOACT <= 1;
ALE0 <= 1;
end else if (IOS==4) begin
IOS <= 5;
IOS0 <= 0;
IOACT <= 1;
ALE0 <= 1;
end else if (IOS==5) begin
if (!C8Mr && (IODONE || IOBERR)) begin
IOS <= 6;
IOACT <= 0;
end else begin
IOS <= 5;
IOACT <= 1;
end
IOS0 <= 0;
ALE0 <= 1;
end else if (IOS==6) begin
IOS <= 7;
IOS0 <= 0;
IOACT <= 0;
ALE0 <= 0;
end else if (IOS==7) begin
IOS <= 0;
IOS0 <= 1;
IOACT <= 0;
ALE0 <= 0;
end
end
/* PDS address and data latch control */
always @(negedge C16M) begin nDinLE = IOS==4 || IOS==5; end
reg DoutOE = 0;
always @(posedge C16M) begin
DoutOE <= (IOS==0 && IOWRREQr && !C8Mr) ||
(DoutOE && (IOS==2 || IOS==3 || IOS==4 || IOS==5));
end
assign nDoutOE = !(AoutOE && (DoutOE || (IOS0 && !IOREQr)));
/* AS, DS control */
always @(negedge C16M) begin
nASout <= !((IOS==0 && IOREQr && !C8Mr) || IOS==2 || IOS==3 || IOS==4 || IOS==5);
nLDS <= !(IOLDS && ((IOS==0 && IORDREQr && !C8Mr) || (IOS==2 && !nLDS) || IOS==3 || IOS==4 || IOS==5));
nUDS <= !(IOUDS && ((IOS==0 && IORDREQr && !C8Mr) || (IOS==2 && !nUDS) || IOS==3 || IOS==4 || IOS==5));
end
endmodule

148
cpld/XC95144XL/IOBS.v Normal file
View File

@ -0,0 +1,148 @@
module IOBS(
/* MC68HC000 interface */
input CLK, input nWE, input nAS, input nLDS, input nUDS,
/* AS cycle detection */
input BACT,
/* Select signals */
input IOCS, input IOPWCS, input ROMCS,
/* FSB cycle termination outputs */
output reg IONPReady, output reg IOPWReady, output reg nBERR_FSB,
/* Read data OE control */
output nDinOE,
/* IOB master controller interface */
output reg IORDREQ, output reg IOWRREQ,
input IOACT, input IODONEin, input IOBERR,
/* FIFO primary level control */
output reg ALE0, output reg IOL0, output reg IOU0,
/* FIFO secondary level control */
output reg ALE1);
/* IOACT input synchronization */
reg IOACTr = 0; always @(posedge CLK) IOACTr <= IOACT;
/* IODTACK input synchronization */
reg IODONEr; always @(posedge CLK) IODONEr <= IODONEin;
wire IODONE = IODONEr;
/* Read data OE control */
assign nDinOE = !(!nAS && IOCS && nWE && !ROMCS);
/* I/O transfer state
* TS0 - I/O bridge idle:
* asserts IOREQ
* transitions to TS3 when BACT && IOCS && !ALE1 && !Sent
* TS3 - starting I/O transfer:
latches LDS and UDS from FSB or FIFO secondary level
transitions immediately to TS2
* TS2 - waiting for IOBM to begin:
transitions to TS1 when IOACT true
* TS1 - waiting for IOBM to finish:
* transitions to TS1 when IOACT false */
reg [1:0] TS = 0;
reg Sent = 0;
/* FIFO secondary level control */
reg Load1;
reg Clear1;
reg IORW1;
reg IOL1;
reg IOU1;
always @(posedge CLK) begin // ALE and R/W load control
// If write currently posting (TS!=0),
// I/O selected, and FIFO secondary level empty
if (BACT && IOCS && !ALE1 && !Sent && IOPWCS && TS!=0) begin
// Latch R/W now but latch address and LDS/UDS next cycle
IORW1 <= nWE;
Load1 <= 1;
end else Load1 <= 0;
end
always @(posedge CLK) begin // ALE clear control
// Make address latch transparent in cycle after TS3
// (i.e. first TS2 cycle that's not part of current write)
if (TS==3) Clear1 <= 1;
else Clear1 <= 0;
end
always @(posedge CLK) begin // LDS, UDS, ALE control
if (Load1) begin // Latch address, LDS, UDS when Load1 true
ALE1 <= 1;
IOL1 <= !nLDS;
IOU1 <= !nUDS;
end else if (Clear1) ALE1 <= 0;
end
/* FIFO primary level control */
always @(posedge CLK) begin
if (TS==0) begin
if (ALE1) begin // If FIFO secondary level occupied
// Request transfer from IOBM and latch R/W from FIFO
TS <= 3;
IORDREQ <= IORW1;
IOWRREQ <= !IORW1;
IOL0 <= IOL1;
IOU0 <= IOU1;
end else if (BACT && IOCS && !ALE1 && !Sent) begin // FSB request
// Request transfer from IOBM and latch R/W from FSB
TS <= 3;
IORDREQ <= nWE;
IOWRREQ <= !nWE;
IOL0 <= !nLDS;
IOU0 <= !nUDS;
end else begin // Otherwise stay in idle
TS <= 0;
IORDREQ <= 0;
IOWRREQ <= 0;
end
ALE0 <= 0;
end else if (TS==3) begin
TS <= 2; // Always go to TS2. Keep IORDREQ/IOWRREQ active
ALE0 <= 1; // Latch address (and data)
// Latch data strobes from FIFO or FSB as appropriate
if (ALE1) begin
IOL0 <= IOL1;
IOU0 <= IOU1;
end else begin
IOL0 <= !nLDS;
IOU0 <= !nUDS;
end
end else if (TS==2) begin
// Wait for IOACT then withdraw IOREQ and enter TS1
if (IOACTr) begin
TS <= 1;
IORDREQ <= 0;
IOWRREQ <= 0;
end else TS <= 2;
ALE0 <= 1; // Keep address latched
end else if (TS==1) begin
// Wait for IOACT low (transfer over) before going back to idle
if (!IOACTr) TS <= 0;
else TS <= 1;
IORDREQ <= 0;
IOWRREQ <= 0;
ALE0 <= 0; // Release addr latch since it's controlled by IOBM now
end
end
/* Sent control */
always @(posedge CLK) begin
if (!BACT) Sent <= 0;
else if (BACT && IOCS && !ALE1 && (IOPWCS || TS==0)) Sent <= 1;
end
/* Nonposted ready */
always @(posedge CLK) begin
if (!BACT) IONPReady <= 0;
else if (Sent && !IOPWCS && IODONE) IONPReady <= 1;
end
/* Posted ready */
always @(posedge CLK) begin
if (!BACT) IOPWReady <= 0;
else if (Clear1 || !ALE1) IOPWReady <= 1;
end
/* BERR control */
always @(posedge CLK) begin
if (!BACT) nBERR_FSB <= 1;
else if (Sent && IOBERR) nBERR_FSB <= 0;
end
endmodule

153
cpld/XC95144XL/RAM.v Normal file
View File

@ -0,0 +1,153 @@
module RAM(
/* MC68HC000 interface */
input CLK, input [21:1] A, input nWE, input nAS, input nLDS, input nUDS,
/* AS cycle detection */
input BACT,
/* Select and ready signals */
input RAMCS, input ROMCS, output reg RAMReady,
/* Refresh Counter Interface */
input RefReqIn, input RefUrgIn,
/* DRAM and NOR flash interface */
output [11:0] RA, output nRAS, output reg nCAS,
output nLWE, output nUWE, output nOE, output nROMCS, output nROMWE);
/* BACT saved from last cycle */
reg BACTr; always @(posedge CLK) BACTr <= BACT;
/* RAM control state */
reg [2:0] RS = 0;
reg RAMEN = 0;
reg Once = 0;
reg RASEL = 0;
reg CAS = 0;
reg RASrr = 0;
reg RASrf = 0;
/* Refresh command generation */
reg RefDone; // Refresh done "remember"
always @(posedge CLK) begin
if (!RefReqIn && !RefUrgIn) RefDone <= 0;
else if (RS==4 || RS==5) RefDone <= 1;
end
wire RefReq = RefReqIn && !RefDone;
wire RefUrg = RefUrgIn && !RefDone;
/* RAM control signals */
assign nRAS = !((!nAS && RAMCS && RAMEN) || RASrr || RASrf);
assign nOE = !((!nAS && nWE)); // Shared with ROM
assign nLWE = !((!nAS && !nWE && !nLDS && RAMEN));
assign nUWE = !((!nAS && !nWE && !nUDS && RAMEN));
/* ROM control signals */
assign nROMCS = !ROMCS;
assign nROMWE = !((!nAS && !nWE));
/* RAM address mux (and ROM address on RA8) */
// RA11 doesn't do anything so both should be identical.
assign RA[11] = !RASEL ? A[19] : A[20]; // ROM address 19
assign RA[03] = !RASEL ? A[19] : A[20];
// RA10 has only row so different rows but same column.
assign RA[10] = !RASEL ? A[17] : A[07];
assign RA[02] = !RASEL ? A[16] : A[07];
// Remainder of RA bus is unpaired
assign RA[09] = !RASEL ? A[15] : A[08];
assign RA[08] = !RASEL ? A[18] : A[21]; // ROM address 18
assign RA[07] = !RASEL ? A[14] : A[06];
assign RA[06] = !RASEL ? A[13] : A[05];
assign RA[05] = !RASEL ? A[12] : A[04];
assign RA[04] = !RASEL ? A[11] : A[03];
assign RA[01] = !RASEL ? A[10] : A[02];
assign RA[00] = !RASEL ? A[09] : A[01];
wire RefFromRS0 = ((RefReq && BACT && !BACTr && !RAMCS) ||
(RefUrg && !BACT) ||
(RefUrg && BACT && !RAMEN));
wire RefFromRS2 = RefUrg;
wire RAMStart = BACT && RAMCS && RAMEN;
always @(posedge CLK) begin
case (RS[2:0])
0: begin
if (RAMStart) begin
RS <= 1;
RASEL <= 1;
CAS <= 1;
RASrr <= 1;
end else if (RefFromRS0) begin
RS <= 3;
RASEL <= 0;
CAS <= 1;
RASrr <= 0;
end else begin
RS <= 0;
RASEL <= 0;
CAS <= 0;
RASrr <= 0;
end
end 1: begin
RS <= 2;
RASEL <= 1;
CAS <= 1;
RASrr <= 0;
end 2: begin
if (RefFromRS2) begin
RS <= 3;
RASEL <= 0;
CAS <= 1;
RASrr <= 0;
end else begin
RS <= 7;
RASEL <= 0;
CAS <= 0;
RASrr <= 0;
end
end 3: begin
RS <= 4;
RASEL <= 0;
CAS <= 1;
RASrr <= 1;
end 4: begin
RS <= 5;
RASEL <= 0;
CAS <= 0;
RASrr <= 1;
end 5: begin
RS <= 6;
RASEL <= 0;
CAS <= 0;
RASrr <= 0;
end 6: begin
RS <= 7;
RASEL <= 0;
CAS <= 0;
RASrr <= 0;
end 7: begin
RS <= 0;
RASEL <= 0;
CAS <= 0;
RASrr <= 0;
end
endcase
end
always @(negedge CLK) RASrf <= RS==1;
always @(negedge CLK) nCAS <= !CAS;
/* RAM state control */
always @(posedge CLK) begin
if (RS==0 && RefFromRS0) RAMEN <= 0;
else if (RS==1) RAMEN <= 0;
else if (!BACT && RS==7) RAMEN <= 1;
else if (!BACT && RS==0) RAMEN <= 1;
else if (!Once && RS==7) RAMEN <= 1;
else if (!Once && RS==0) RAMEN <= 1; // not needed?
end
always @(posedge CLK) begin
if (!BACT) Once <= 0;
else if (RS==0 && RAMStart) Once <= 1;
end
/* RAM ready signal */
always @(posedge CLK) begin
RAMReady <= (BACT && RAMReady) || (RS==7) || (RS==0 && !RefFromRS0);
end
endmodule

View File

@ -0,0 +1,75 @@
NET "A_FSB<10>" LOC = "P8" ;
NET "A_FSB<11>" LOC = "P9" ;
NET "A_FSB<12>" LOC = "P10" ;
NET "A_FSB<13>" LOC = "P11" ;
NET "A_FSB<14>" LOC = "P12" ;
NET "A_FSB<15>" LOC = "P13" ;
NET "A_FSB<16>" LOC = "P14" ;
NET "A_FSB<17>" LOC = "P15" ;
NET "A_FSB<18>" LOC = "P16" ;
NET "A_FSB<19>" LOC = "P17" ;
NET "A_FSB<1>" LOC = "P94" ;
NET "A_FSB<20>" LOC = "P18" ;
NET "A_FSB<21>" LOC = "P19" ;
NET "A_FSB<22>" LOC = "P20" ;
NET "A_FSB<23>" LOC = "P24" ;
NET "A_FSB<2>" LOC = "P95" ;
NET "A_FSB<3>" LOC = "P96" ;
NET "A_FSB<4>" LOC = "P97" ;
NET "A_FSB<5>" LOC = "P2" ;
NET "A_FSB<6>" LOC = "P3" ;
NET "A_FSB<7>" LOC = "P4" ;
NET "A_FSB<8>" LOC = "P6" ;
NET "A_FSB<9>" LOC = "P7" ;
NET "C20MEN" LOC = "P59" ;
NET "C25MEN" LOC = "P58" ;
NET "FCLK" LOC = "P27" ;
NET "C16M" LOC = "P22" ;
NET "C8M" LOC = "P23" ;
NET "E" LOC = "P25" ;
NET "nADoutLE0" LOC = "P85" ;
NET "nADoutLE1" LOC = "P82" ;
NET "nAoutOE" LOC = "P87" ;
NET "nAS_FSB" LOC = "P32" ;
NET "nAS_IOB" LOC = "P81" ;
NET "nBERR_FSB" LOC = "P70" ;
NET "nBERR_IOB" LOC = "P76" ;
NET "nBG_IOB" LOC = "P73" ;
NET "nBR_IOB" LOC = "P72" ;
NET "nCAS" LOC = "P36" ;
NET "nDinLE" LOC = "P86" ;
NET "nDinOE" LOC = "P90" ;
NET "nDoutOE" LOC = "P89" ;
NET "nDTACK_FSB" LOC = "P28" ;
NET "nDTACK_IOB" LOC = "P78" ;
NET "nIPL2" LOC = "P92" ;
NET "nLDS_FSB" LOC = "P30" ;
NET "nLDS_IOB" LOC = "P79" ;
NET "nOE" LOC = "P37" ;
NET "nRAMLWE" LOC = "P65" ;
NET "nRAMUWE" LOC = "P66" ;
NET "nRAS" LOC = "P64" ;
NET "nRES" LOC = "P91" ;
NET "nROMCS" LOC = "P35" ;
NET "nROMWE" LOC = "P34" ;
NET "nUDS_FSB" LOC = "P33" ;
NET "nUDS_IOB" LOC = "P80" ;
NET "nVMA_IOB" LOC = "P74" ;
NET "nVPA_FSB" LOC = "P93" ;
NET "nVPA_IOB" LOC = "P77" ;
NET "nWE_FSB" LOC = "P29" ;
NET "RA<0>" LOC = "P53" ;
NET "RA<10>" LOC = "P55" ;
NET "RA<11>" LOC = "P63" ;
NET "RA<1>" LOC = "P50" ;
NET "RA<2>" LOC = "P43" ;
NET "RA<3>" LOC = "P41" ;
NET "RA<4>" LOC = "P40" ;
NET "RA<5>" LOC = "P42" ;
NET "RA<6>" LOC = "P46" ;
NET "RA<7>" LOC = "P52" ;
NET "RA<8>" LOC = "P54" ;
NET "RA<9>" LOC = "P56" ;
NET "SW<1>" LOC = "P61" ;
NET "SW<2>" LOC = "P60" ;
NET "SW<3>" LOC = "P67" ;

View File

@ -2,17 +2,15 @@ Release 14.7 ngdbuild P.20131013 (nt64)
Copyright (c) 1995-2013 Xilinx, Inc. All rights reserved.
Command Line: C:\Xilinx\14.7\ISE_DS\ISE\bin\nt64\unwrapped\ngdbuild.exe
-intstyle ise -dd _ngo -uc
C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p
xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd
-intstyle ise -dd _ngo -uc WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc
WarpSE.ngd
Reading NGO file
"C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.ngc" ...
Gathering constraint information from source properties...
Done.
Annotating constraints to design from ucf file
"C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf" ...
Annotating constraints to design from ucf file "WarpSE-XC95144XL.ucf" ...
Resolving constraint associations...
Checking Constraint Associations...
Done...
@ -30,7 +28,7 @@ NGDBUILD Design Results Summary:
Number of errors: 0
Number of warnings: 0
Total memory usage is 150692 kilobytes
Total memory usage is 151012 kilobytes
Writing NGD file "WarpSE.ngd" ...
Total REAL time to NGDBUILD completion: 3 sec

View File

@ -1,394 +1,22 @@
xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr"
ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd
ngdbuild -intstyle ise -dd _ngo -uc WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd
cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd
XSLTProcess WarpSE_build.xml
tsim -intstyle ise WarpSE WarpSE.nga
taengine -intstyle ise -f WarpSE -w --format html1 -l WarpSE_html/tim/timing_report.htm
hprep6 -s IEEE1149 -n WarpSE -i WarpSE
xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr"
xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr"
ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd
cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd
XSLTProcess WarpSE_build.xml
xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr"
ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd
cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd
XSLTProcess WarpSE_build.xml
tsim -intstyle ise WarpSE WarpSE.nga
hprep6 -s IEEE1149 -n WarpSE -i WarpSE
xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr"
ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd
cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd
XSLTProcess WarpSE_build.xml
tsim -intstyle ise WarpSE WarpSE.nga
hprep6 -s IEEE1149 -n WarpSE -i WarpSE
xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr"
ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd
cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd
XSLTProcess WarpSE_build.xml
tsim -intstyle ise WarpSE WarpSE.nga
hprep6 -s IEEE1149 -n WarpSE -i WarpSE
xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr"
ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd
cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd
XSLTProcess WarpSE_build.xml
tsim -intstyle ise WarpSE WarpSE.nga
hprep6 -s IEEE1149 -n WarpSE -i WarpSE
xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr"
ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd
cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd
XSLTProcess WarpSE_build.xml
tsim -intstyle ise WarpSE WarpSE.nga
hprep6 -s IEEE1149 -n WarpSE -i WarpSE
xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr"
ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd
cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd
XSLTProcess WarpSE_build.xml
tsim -intstyle ise WarpSE WarpSE.nga
hprep6 -s IEEE1149 -n WarpSE -i WarpSE
xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr"
ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd
cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd
XSLTProcess WarpSE_build.xml
tsim -intstyle ise WarpSE WarpSE.nga
hprep6 -s IEEE1149 -n WarpSE -i WarpSE
xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr"
ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd
cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd
XSLTProcess WarpSE_build.xml
tsim -intstyle ise WarpSE WarpSE.nga
hprep6 -s IEEE1149 -n WarpSE -i WarpSE
xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr"
ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd
cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd
XSLTProcess WarpSE_build.xml
tsim -intstyle ise WarpSE WarpSE.nga
hprep6 -s IEEE1149 -n WarpSE -i WarpSE
xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr"
ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd
ngdbuild -intstyle ise -dd _ngo -uc WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd
cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd
XSLTProcess WarpSE_build.xml
tsim -intstyle ise WarpSE WarpSE.nga
hprep6 -s IEEE1149 -n WarpSE -i WarpSE
xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr"
xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr"
ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd
cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd
tsim -intstyle ise WarpSE WarpSE.nga
hprep6 -s IEEE1149 -n WarpSE -i WarpSE
xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr"
ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd
cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd
tsim -intstyle ise WarpSE WarpSE.nga
hprep6 -s IEEE1149 -n WarpSE -i WarpSE
xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr"
ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd
cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd
tsim -intstyle ise WarpSE WarpSE.nga
hprep6 -s IEEE1149 -n WarpSE -i WarpSE
xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr"
ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd
cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd
tsim -intstyle ise WarpSE WarpSE.nga
hprep6 -s IEEE1149 -n WarpSE -i WarpSE
xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr"
ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd
cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd
tsim -intstyle ise WarpSE WarpSE.nga
hprep6 -s IEEE1149 -n WarpSE -i WarpSE
xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr"
ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd
cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd
tsim -intstyle ise WarpSE WarpSE.nga
hprep6 -s IEEE1149 -n WarpSE -i WarpSE
xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr"
ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd
cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd
tsim -intstyle ise WarpSE WarpSE.nga
hprep6 -s IEEE1149 -n WarpSE -i WarpSE
xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr"
ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd
cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd
tsim -intstyle ise WarpSE WarpSE.nga
hprep6 -s IEEE1149 -n WarpSE -i WarpSE
xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr"
ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd
cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd
tsim -intstyle ise WarpSE WarpSE.nga
hprep6 -s IEEE1149 -n WarpSE -i WarpSE
xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr"
ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd
cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd
tsim -intstyle ise WarpSE WarpSE.nga
hprep6 -s IEEE1149 -n WarpSE -i WarpSE
xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr"
ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd
cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd
tsim -intstyle ise WarpSE WarpSE.nga
hprep6 -s IEEE1149 -n WarpSE -i WarpSE
xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr"
xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr"
xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr"
ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd
xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr"
ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd
cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd
tsim -intstyle ise WarpSE WarpSE.nga
hprep6 -s IEEE1149 -n WarpSE -i WarpSE
xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr"
xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr"
ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd
cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd
tsim -intstyle ise WarpSE WarpSE.nga
hprep6 -s IEEE1149 -n WarpSE -i WarpSE
xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr"
ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd
cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd
tsim -intstyle ise WarpSE WarpSE.nga
hprep6 -s IEEE1149 -n WarpSE -i WarpSE
xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr"
ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd
cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd
tsim -intstyle ise WarpSE WarpSE.nga
hprep6 -s IEEE1149 -n WarpSE -i WarpSE
xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr"
ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd
cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd
tsim -intstyle ise WarpSE WarpSE.nga
hprep6 -s IEEE1149 -n WarpSE -i WarpSE
xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr"
ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd
cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd
tsim -intstyle ise WarpSE WarpSE.nga
hprep6 -s IEEE1149 -n WarpSE -i WarpSE
xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr"
ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd
cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd
tsim -intstyle ise WarpSE WarpSE.nga
hprep6 -s IEEE1149 -n WarpSE -i WarpSE
xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr"
ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd
ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd
xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr"
ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd
cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd
tsim -intstyle ise WarpSE WarpSE.nga
hprep6 -s IEEE1149 -n WarpSE -i WarpSE
xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr"
xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr"
xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr"
ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd
cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd
tsim -intstyle ise WarpSE WarpSE.nga
hprep6 -s IEEE1149 -n WarpSE -i WarpSE
xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr"
ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd
cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd
tsim -intstyle ise WarpSE WarpSE.nga
hprep6 -s IEEE1149 -n WarpSE -i WarpSE
xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr"
ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd
cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd
tsim -intstyle ise WarpSE WarpSE.nga
hprep6 -s IEEE1149 -n WarpSE -i WarpSE
xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr"
ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd
cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd
tsim -intstyle ise WarpSE WarpSE.nga
hprep6 -s IEEE1149 -n WarpSE -i WarpSE
xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr"
xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr"
xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr"
ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd
cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd
tsim -intstyle ise WarpSE WarpSE.nga
hprep6 -s IEEE1149 -n WarpSE -i WarpSE
xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr"
ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd
cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd
tsim -intstyle ise WarpSE WarpSE.nga
hprep6 -s IEEE1149 -n WarpSE -i WarpSE
xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr"
ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd
cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd
tsim -intstyle ise WarpSE WarpSE.nga
hprep6 -s IEEE1149 -n WarpSE -i WarpSE
xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr"
ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd
cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd
tsim -intstyle ise WarpSE WarpSE.nga
hprep6 -s IEEE1149 -n WarpSE -i WarpSE
xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr"
ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd
cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd
tsim -intstyle ise WarpSE WarpSE.nga
hprep6 -s IEEE1149 -n WarpSE -i WarpSE
xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr"
ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd
cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd
tsim -intstyle ise WarpSE WarpSE.nga
hprep6 -s IEEE1149 -n WarpSE -i WarpSE
xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr"
ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd
cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd
tsim -intstyle ise WarpSE WarpSE.nga
hprep6 -s IEEE1149 -n WarpSE -i WarpSE
xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr"
xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr"
xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr"
xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr"
ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd
ngdbuild -intstyle ise -dd _ngo -uc WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd
cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd
XSLTProcess WarpSE_build.xml
tsim -intstyle ise WarpSE WarpSE.nga
hprep6 -s IEEE1149 -n WarpSE -i WarpSE
xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr"
xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr"
ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd
ngdbuild -intstyle ise -dd _ngo -uc WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd
cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd
XSLTProcess WarpSE_build.xml
tsim -intstyle ise WarpSE WarpSE.nga
hprep6 -s IEEE1149 -n WarpSE -i WarpSE
xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr"
ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd
cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd
XSLTProcess WarpSE_build.xml
tsim -intstyle ise WarpSE WarpSE.nga
hprep6 -s IEEE1149 -n WarpSE -i WarpSE
xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr"
ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd
cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd
XSLTProcess WarpSE_build.xml
tsim -intstyle ise WarpSE WarpSE.nga
hprep6 -s IEEE1149 -n WarpSE -i WarpSE
xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr"
ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd
cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd
XSLTProcess WarpSE_build.xml
tsim -intstyle ise WarpSE WarpSE.nga
hprep6 -s IEEE1149 -n WarpSE -i WarpSE
xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr"
ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd
cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd
XSLTProcess WarpSE_build.xml
tsim -intstyle ise WarpSE WarpSE.nga
hprep6 -s IEEE1149 -n WarpSE -i WarpSE
xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr"
ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd
cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd
XSLTProcess WarpSE_build.xml
tsim -intstyle ise WarpSE WarpSE.nga
hprep6 -s IEEE1149 -n WarpSE -i WarpSE
xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr"
ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd
cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd
XSLTProcess WarpSE_build.xml
tsim -intstyle ise WarpSE WarpSE.nga
hprep6 -s IEEE1149 -n WarpSE -i WarpSE
xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr"
ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd
cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd
XSLTProcess WarpSE_build.xml
tsim -intstyle ise WarpSE WarpSE.nga
hprep6 -s IEEE1149 -n WarpSE -i WarpSE
xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr"
ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd
cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd
XSLTProcess WarpSE_build.xml
tsim -intstyle ise WarpSE WarpSE.nga
hprep6 -s IEEE1149 -n WarpSE -i WarpSE
xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr"
ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd
cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd
XSLTProcess WarpSE_build.xml
tsim -intstyle ise WarpSE WarpSE.nga
hprep6 -s IEEE1149 -n WarpSE -i WarpSE
xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr"
xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr"
xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr"
xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr"
xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr"
ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd
cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd
tsim -intstyle ise WarpSE WarpSE.nga
hprep6 -s IEEE1149 -n WarpSE -i WarpSE
xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr"
ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd
cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd
tsim -intstyle ise WarpSE WarpSE.nga
hprep6 -s IEEE1149 -n WarpSE -i WarpSE
xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr"
ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd
cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd
tsim -intstyle ise WarpSE WarpSE.nga
hprep6 -s IEEE1149 -n WarpSE -i WarpSE
xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr"
xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr"
ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd
cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd
tsim -intstyle ise WarpSE WarpSE.nga
hprep6 -s IEEE1149 -n WarpSE -i WarpSE
xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr"
ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd
cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd
tsim -intstyle ise WarpSE WarpSE.nga
hprep6 -s IEEE1149 -n WarpSE -i WarpSE
xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr"
xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr"
ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd
cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd
tsim -intstyle ise WarpSE WarpSE.nga
hprep6 -s IEEE1149 -n WarpSE -i WarpSE
xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr"
ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd
cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd
tsim -intstyle ise WarpSE WarpSE.nga
hprep6 -s IEEE1149 -n WarpSE -i WarpSE
taengine -intstyle ise -f WarpSE -l WarpSE.tim -e {C:\Users\Wolf\Documents\GitHub\Warp-SE\cpld\XC95144XL\taengine.err}
xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr"
ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd
cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd
tsim -intstyle ise WarpSE WarpSE.nga
hprep6 -s IEEE1149 -n WarpSE -i WarpSE
xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr"
xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr"
ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd
cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd
tsim -intstyle ise WarpSE WarpSE.nga
hprep6 -s IEEE1149 -n WarpSE -i WarpSE
xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr"
ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd
cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd
tsim -intstyle ise WarpSE WarpSE.nga
hprep6 -s IEEE1149 -n WarpSE -i WarpSE
xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr"
ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd
cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd
tsim -intstyle ise WarpSE WarpSE.nga
hprep6 -s IEEE1149 -n WarpSE -i WarpSE
xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr"
ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd
cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd
tsim -intstyle ise WarpSE WarpSE.nga
hprep6 -s IEEE1149 -n WarpSE -i WarpSE
xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr"
ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd
cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd
tsim -intstyle ise WarpSE WarpSE.nga
hprep6 -s IEEE1149 -n WarpSE -i WarpSE
xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr"
ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd
cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd
tsim -intstyle ise WarpSE WarpSE.nga
hprep6 -s IEEE1149 -n WarpSE -i WarpSE
xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr"
ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd
cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd
tsim -intstyle ise WarpSE WarpSE.nga
hprep6 -s IEEE1149 -n WarpSE -i WarpSE
taengine -intstyle ise -f WarpSE -l WarpSE.tim -e {C:\Users\Wolf\Documents\GitHub\Warp-SE\cpld\XC95144XL\taengine.err}
xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr"
ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd
cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd
tsim -intstyle ise WarpSE WarpSE.nga
hprep6 -s IEEE1149 -n WarpSE -i WarpSE

View File

@ -1,922 +0,0 @@
MODELDATA
MODELDATA_VERSION "v1998.8"
DESIGN "WarpSE";
/* port drive, load, max capacitance and max transition in data file */
PORTDATA
A_FSB<23>: MAXTRANS(0.0);
A_FSB<22>: MAXTRANS(0.0);
A_FSB<21>: MAXTRANS(0.0);
A_FSB<20>: MAXTRANS(0.0);
A_FSB<19>: MAXTRANS(0.0);
A_FSB<18>: MAXTRANS(0.0);
C8M: MAXTRANS(0.0);
C16M: MAXTRANS(0.0);
FCLK: MAXTRANS(0.0);
nAS_FSB: MAXTRANS(0.0);
nWE_FSB: MAXTRANS(0.0);
nBERR_IOB: MAXTRANS(0.0);
nDTACK_IOB: MAXTRANS(0.0);
nLDS_FSB: MAXTRANS(0.0);
nUDS_FSB: MAXTRANS(0.0);
E: MAXTRANS(0.0);
nIPL2: MAXTRANS(0.0);
nVPA_IOB: MAXTRANS(0.0);
A_FSB<10>: MAXTRANS(0.0);
A_FSB<11>: MAXTRANS(0.0);
A_FSB<12>: MAXTRANS(0.0);
A_FSB<13>: MAXTRANS(0.0);
A_FSB<14>: MAXTRANS(0.0);
A_FSB<15>: MAXTRANS(0.0);
A_FSB<16>: MAXTRANS(0.0);
A_FSB<17>: MAXTRANS(0.0);
A_FSB<9>: MAXTRANS(0.0);
nRES: MAXTRANS(0.0);
nVMA_IOB: MAXTRANS(0.0);
nAS_IOB: MAXTRANS(0.0);
nLDS_IOB: MAXTRANS(0.0);
nUDS_IOB: MAXTRANS(0.0);
nBERR_FSB: MAXTRANS(0.0);
nVPA_FSB: MAXTRANS(0.0);
nBR_IOB: MAXTRANS(0.0);
nOE: MAXTRANS(0.0);
nROMWE: MAXTRANS(0.0);
nADoutLE0: MAXTRANS(0.0);
nCAS: MAXTRANS(0.0);
nDTACK_FSB: MAXTRANS(0.0);
nDinLE: MAXTRANS(0.0);
RA<1>: MAXTRANS(0.0);
RA<4>: MAXTRANS(0.0);
RA<5>: MAXTRANS(0.0);
RA<6>: MAXTRANS(0.0);
RA<7>: MAXTRANS(0.0);
RA<9>: MAXTRANS(0.0);
RA<2>: MAXTRANS(0.0);
RA<10>: MAXTRANS(0.0);
RA<8>: MAXTRANS(0.0);
RA<3>: MAXTRANS(0.0);
RA<11>: MAXTRANS(0.0);
RA<0>: MAXTRANS(0.0);
nADoutLE1: MAXTRANS(0.0);
nAoutOE: MAXTRANS(0.0);
nDinOE: MAXTRANS(0.0);
nDoutOE: MAXTRANS(0.0);
nRAMLWE: MAXTRANS(0.0);
nRAMUWE: MAXTRANS(0.0);
nRAS: MAXTRANS(0.0);
nROMCS: MAXTRANS(0.0);
C20MEN: MAXTRANS(0.0);
C25MEN: MAXTRANS(0.0);
ENDPORTDATA
/* timing arc data */
TIMINGDATA
ARCDATA
A_FSB<9>_RA<0>_delay:
CELL_RISE(scalar) {
VALUES("10");
}
CELL_FALL(scalar) {
VALUES("10");
}
ENDARCDATA
ARCDATA
A_FSB<17>_RA<10>_delay:
CELL_RISE(scalar) {
VALUES("10");
}
CELL_FALL(scalar) {
VALUES("10");
}
ENDARCDATA
ARCDATA
A_FSB<19>_RA<11>_delay:
CELL_RISE(scalar) {
VALUES("10");
}
CELL_FALL(scalar) {
VALUES("10");
}
ENDARCDATA
ARCDATA
A_FSB<10>_RA<1>_delay:
CELL_RISE(scalar) {
VALUES("10");
}
CELL_FALL(scalar) {
VALUES("10");
}
ENDARCDATA
ARCDATA
A_FSB<16>_RA<2>_delay:
CELL_RISE(scalar) {
VALUES("10");
}
CELL_FALL(scalar) {
VALUES("10");
}
ENDARCDATA
ARCDATA
A_FSB<19>_RA<3>_delay:
CELL_RISE(scalar) {
VALUES("10");
}
CELL_FALL(scalar) {
VALUES("10");
}
ENDARCDATA
ARCDATA
A_FSB<11>_RA<4>_delay:
CELL_RISE(scalar) {
VALUES("10");
}
CELL_FALL(scalar) {
VALUES("10");
}
ENDARCDATA
ARCDATA
A_FSB<12>_RA<5>_delay:
CELL_RISE(scalar) {
VALUES("10");
}
CELL_FALL(scalar) {
VALUES("10");
}
ENDARCDATA
ARCDATA
A_FSB<13>_RA<6>_delay:
CELL_RISE(scalar) {
VALUES("10");
}
CELL_FALL(scalar) {
VALUES("10");
}
ENDARCDATA
ARCDATA
A_FSB<14>_RA<7>_delay:
CELL_RISE(scalar) {
VALUES("10");
}
CELL_FALL(scalar) {
VALUES("10");
}
ENDARCDATA
ARCDATA
A_FSB<18>_RA<8>_delay:
CELL_RISE(scalar) {
VALUES("10");
}
CELL_FALL(scalar) {
VALUES("10");
}
ENDARCDATA
ARCDATA
A_FSB<15>_RA<9>_delay:
CELL_RISE(scalar) {
VALUES("10");
}
CELL_FALL(scalar) {
VALUES("10");
}
ENDARCDATA
ARCDATA
nAS_FSB_nDinOE_delay:
CELL_RISE(scalar) {
VALUES("10");
}
CELL_FALL(scalar) {
VALUES("10");
}
ENDARCDATA
ARCDATA
nWE_FSB_nDinOE_delay:
CELL_RISE(scalar) {
VALUES("10");
}
CELL_FALL(scalar) {
VALUES("10");
}
ENDARCDATA
ARCDATA
A_FSB<23>_nDinOE_delay:
CELL_RISE(scalar) {
VALUES("10");
}
CELL_FALL(scalar) {
VALUES("10");
}
ENDARCDATA
ARCDATA
A_FSB<22>_nDinOE_delay:
CELL_RISE(scalar) {
VALUES("10");
}
CELL_FALL(scalar) {
VALUES("10");
}
ENDARCDATA
ARCDATA
A_FSB<20>_nDinOE_delay:
CELL_RISE(scalar) {
VALUES("10");
}
CELL_FALL(scalar) {
VALUES("10");
}
ENDARCDATA
ARCDATA
A_FSB<21>_nDinOE_delay:
CELL_RISE(scalar) {
VALUES("10");
}
CELL_FALL(scalar) {
VALUES("10");
}
ENDARCDATA
ARCDATA
nWE_FSB_nOE_delay:
CELL_RISE(scalar) {
VALUES("10");
}
CELL_FALL(scalar) {
VALUES("10");
}
ENDARCDATA
ARCDATA
nAS_FSB_nOE_delay:
CELL_RISE(scalar) {
VALUES("10");
}
CELL_FALL(scalar) {
VALUES("10");
}
ENDARCDATA
ARCDATA
nWE_FSB_nRAMLWE_delay:
CELL_RISE(scalar) {
VALUES("10");
}
CELL_FALL(scalar) {
VALUES("10");
}
ENDARCDATA
ARCDATA
nAS_FSB_nRAMLWE_delay:
CELL_RISE(scalar) {
VALUES("10");
}
CELL_FALL(scalar) {
VALUES("10");
}
ENDARCDATA
ARCDATA
nLDS_FSB_nRAMLWE_delay:
CELL_RISE(scalar) {
VALUES("10");
}
CELL_FALL(scalar) {
VALUES("10");
}
ENDARCDATA
ARCDATA
nUDS_FSB_nRAMUWE_delay:
CELL_RISE(scalar) {
VALUES("10");
}
CELL_FALL(scalar) {
VALUES("10");
}
ENDARCDATA
ARCDATA
nWE_FSB_nRAMUWE_delay:
CELL_RISE(scalar) {
VALUES("10");
}
CELL_FALL(scalar) {
VALUES("10");
}
ENDARCDATA
ARCDATA
nAS_FSB_nRAMUWE_delay:
CELL_RISE(scalar) {
VALUES("10");
}
CELL_FALL(scalar) {
VALUES("10");
}
ENDARCDATA
ARCDATA
A_FSB<20>_nROMCS_delay:
CELL_RISE(scalar) {
VALUES("10");
}
CELL_FALL(scalar) {
VALUES("10");
}
ENDARCDATA
ARCDATA
A_FSB<22>_nROMCS_delay:
CELL_RISE(scalar) {
VALUES("10");
}
CELL_FALL(scalar) {
VALUES("10");
}
ENDARCDATA
ARCDATA
A_FSB<23>_nROMCS_delay:
CELL_RISE(scalar) {
VALUES("10");
}
CELL_FALL(scalar) {
VALUES("10");
}
ENDARCDATA
ARCDATA
A_FSB<21>_nROMCS_delay:
CELL_RISE(scalar) {
VALUES("10");
}
CELL_FALL(scalar) {
VALUES("10");
}
ENDARCDATA
ARCDATA
nAS_FSB_nROMWE_delay:
CELL_RISE(scalar) {
VALUES("10");
}
CELL_FALL(scalar) {
VALUES("10");
}
ENDARCDATA
ARCDATA
nWE_FSB_nROMWE_delay:
CELL_RISE(scalar) {
VALUES("10");
}
CELL_FALL(scalar) {
VALUES("10");
}
ENDARCDATA
ARCDATA
FCLK_nRES_delay:
CELL_RISE(scalar) {
VALUES("14.5");
}
CELL_FALL(scalar) {
VALUES("14.5");
}
ENDARCDATA
ARCDATA
FCLK_nVMA_IOB_delay:
CELL_RISE(scalar) {
VALUES("14.5");
}
CELL_FALL(scalar) {
VALUES("14.5");
}
ENDARCDATA
ARCDATA
FCLK_nAS_IOB_delay:
CELL_RISE(scalar) {
VALUES("14.5");
}
CELL_FALL(scalar) {
VALUES("14.5");
}
ENDARCDATA
ARCDATA
FCLK_nLDS_IOB_delay:
CELL_RISE(scalar) {
VALUES("14.5");
}
CELL_FALL(scalar) {
VALUES("14.5");
}
ENDARCDATA
ARCDATA
FCLK_nUDS_IOB_delay:
CELL_RISE(scalar) {
VALUES("14.5");
}
CELL_FALL(scalar) {
VALUES("14.5");
}
ENDARCDATA
ARCDATA
FCLK_nBERR_FSB_delay:
CELL_RISE(scalar) {
VALUES("5.8");
}
CELL_FALL(scalar) {
VALUES("5.8");
}
ENDARCDATA
ARCDATA
FCLK_nVPA_FSB_delay:
CELL_RISE(scalar) {
VALUES("5.8");
}
CELL_FALL(scalar) {
VALUES("5.8");
}
ENDARCDATA
ARCDATA
FCLK_nBR_IOB_delay:
CELL_RISE(scalar) {
VALUES("5.8");
}
CELL_FALL(scalar) {
VALUES("5.8");
}
ENDARCDATA
ARCDATA
FCLK_nADoutLE0_delay:
CELL_RISE(scalar) {
VALUES("13.5");
}
CELL_FALL(scalar) {
VALUES("13.5");
}
ENDARCDATA
ARCDATA
FCLK_nCAS_delay:
CELL_RISE(scalar) {
VALUES("5.8");
}
CELL_FALL(scalar) {
VALUES("5.8");
}
ENDARCDATA
ARCDATA
FCLK_nDTACK_FSB_delay:
CELL_RISE(scalar) {
VALUES("5.8");
}
CELL_FALL(scalar) {
VALUES("5.8");
}
ENDARCDATA
ARCDATA
FCLK_nADoutLE1_delay:
CELL_RISE(scalar) {
VALUES("5.8");
}
CELL_FALL(scalar) {
VALUES("5.8");
}
ENDARCDATA
ARCDATA
FCLK_nAoutOE_delay:
CELL_RISE(scalar) {
VALUES("5.8");
}
CELL_FALL(scalar) {
VALUES("5.8");
}
ENDARCDATA
ARCDATA
FCLK_nDinOE_delay:
CELL_RISE(scalar) {
VALUES("13.5");
}
CELL_FALL(scalar) {
VALUES("13.5");
}
ENDARCDATA
ARCDATA
FCLK_nDoutOE_delay:
CELL_RISE(scalar) {
VALUES("13.5");
}
CELL_FALL(scalar) {
VALUES("13.5");
}
ENDARCDATA
ARCDATA
FCLK_nRAMLWE_delay:
CELL_RISE(scalar) {
VALUES("13.5");
}
CELL_FALL(scalar) {
VALUES("13.5");
}
ENDARCDATA
ARCDATA
FCLK_nRAMUWE_delay:
CELL_RISE(scalar) {
VALUES("13.5");
}
CELL_FALL(scalar) {
VALUES("13.5");
}
ENDARCDATA
ARCDATA
FCLK_nRAS_delay:
CELL_RISE(scalar) {
VALUES("5.8");
}
CELL_FALL(scalar) {
VALUES("5.8");
}
ENDARCDATA
ARCDATA
FCLK_nROMCS_delay:
CELL_RISE(scalar) {
VALUES("13.5");
}
CELL_FALL(scalar) {
VALUES("13.5");
}
ENDARCDATA
ARCDATA
C16M_nAS_IOB_delay:
CELL_RISE(scalar) {
VALUES("5.8");
}
CELL_FALL(scalar) {
VALUES("5.8");
}
ENDARCDATA
ARCDATA
C16M_nLDS_IOB_delay:
CELL_RISE(scalar) {
VALUES("5.8");
}
CELL_FALL(scalar) {
VALUES("5.8");
}
ENDARCDATA
ARCDATA
C16M_nUDS_IOB_delay:
CELL_RISE(scalar) {
VALUES("5.8");
}
CELL_FALL(scalar) {
VALUES("5.8");
}
ENDARCDATA
ARCDATA
C16M_nADoutLE0_delay:
CELL_RISE(scalar) {
VALUES("13.5");
}
CELL_FALL(scalar) {
VALUES("13.5");
}
ENDARCDATA
ARCDATA
C16M_nDinLE_delay:
CELL_RISE(scalar) {
VALUES("5.8");
}
CELL_FALL(scalar) {
VALUES("5.8");
}
ENDARCDATA
ARCDATA
C16M_nDoutOE_delay:
CELL_RISE(scalar) {
VALUES("13.5");
}
CELL_FALL(scalar) {
VALUES("13.5");
}
ENDARCDATA
ARCDATA
C8M_nVMA_IOB_delay:
CELL_RISE(scalar) {
VALUES("5.8");
}
CELL_FALL(scalar) {
VALUES("5.8");
}
ENDARCDATA
ARCDATA
A_FSB<18>_FCLK_setup:
CONSTRAINT(scalar) {
VALUES("10.5");
}
ENDARCDATA
ARCDATA
A_FSB<19>_FCLK_setup:
CONSTRAINT(scalar) {
VALUES("10.5");
}
ENDARCDATA
ARCDATA
A_FSB<20>_FCLK_setup:
CONSTRAINT(scalar) {
VALUES("10.5");
}
ENDARCDATA
ARCDATA
A_FSB<21>_FCLK_setup:
CONSTRAINT(scalar) {
VALUES("10.5");
}
ENDARCDATA
ARCDATA
A_FSB<22>_FCLK_setup:
CONSTRAINT(scalar) {
VALUES("10.5");
}
ENDARCDATA
ARCDATA
A_FSB<23>_FCLK_setup:
CONSTRAINT(scalar) {
VALUES("10.5");
}
ENDARCDATA
ARCDATA
E_FCLK_setup:
CONSTRAINT(scalar) {
VALUES("9.5");
}
ENDARCDATA
ARCDATA
nAS_FSB_FCLK_setup:
CONSTRAINT(scalar) {
VALUES("10.5");
}
ENDARCDATA
ARCDATA
nIPL2_FCLK_setup:
CONSTRAINT(scalar) {
VALUES("9.5");
}
ENDARCDATA
ARCDATA
nLDS_FSB_FCLK_setup:
CONSTRAINT(scalar) {
VALUES("9.5");
}
ENDARCDATA
ARCDATA
nRES_FCLK_setup:
CONSTRAINT(scalar) {
VALUES("9.5");
}
ENDARCDATA
ARCDATA
nUDS_FSB_FCLK_setup:
CONSTRAINT(scalar) {
VALUES("9.5");
}
ENDARCDATA
ARCDATA
nWE_FSB_FCLK_setup:
CONSTRAINT(scalar) {
VALUES("10.5");
}
ENDARCDATA
ARCDATA
A_FSB<18>_FCLK_hold:
CONSTRAINT(scalar) {
VALUES("-4");
}
ENDARCDATA
ARCDATA
A_FSB<19>_FCLK_hold:
CONSTRAINT(scalar) {
VALUES("-4");
}
ENDARCDATA
ARCDATA
A_FSB<20>_FCLK_hold:
CONSTRAINT(scalar) {
VALUES("-4");
}
ENDARCDATA
ARCDATA
A_FSB<21>_FCLK_hold:
CONSTRAINT(scalar) {
VALUES("-4");
}
ENDARCDATA
ARCDATA
A_FSB<22>_FCLK_hold:
CONSTRAINT(scalar) {
VALUES("-4");
}
ENDARCDATA
ARCDATA
A_FSB<23>_FCLK_hold:
CONSTRAINT(scalar) {
VALUES("-4");
}
ENDARCDATA
ARCDATA
E_FCLK_hold:
CONSTRAINT(scalar) {
VALUES("-3");
}
ENDARCDATA
ARCDATA
nAS_FSB_FCLK_hold:
CONSTRAINT(scalar) {
VALUES("-4");
}
ENDARCDATA
ARCDATA
nIPL2_FCLK_hold:
CONSTRAINT(scalar) {
VALUES("-3");
}
ENDARCDATA
ARCDATA
nLDS_FSB_FCLK_hold:
CONSTRAINT(scalar) {
VALUES("-3");
}
ENDARCDATA
ARCDATA
nRES_FCLK_hold:
CONSTRAINT(scalar) {
VALUES("-3");
}
ENDARCDATA
ARCDATA
nUDS_FSB_FCLK_hold:
CONSTRAINT(scalar) {
VALUES("-3");
}
ENDARCDATA
ARCDATA
nWE_FSB_FCLK_hold:
CONSTRAINT(scalar) {
VALUES("-4");
}
ENDARCDATA
ARCDATA
C8M_C16M_setup:
CONSTRAINT(scalar) {
VALUES("9.5");
}
ENDARCDATA
ARCDATA
C8M_C16M_hold:
CONSTRAINT(scalar) {
VALUES("-3");
}
ENDARCDATA
ARCDATA
E_C8M_setup:
CONSTRAINT(scalar) {
VALUES("9.5");
}
ENDARCDATA
ARCDATA
nBERR_IOB_C8M_setup:
CONSTRAINT(scalar) {
VALUES("9.5");
}
ENDARCDATA
ARCDATA
nDTACK_IOB_C8M_setup:
CONSTRAINT(scalar) {
VALUES("9.5");
}
ENDARCDATA
ARCDATA
nRES_C8M_setup:
CONSTRAINT(scalar) {
VALUES("9.5");
}
ENDARCDATA
ARCDATA
nVPA_IOB_C8M_setup:
CONSTRAINT(scalar) {
VALUES("9.5");
}
ENDARCDATA
ARCDATA
E_C8M_hold:
CONSTRAINT(scalar) {
VALUES("-3");
}
ENDARCDATA
ARCDATA
nBERR_IOB_C8M_hold:
CONSTRAINT(scalar) {
VALUES("-3");
}
ENDARCDATA
ARCDATA
nDTACK_IOB_C8M_hold:
CONSTRAINT(scalar) {
VALUES("-3");
}
ENDARCDATA
ARCDATA
nRES_C8M_hold:
CONSTRAINT(scalar) {
VALUES("-3");
}
ENDARCDATA
ARCDATA
nVPA_IOB_C8M_hold:
CONSTRAINT(scalar) {
VALUES("-3");
}
ENDARCDATA
ENDTIMINGDATA
ENDMODELDATA

View File

@ -38,8 +38,6 @@
<file xil_pn:fileType="FILE_FITTER_REPORT" xil_pn:name="WarpSE.rpt"/>
<file xil_pn:branch="Implementation" xil_pn:fileType="FILE_XST_STX" xil_pn:name="WarpSE.stx"/>
<file xil_pn:branch="Implementation" xil_pn:fileType="FILE_XST_REPORT" xil_pn:name="WarpSE.syr"/>
<file xil_pn:fileType="FILE_FITTER_REPORT" xil_pn:name="WarpSE.tim"/>
<file xil_pn:fileType="FILE_FITTER_REPORT" xil_pn:name="WarpSE.tspec"/>
<file xil_pn:branch="Implementation" xil_pn:fileType="FILE_VM6" xil_pn:name="WarpSE.vm6"/>
<file xil_pn:fileType="FILE_FITTER_REPORT" xil_pn:name="WarpSE.xml"/>
<file xil_pn:branch="Implementation" xil_pn:fileType="FILE_XST" xil_pn:name="WarpSE.xst"/>
@ -59,15 +57,14 @@
</files>
<transforms xmlns="http://www.xilinx.com/XMLSchema">
<transform xil_pn:end_ts="1680330628" xil_pn:name="TRAN_copyInitialToXSTAbstractSynthesis" xil_pn:start_ts="1680330628">
<transform xil_pn:end_ts="1680846698" xil_pn:name="TRAN_copyInitialToXSTAbstractSynthesis" xil_pn:start_ts="1680846698">
<status xil_pn:value="SuccessfullyRun"/>
</transform>
<transform xil_pn:end_ts="1680846698" xil_pn:name="TRAN_schematicsToHdl" xil_pn:prop_ck="-8819683973431472423" xil_pn:start_ts="1680846698">
<status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="ReadyToRun"/>
</transform>
<transform xil_pn:end_ts="1680330628" xil_pn:name="TRAN_schematicsToHdl" xil_pn:prop_ck="-8819683973431472423" xil_pn:start_ts="1680330628">
<status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="ReadyToRun"/>
</transform>
<transform xil_pn:end_ts="1680841285" xil_pn:in_ck="5474524715461797957" xil_pn:name="TRANEXT_xstsynthesize_xc9500xl" xil_pn:prop_ck="-827049739915084467" xil_pn:start_ts="1680841277">
<transform xil_pn:end_ts="1680848758" xil_pn:in_ck="-3890025700809219080" xil_pn:name="TRANEXT_xstsynthesize_xc9500xl" xil_pn:prop_ck="-827049739915084467" xil_pn:start_ts="1680848751">
<status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="WarningsGenerated"/>
<status xil_pn:value="ReadyToRun"/>
@ -83,11 +80,11 @@
<outfile xil_pn:name="webtalk_pn.xml"/>
<outfile xil_pn:name="xst"/>
</transform>
<transform xil_pn:end_ts="1680330636" xil_pn:in_ck="-6638154780101949348" xil_pn:name="TRAN_compileBCD2" xil_pn:prop_ck="5069202360897704756" xil_pn:start_ts="1680330636">
<transform xil_pn:end_ts="1680846706" xil_pn:in_ck="8176623113425672977" xil_pn:name="TRAN_compileBCD2" xil_pn:prop_ck="5069202360897704756" xil_pn:start_ts="1680846706">
<status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="ReadyToRun"/>
</transform>
<transform xil_pn:end_ts="1680841290" xil_pn:in_ck="814020912342028692" xil_pn:name="TRAN_ngdbuild" xil_pn:prop_ck="1893441463969615248" xil_pn:start_ts="1680841285">
<transform xil_pn:end_ts="1680848764" xil_pn:in_ck="3942465255689652873" xil_pn:name="TRAN_ngdbuild" xil_pn:prop_ck="1893441463969615248" xil_pn:start_ts="1680848758">
<status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="ReadyToRun"/>
<outfile xil_pn:name="WarpSE.bld"/>
@ -96,7 +93,7 @@
<outfile xil_pn:name="_ngo"/>
<outfile xil_pn:name="_xmsgs/ngdbuild.xmsgs"/>
</transform>
<transform xil_pn:end_ts="1680841304" xil_pn:in_ck="4179227257693753" xil_pn:name="TRANEXT_vm6File_xc9500xl" xil_pn:prop_ck="3294015560432670715" xil_pn:start_ts="1680841290">
<transform xil_pn:end_ts="1680848782" xil_pn:in_ck="4179227257693753" xil_pn:name="TRANEXT_vm6File_xc9500xl" xil_pn:prop_ck="3294015560432670715" xil_pn:start_ts="1680848764">
<status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="WarningsGenerated"/>
<status xil_pn:value="ReadyToRun"/>
@ -106,22 +103,23 @@
<outfile xil_pn:name="WarpSE.pad"/>
<outfile xil_pn:name="WarpSE.pnx"/>
<outfile xil_pn:name="WarpSE.rpt"/>
<outfile xil_pn:name="WarpSE.tim"/>
<outfile xil_pn:name="WarpSE.tspec"/>
<outfile xil_pn:name="WarpSE.vm6"/>
<outfile xil_pn:name="WarpSE.xml"/>
<outfile xil_pn:name="WarpSE_build.xml"/>
<outfile xil_pn:name="WarpSE_html"/>
<outfile xil_pn:name="WarpSE_pad.csv"/>
</transform>
<transform xil_pn:end_ts="1680841306" xil_pn:in_ck="4179227257702617" xil_pn:name="TRANEXT_crtProg_xc9500" xil_pn:prop_ck="-6294026017969277533" xil_pn:start_ts="1680841304">
<transform xil_pn:end_ts="1680848784" xil_pn:in_ck="4179227257702617" xil_pn:name="TRANEXT_crtProg_xc9500" xil_pn:prop_ck="-6294026017969277533" xil_pn:start_ts="1680848782">
<status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="ReadyToRun"/>
<outfile xil_pn:name="WarpSE.jed"/>
</transform>
<transform xil_pn:end_ts="1680841425" xil_pn:in_ck="4179227257702617" xil_pn:name="TRAN_timRpt" xil_pn:prop_ck="111903974446" xil_pn:start_ts="1680841423">
<status xil_pn:value="SuccessfullyRun"/>
<transform xil_pn:name="TRAN_timRpt">
<status xil_pn:value="AbortedRun"/>
<status xil_pn:value="ReadyToRun"/>
<status xil_pn:value="OutOfDateForInputs"/>
<status xil_pn:value="InputAdded"/>
<status xil_pn:value="InputChanged"/>
</transform>
</transforms>

View File

@ -11,10 +11,18 @@ A_FSB<16> S:PIN14
A_FSB<17> S:PIN15
A_FSB<18> S:PIN16
A_FSB<19> S:PIN17
A_FSB<1> S:PIN94
A_FSB<20> S:PIN18
A_FSB<21> S:PIN19
A_FSB<22> S:PIN20
A_FSB<23> S:PIN24
A_FSB<2> S:PIN95
A_FSB<3> S:PIN96
A_FSB<4> S:PIN97
A_FSB<5> S:PIN2
A_FSB<6> S:PIN3
A_FSB<7> S:PIN4
A_FSB<8> S:PIN6
A_FSB<9> S:PIN7
C16M S:PIN22
C8M S:PIN23
@ -28,21 +36,21 @@ nLDS_FSB S:PIN30
nUDS_FSB S:PIN33
nVPA_IOB S:PIN77
nWE_FSB S:PIN29
nRES S:PIN91
C20MEN S:PIN59
C25MEN S:PIN58
RA<0> S:PIN53
RA<10> S:PIN55
RA<3> S:PIN41
RA<11> S:PIN63
RA<1> S:PIN50
RA<2> S:PIN43
RA<4> S:PIN40
RA<5> S:PIN42
RA<6> S:PIN46
RA<7> S:PIN52
RA<9> S:PIN56
RA<2> S:PIN43
RA<10> S:PIN55
RA<8> S:PIN54
RA<3> S:PIN41
RA<11> S:PIN63
RA<0> S:PIN53
nRES S:PIN91
C20MEN S:PIN59
C25MEN S:PIN58
RA<9> S:PIN56
nADoutLE0 S:PIN85
nADoutLE1 S:PIN82
nAS_IOB S:PIN81
@ -69,39 +77,41 @@ nVPA_FSB S:PIN93
;The remaining section of the .gyd file is for documentation purposes only.
;It shows where your internal equations were placed in the last successful fit.
PARTITION FB1_1 iobm/Er
PARTITION FB1_11 cs/nOverlay iobm/ES<2> iobm/ES<0> iobm/ES<3>
iobm/ES<1> IODONE IOU0 IOL0
PARTITION FB2_15 iobm/VPAr cnt/nIPL2r IOBERR cnt/Timer<1>
PARTITION FB3_4 ram/RS_FSM_FFd4 ram/RS_FSM_FFd1 iobs/IODONEr<0> cnt/INITS_FSM_FFd1
cnt/Er<0> nDTACK_FSB_OBUF cnt/TimerTC cnt/LTimer<3>
cnt/LTimer<2> cnt/LTimer<1> cnt/INITS_FSM_FFd2 RefReq
cnt/Timer<2> nROMWE_OBUF RefUrg
PARTITION FB4_1 ram/BACTr nAoutOE_OBUF nRESout iobs/Clear1
nDoutOE_OBUF nDinOE_OBUF ram/Once C20MEN_OBUF
iobs/TS_FSM_FFd1 cs/ODCSr nVPA_FSB_OBUF IOWRREQ
iobs/Load1 iobs/IORW1 IONPReady iobs/TS_FSM_FFd2
IORDREQ iobs/Sent
PARTITION FB5_1 cnt/LTimerTC nROMCS_OBUF cnt/LTimer<9> cnt/LTimer<8>
nCAS_OBUF nOE_OBUF cnt/LTimer<7> cnt/LTimer<6>
A_FSB_11_IBUF$BUF0 cnt/LTimer<5> A_FSB_19_IBUF$BUF0 A_FSB_12_IBUF$BUF0
cnt/LTimer<4> A_FSB_16_IBUF$BUF0 A_FSB_13_IBUF$BUF0 cnt/LTimer<12>
cnt/LTimer<11> cnt/LTimer<10>
PARTITION FB6_2 nVMA_IOBout
PARTITION FB6_5 iobs/IOACTr iobm/IOS_FSM_FFd5 iobm/IOS_FSM_FFd4 iobm/IOS_FSM_FFd1
nLDS_IOBout iobm/C8Mr nUDS_IOBout nAS_IOBout
iobm/IOS_FSM_FFd6 nADoutLE1_OBUF nADoutLE0_OBUF iobm/IOS_FSM_FFd7
nDinLE_OBUF ALE0M
PARTITION FB7_1 iobm/IOWRREQr A_FSB_10_IBUF$BUF0 iobm/IORDREQr cnt/LTimer<0>
A_FSB_14_IBUF$BUF0 A_FSB_9_IBUF$BUF0 cnt/Er<1> A_FSB_18_IBUF$BUF0
A_FSB_17_IBUF$BUF0 iobm/IOS_FSM_FFd2 A_FSB_15_IBUF$BUF0 C25MEN_OBUF
cnt/Timer<0> C20MEN_OBUF$BUF0 iobm/IOS_FSM_FFd3 iobm/DoutOE
iobm/IOS0 IOACT
PARTITION FB8_1 ram/RS_FSM_FFd3 A_FSB_19_IBUF$BUF1 ram/RS_FSM_FFd2 fsb/ASrf
nRAS_OBUF nRAMLWE_OBUF ALE0S nRAMUWE_OBUF
ram/RefDone iobs/IOU1 iobs/IOL1 nBERR_FSB_OBUF
IOPWReady ram/RS_FSM_FFd6 nBR_IOB_OBUF ram/RS_FSM_FFd8
ram/CAS ram/RAMEN
PARTITION FB1_1 iobs/IODONEr iobs/IOACTr iobm/VPAr iobm/IOWRREQr
iobm/IOS_FSM_FFd5 iobm/IOS_FSM_FFd4 iobm/IOS_FSM_FFd1 iobm/IORDREQr
iobm/Er iobm/C8Mr cnt/nIPL2r cnt/Er<0>
ALE0S iobs/IOU1 iobs/IOL1 iobm/IOS_FSM_FFd2
IOBERR iobm/ES<2>
PARTITION FB2_14 ram/RS_FSM_FFd5 ram/RS_FSM_FFd3 ram/RS_FSM_FFd2 ram/RS_FSM_FFd1
ram/RASrf
PARTITION FB3_1 ram/BACTr fsb/ASrf cnt/LTimerTC cnt/LTimer<9>
cnt/LTimer<8> cnt/LTimer<7> cnt/LTimer<6> cnt/LTimer<5>
nDTACK_FSB_OBUF cnt/LTimer<4> cnt/LTimer<3> cnt/LTimer<2>
cnt/LTimer<1> cnt/LTimer<12> cnt/LTimer<11> cnt/LTimer<10>
nROMWE_OBUF IOPWReady
PARTITION FB4_1 nRESout nAoutOE_OBUF ram/RS_FSM_FFd8 iobs/IORW1
nDoutOE_OBUF nDinOE_OBUF
PARTITION FB4_8 C20MEN_OBUF IORDREQ cs/ODCSr nVPA_FSB_OBUF
iobs/Load1 iobs/TS_FSM_FFd1 EXP10_ RAMReady
ram/RS_FSM_FFd7 iobs/Sent EXP11_
PARTITION FB5_1 EXP12_ nROMCS_OBUF ram/RAMEN ram/RASrr
nCAS_OBUF nOE_OBUF ram/RS_FSM_FFd6 ram/Once
RA_4_OBUF ram/RASEL RA_11_OBUF RA_5_OBUF
cs/nOverlay RA_2_OBUF RA_6_OBUF ram/RS_FSM_FFd4
ram/RefDone ram/CAS
PARTITION FB6_1 iobm/IOS_FSM_FFd6 nVMA_IOBout iobm/IOS_FSM_FFd7 iobm/IOS_FSM_FFd3
iobm/ES<0> iobm/ES<3> iobm/ES<1> iobm/DoutOE
nLDS_IOBout IODONE nUDS_IOBout nAS_IOBout
iobm/IOS0 nADoutLE1_OBUF nADoutLE0_OBUF ALE0M
nDinLE_OBUF IOACT
PARTITION FB7_1 cnt/LTimer<0> RA_1_OBUF cnt/INITS_FSM_FFd1 cnt/Er<1>
RA_7_OBUF RA_0_OBUF cnt/TimerTC RA_8_OBUF
RA_10_OBUF cnt/Timer<0> RA_9_OBUF C25MEN_OBUF
cnt/INITS_FSM_FFd2 C20MEN_OBUF$BUF0 RefReq cnt/Timer<1>
cnt/Timer<2> RefUrg
PARTITION FB8_1 EXP13_ RA_11_OBUF$BUF0 EXP14_ iobs/TS_FSM_FFd2
nRAS_OBUF nRAMLWE_OBUF EXP15_ nRAMUWE_OBUF
IOWRREQ EXP16_ EXP17_ nBERR_FSB_OBUF
IOU0 EXP18_ nBR_IOB_OBUF iobs/Clear1
IONPReady IOL0

File diff suppressed because it is too large Load Diff

File diff suppressed because it is too large Load Diff

View File

@ -1,170 +0,0 @@
MODEL
MODEL_VERSION "v1998.8";
DESIGN "WarpSE";
/* port names and type */
INPUT S:PIN24 = A_FSB<23>;
INPUT S:PIN20 = A_FSB<22>;
INPUT S:PIN19 = A_FSB<21>;
INPUT S:PIN18 = A_FSB<20>;
INPUT S:PIN17 = A_FSB<19>;
INPUT S:PIN16 = A_FSB<18>;
INPUT S:PIN23 = C8M;
INPUT S:PIN22 = C16M;
INPUT S:PIN27 = FCLK;
INPUT S:PIN32 = nAS_FSB;
INPUT S:PIN29 = nWE_FSB;
INPUT S:PIN76 = nBERR_IOB;
INPUT S:PIN78 = nDTACK_IOB;
INPUT S:PIN30 = nLDS_FSB;
INPUT S:PIN33 = nUDS_FSB;
INPUT S:PIN25 = E;
INPUT S:PIN92 = nIPL2;
INPUT S:PIN77 = nVPA_IOB;
INPUT S:PIN8 = A_FSB<10>;
INPUT S:PIN9 = A_FSB<11>;
INPUT S:PIN10 = A_FSB<12>;
INPUT S:PIN11 = A_FSB<13>;
INPUT S:PIN12 = A_FSB<14>;
INPUT S:PIN13 = A_FSB<15>;
INPUT S:PIN14 = A_FSB<16>;
INPUT S:PIN15 = A_FSB<17>;
INPUT S:PIN7 = A_FSB<9>;
TRIOUT S:PIN91 = nRES;
TRIOUT S:PIN74 = nVMA_IOB;
TRIOUT S:PIN81 = nAS_IOB;
TRIOUT S:PIN79 = nLDS_IOB;
TRIOUT S:PIN80 = nUDS_IOB;
OUTPUT S:PIN70 = nBERR_FSB;
OUTPUT S:PIN93 = nVPA_FSB;
OUTPUT S:PIN72 = nBR_IOB;
OUTPUT S:PIN37 = nOE;
OUTPUT S:PIN34 = nROMWE;
OUTPUT S:PIN85 = nADoutLE0;
OUTPUT S:PIN36 = nCAS;
OUTPUT S:PIN28 = nDTACK_FSB;
OUTPUT S:PIN86 = nDinLE;
OUTPUT S:PIN50 = RA<1>;
OUTPUT S:PIN40 = RA<4>;
OUTPUT S:PIN42 = RA<5>;
OUTPUT S:PIN46 = RA<6>;
OUTPUT S:PIN52 = RA<7>;
OUTPUT S:PIN56 = RA<9>;
OUTPUT S:PIN43 = RA<2>;
OUTPUT S:PIN55 = RA<10>;
OUTPUT S:PIN54 = RA<8>;
OUTPUT S:PIN41 = RA<3>;
OUTPUT S:PIN63 = RA<11>;
OUTPUT S:PIN53 = RA<0>;
OUTPUT S:PIN82 = nADoutLE1;
OUTPUT S:PIN87 = nAoutOE;
OUTPUT S:PIN90 = nDinOE;
OUTPUT S:PIN89 = nDoutOE;
OUTPUT S:PIN65 = nRAMLWE;
OUTPUT S:PIN66 = nRAMUWE;
OUTPUT S:PIN64 = nRAS;
OUTPUT S:PIN35 = nROMCS;
OUTPUT S:PIN59 = C20MEN;
OUTPUT S:PIN58 = C25MEN;
/* timing arc definitions */
A_FSB<9>_RA<0>_delay: DELAY A_FSB<9> RA<0>;
A_FSB<17>_RA<10>_delay: DELAY A_FSB<17> RA<10>;
A_FSB<19>_RA<11>_delay: DELAY A_FSB<19> RA<11>;
A_FSB<10>_RA<1>_delay: DELAY A_FSB<10> RA<1>;
A_FSB<16>_RA<2>_delay: DELAY A_FSB<16> RA<2>;
A_FSB<19>_RA<3>_delay: DELAY A_FSB<19> RA<3>;
A_FSB<11>_RA<4>_delay: DELAY A_FSB<11> RA<4>;
A_FSB<12>_RA<5>_delay: DELAY A_FSB<12> RA<5>;
A_FSB<13>_RA<6>_delay: DELAY A_FSB<13> RA<6>;
A_FSB<14>_RA<7>_delay: DELAY A_FSB<14> RA<7>;
A_FSB<18>_RA<8>_delay: DELAY A_FSB<18> RA<8>;
A_FSB<15>_RA<9>_delay: DELAY A_FSB<15> RA<9>;
nAS_FSB_nDinOE_delay: DELAY nAS_FSB nDinOE;
nWE_FSB_nDinOE_delay: DELAY nWE_FSB nDinOE;
A_FSB<23>_nDinOE_delay: DELAY A_FSB<23> nDinOE;
A_FSB<22>_nDinOE_delay: DELAY A_FSB<22> nDinOE;
A_FSB<20>_nDinOE_delay: DELAY A_FSB<20> nDinOE;
A_FSB<21>_nDinOE_delay: DELAY A_FSB<21> nDinOE;
nWE_FSB_nOE_delay: DELAY nWE_FSB nOE;
nAS_FSB_nOE_delay: DELAY nAS_FSB nOE;
nWE_FSB_nRAMLWE_delay: DELAY nWE_FSB nRAMLWE;
nAS_FSB_nRAMLWE_delay: DELAY nAS_FSB nRAMLWE;
nLDS_FSB_nRAMLWE_delay: DELAY nLDS_FSB nRAMLWE;
nUDS_FSB_nRAMUWE_delay: DELAY nUDS_FSB nRAMUWE;
nWE_FSB_nRAMUWE_delay: DELAY nWE_FSB nRAMUWE;
nAS_FSB_nRAMUWE_delay: DELAY nAS_FSB nRAMUWE;
A_FSB<20>_nROMCS_delay: DELAY A_FSB<20> nROMCS;
A_FSB<22>_nROMCS_delay: DELAY A_FSB<22> nROMCS;
A_FSB<23>_nROMCS_delay: DELAY A_FSB<23> nROMCS;
A_FSB<21>_nROMCS_delay: DELAY A_FSB<21> nROMCS;
nAS_FSB_nROMWE_delay: DELAY nAS_FSB nROMWE;
nWE_FSB_nROMWE_delay: DELAY nWE_FSB nROMWE;
FCLK_nRES_delay: DELAY (ENABLE_HIGH) FCLK nRES;
FCLK_nVMA_IOB_delay: DELAY (ENABLE_HIGH) FCLK nVMA_IOB;
FCLK_nAS_IOB_delay: DELAY (ENABLE_HIGH) FCLK nAS_IOB;
FCLK_nLDS_IOB_delay: DELAY (ENABLE_HIGH) FCLK nLDS_IOB;
FCLK_nUDS_IOB_delay: DELAY (ENABLE_HIGH) FCLK nUDS_IOB;
FCLK_nBERR_FSB_delay: DELAY FCLK nBERR_FSB;
FCLK_nVPA_FSB_delay: DELAY FCLK nVPA_FSB;
FCLK_nBR_IOB_delay: DELAY FCLK nBR_IOB;
FCLK_nADoutLE0_delay: DELAY FCLK nADoutLE0;
FCLK_nCAS_delay: DELAY FCLK nCAS;
FCLK_nDTACK_FSB_delay: DELAY FCLK nDTACK_FSB;
FCLK_nADoutLE1_delay: DELAY FCLK nADoutLE1;
FCLK_nAoutOE_delay: DELAY FCLK nAoutOE;
FCLK_nDinOE_delay: DELAY FCLK nDinOE;
FCLK_nDoutOE_delay: DELAY FCLK nDoutOE;
FCLK_nRAMLWE_delay: DELAY FCLK nRAMLWE;
FCLK_nRAMUWE_delay: DELAY FCLK nRAMUWE;
FCLK_nRAS_delay: DELAY FCLK nRAS;
FCLK_nROMCS_delay: DELAY FCLK nROMCS;
C16M_nAS_IOB_delay: DELAY (ENABLE_HIGH) C16M nAS_IOB;
C16M_nLDS_IOB_delay: DELAY (ENABLE_HIGH) C16M nLDS_IOB;
C16M_nUDS_IOB_delay: DELAY (ENABLE_HIGH) C16M nUDS_IOB;
C16M_nADoutLE0_delay: DELAY C16M nADoutLE0;
C16M_nDinLE_delay: DELAY C16M nDinLE;
C16M_nDoutOE_delay: DELAY C16M nDoutOE;
C8M_nVMA_IOB_delay: DELAY (ENABLE_HIGH) C8M nVMA_IOB;
/* timing check arc definitions */
A_FSB<18>_FCLK_setup: SETUP(POSEDGE) A_FSB<18> FCLK;
A_FSB<19>_FCLK_setup: SETUP(POSEDGE) A_FSB<19> FCLK;
A_FSB<20>_FCLK_setup: SETUP(POSEDGE) A_FSB<20> FCLK;
A_FSB<21>_FCLK_setup: SETUP(POSEDGE) A_FSB<21> FCLK;
A_FSB<22>_FCLK_setup: SETUP(POSEDGE) A_FSB<22> FCLK;
A_FSB<23>_FCLK_setup: SETUP(POSEDGE) A_FSB<23> FCLK;
E_FCLK_setup: SETUP(POSEDGE) E FCLK;
nAS_FSB_FCLK_setup: SETUP(POSEDGE) nAS_FSB FCLK;
nIPL2_FCLK_setup: SETUP(POSEDGE) nIPL2 FCLK;
nLDS_FSB_FCLK_setup: SETUP(POSEDGE) nLDS_FSB FCLK;
nRES_FCLK_setup: SETUP(POSEDGE) nRES FCLK;
nUDS_FSB_FCLK_setup: SETUP(POSEDGE) nUDS_FSB FCLK;
nWE_FSB_FCLK_setup: SETUP(POSEDGE) nWE_FSB FCLK;
A_FSB<18>_FCLK_hold: HOLD(POSEDGE) A_FSB<18> FCLK;
A_FSB<19>_FCLK_hold: HOLD(POSEDGE) A_FSB<19> FCLK;
A_FSB<20>_FCLK_hold: HOLD(POSEDGE) A_FSB<20> FCLK;
A_FSB<21>_FCLK_hold: HOLD(POSEDGE) A_FSB<21> FCLK;
A_FSB<22>_FCLK_hold: HOLD(POSEDGE) A_FSB<22> FCLK;
A_FSB<23>_FCLK_hold: HOLD(POSEDGE) A_FSB<23> FCLK;
E_FCLK_hold: HOLD(POSEDGE) E FCLK;
nAS_FSB_FCLK_hold: HOLD(POSEDGE) nAS_FSB FCLK;
nIPL2_FCLK_hold: HOLD(POSEDGE) nIPL2 FCLK;
nLDS_FSB_FCLK_hold: HOLD(POSEDGE) nLDS_FSB FCLK;
nRES_FCLK_hold: HOLD(POSEDGE) nRES FCLK;
nUDS_FSB_FCLK_hold: HOLD(POSEDGE) nUDS_FSB FCLK;
nWE_FSB_FCLK_hold: HOLD(POSEDGE) nWE_FSB FCLK;
C8M_C16M_setup: SETUP(POSEDGE) C8M C16M;
C8M_C16M_hold: HOLD(POSEDGE) C8M C16M;
E_C8M_setup: SETUP(POSEDGE) E C8M;
nBERR_IOB_C8M_setup: SETUP(POSEDGE) nBERR_IOB C8M;
nDTACK_IOB_C8M_setup: SETUP(POSEDGE) nDTACK_IOB C8M;
nRES_C8M_setup: SETUP(POSEDGE) nRES C8M;
nVPA_IOB_C8M_setup: SETUP(POSEDGE) nVPA_IOB C8M;
E_C8M_hold: HOLD(POSEDGE) E C8M;
nBERR_IOB_C8M_hold: HOLD(POSEDGE) nBERR_IOB C8M;
nDTACK_IOB_C8M_hold: HOLD(POSEDGE) nDTACK_IOB C8M;
nRES_C8M_hold: HOLD(POSEDGE) nRES C8M;
nVPA_IOB_C8M_hold: HOLD(POSEDGE) nVPA_IOB C8M;
ENDMODEL

File diff suppressed because one or more lines are too long

File diff suppressed because one or more lines are too long

File diff suppressed because one or more lines are too long

File diff suppressed because one or more lines are too long

View File

@ -1,7 +1,7 @@
Release 8.1i - Fit P.20131013
Copyright(c) 1995-2003 Xilinx Inc. All rights reserved
4- 7-2023 0:29AM
4- 7-2023 2:26AM
NOTE: This file is designed to be imported into a spreadsheet program
such as Microsoft Excel for viewing, printing and sorting. The pipe '|'
@ -19,11 +19,11 @@ Pinout by Pin Number:
-----|-----|-----|-----|-----|-----|-----|-----|-----|-----|
Pin Number|Signal Name|Pin Usage|Pin Name|Direction|IO Standard|IO Bank Number|{blank}|Slew Rate|Termination|{blank}|Voltage|Constraint|
P1|TIE||I/O/GTS3||||||||||
P2|TIE||I/O/GTS4||||||||||
P3|TIE||I/O/GTS1||||||||||
P4|TIE||I/O/GTS2||||||||||
P2|A_FSB<5>|I|I/O/GTS4|INPUT|||||||||
P3|A_FSB<6>|I|I/O/GTS1|INPUT|||||||||
P4|A_FSB<7>|I|I/O/GTS2|INPUT|||||||||
P5|VCC||VCCINT||||||||||
P6|TIE||I/O||||||||||
P6|A_FSB<8>|I|I/O|INPUT|||||||||
P7|A_FSB<9>|I|I/O|INPUT|||||||||
P8|A_FSB<10>|I|I/O|INPUT|||||||||
P9|A_FSB<11>|I|I/O|INPUT|||||||||
@ -111,10 +111,10 @@ P90|nDinOE|O|I/O|OUTPUT|||||||||
P91|nRES|I/O|I/O|BIDIR|||||||||
P92|nIPL2|I|I/O|INPUT|||||||||
P93|nVPA_FSB|O|I/O|OUTPUT|||||||||
P94|TIE||I/O||||||||||
P95|TIE||I/O||||||||||
P96|TIE||I/O||||||||||
P97|TIE||I/O||||||||||
P94|A_FSB<1>|I|I/O|INPUT|||||||||
P95|A_FSB<2>|I|I/O|INPUT|||||||||
P96|A_FSB<3>|I|I/O|INPUT|||||||||
P97|A_FSB<4>|I|I/O|INPUT|||||||||
P98|VCC||VCCINT||||||||||
P99|TIE||I/O/GSR||||||||||
P100|GND||GND||||||||||

View File

@ -15,4 +15,4 @@
sr (SLOW|FAST|slow|fast) "SLOW"
dir (BIDIR|bidir|INPUT|input|OUTPUT|output) "BIDIR">
]>
<ibis><part arch="xc9500xl" device="XC95144XL" pkg="TQ100" spg="-10"/><pin dir="input" nm="A_FSB&lt;23&gt;" no="24"/><pin dir="input" nm="A_FSB&lt;22&gt;" no="20"/><pin dir="input" nm="A_FSB&lt;21&gt;" no="19"/><pin dir="input" nm="A_FSB&lt;20&gt;" no="18"/><pin dir="input" nm="A_FSB&lt;19&gt;" no="17"/><pin dir="input" nm="A_FSB&lt;18&gt;" no="16"/><pin dir="input" nm="C8M" no="23"/><pin dir="input" nm="C16M" no="22"/><pin dir="input" nm="FCLK" no="27"/><pin dir="input" nm="nAS_FSB" no="32"/><pin dir="input" nm="nWE_FSB" no="29"/><pin dir="input" nm="nBERR_IOB" no="76"/><pin dir="input" nm="nDTACK_IOB" no="78"/><pin dir="input" nm="nLDS_FSB" no="30"/><pin dir="input" nm="nUDS_FSB" no="33"/><pin dir="input" nm="E" no="25"/><pin dir="input" nm="nIPL2" no="92"/><pin dir="input" nm="nVPA_IOB" no="77"/><pin dir="input" nm="A_FSB&lt;10&gt;" no="8"/><pin dir="input" nm="A_FSB&lt;11&gt;" no="9"/><pin dir="input" nm="A_FSB&lt;12&gt;" no="10"/><pin dir="input" nm="A_FSB&lt;13&gt;" no="11"/><pin dir="input" nm="A_FSB&lt;14&gt;" no="12"/><pin dir="input" nm="A_FSB&lt;15&gt;" no="13"/><pin dir="input" nm="A_FSB&lt;16&gt;" no="14"/><pin dir="input" nm="A_FSB&lt;17&gt;" no="15"/><pin dir="input" nm="A_FSB&lt;9&gt;" no="7"/><pin dir="output" nm="nVMA_IOB" no="74" sr="fast"/><pin dir="output" nm="nAS_IOB" no="81" sr="fast"/><pin dir="output" nm="nLDS_IOB" no="79" sr="fast"/><pin dir="output" nm="nUDS_IOB" no="80" sr="fast"/><pin dir="output" nm="nBERR_FSB" no="70" sr="fast"/><pin dir="output" nm="nVPA_FSB" no="93" sr="fast"/><pin dir="output" nm="nBR_IOB" no="72" sr="fast"/><pin dir="output" nm="nOE" no="37" sr="fast"/><pin dir="output" nm="nROMWE" no="34" sr="fast"/><pin dir="output" nm="nADoutLE0" no="85" sr="fast"/><pin dir="output" nm="nCAS" no="36" sr="fast"/><pin dir="output" nm="nDTACK_FSB" no="28" sr="fast"/><pin dir="output" nm="nDinLE" no="86" sr="fast"/><pin dir="output" nm="RA&lt;1&gt;" no="50" sr="fast"/><pin dir="output" nm="RA&lt;4&gt;" no="40" sr="fast"/><pin dir="output" nm="RA&lt;5&gt;" no="42" sr="fast"/><pin dir="output" nm="RA&lt;6&gt;" no="46" sr="fast"/><pin dir="output" nm="RA&lt;7&gt;" no="52" sr="fast"/><pin dir="output" nm="RA&lt;9&gt;" no="56" sr="fast"/><pin dir="output" nm="RA&lt;2&gt;" no="43" sr="fast"/><pin dir="output" nm="RA&lt;10&gt;" no="55" sr="fast"/><pin dir="output" nm="RA&lt;8&gt;" no="54" sr="fast"/><pin dir="output" nm="RA&lt;3&gt;" no="41" sr="fast"/><pin dir="output" nm="RA&lt;11&gt;" no="63" sr="fast"/><pin dir="output" nm="RA&lt;0&gt;" no="53" sr="fast"/><pin dir="output" nm="nADoutLE1" no="82" sr="fast"/><pin dir="output" nm="nAoutOE" no="87" sr="fast"/><pin dir="output" nm="nDinOE" no="90" sr="fast"/><pin dir="output" nm="nDoutOE" no="89" sr="fast"/><pin dir="output" nm="nRAMLWE" no="65" sr="fast"/><pin dir="output" nm="nRAMUWE" no="66" sr="fast"/><pin dir="output" nm="nRAS" no="64" sr="fast"/><pin dir="output" nm="nROMCS" no="35" sr="fast"/><pin dir="output" nm="C20MEN" no="59" sr="fast"/><pin dir="output" nm="C25MEN" no="58" sr="fast"/><pin dir="bidir" nm="nRES" no="91" sr="fast"/></ibis>
<ibis><part arch="xc9500xl" device="XC95144XL" pkg="TQ100" spg="-10"/><pin dir="input" nm="A_FSB&lt;23&gt;" no="24"/><pin dir="input" nm="A_FSB&lt;22&gt;" no="20"/><pin dir="input" nm="A_FSB&lt;21&gt;" no="19"/><pin dir="input" nm="A_FSB&lt;20&gt;" no="18"/><pin dir="input" nm="A_FSB&lt;19&gt;" no="17"/><pin dir="input" nm="A_FSB&lt;18&gt;" no="16"/><pin dir="input" nm="C8M" no="23"/><pin dir="input" nm="C16M" no="22"/><pin dir="input" nm="A_FSB&lt;17&gt;" no="15"/><pin dir="input" nm="A_FSB&lt;16&gt;" no="14"/><pin dir="input" nm="FCLK" no="27"/><pin dir="input" nm="nAS_FSB" no="32"/><pin dir="input" nm="nWE_FSB" no="29"/><pin dir="input" nm="A_FSB&lt;14&gt;" no="12"/><pin dir="input" nm="A_FSB&lt;13&gt;" no="11"/><pin dir="input" nm="nBERR_IOB" no="76"/><pin dir="input" nm="nDTACK_IOB" no="78"/><pin dir="input" nm="nLDS_FSB" no="30"/><pin dir="input" nm="nUDS_FSB" no="33"/><pin dir="input" nm="E" no="25"/><pin dir="input" nm="nIPL2" no="92"/><pin dir="input" nm="nVPA_IOB" no="77"/><pin dir="input" nm="A_FSB&lt;1&gt;" no="94"/><pin dir="input" nm="A_FSB&lt;9&gt;" no="7"/><pin dir="input" nm="A_FSB&lt;7&gt;" no="4"/><pin dir="input" nm="A_FSB&lt;2&gt;" no="95"/><pin dir="input" nm="A_FSB&lt;10&gt;" no="8"/><pin dir="input" nm="A_FSB&lt;3&gt;" no="96"/><pin dir="input" nm="A_FSB&lt;11&gt;" no="9"/><pin dir="input" nm="A_FSB&lt;4&gt;" no="97"/><pin dir="input" nm="A_FSB&lt;12&gt;" no="10"/><pin dir="input" nm="A_FSB&lt;5&gt;" no="2"/><pin dir="input" nm="A_FSB&lt;6&gt;" no="3"/><pin dir="input" nm="A_FSB&lt;8&gt;" no="6"/><pin dir="input" nm="A_FSB&lt;15&gt;" no="13"/><pin dir="output" nm="nVMA_IOB" no="74" sr="fast"/><pin dir="output" nm="nAS_IOB" no="81" sr="fast"/><pin dir="output" nm="nLDS_IOB" no="79" sr="fast"/><pin dir="output" nm="nUDS_IOB" no="80" sr="fast"/><pin dir="output" nm="nBERR_FSB" no="70" sr="fast"/><pin dir="output" nm="nVPA_FSB" no="93" sr="fast"/><pin dir="output" nm="nRAS" no="64" sr="fast"/><pin dir="output" nm="nBR_IOB" no="72" sr="fast"/><pin dir="output" nm="RA&lt;3&gt;" no="41" sr="fast"/><pin dir="output" nm="RA&lt;0&gt;" no="53" sr="fast"/><pin dir="output" nm="RA&lt;10&gt;" no="55" sr="fast"/><pin dir="output" nm="RA&lt;1&gt;" no="50" sr="fast"/><pin dir="output" nm="RA&lt;2&gt;" no="43" sr="fast"/><pin dir="output" nm="RA&lt;4&gt;" no="40" sr="fast"/><pin dir="output" nm="RA&lt;5&gt;" no="42" sr="fast"/><pin dir="output" nm="RA&lt;6&gt;" no="46" sr="fast"/><pin dir="output" nm="RA&lt;7&gt;" no="52" sr="fast"/><pin dir="output" nm="RA&lt;8&gt;" no="54" sr="fast"/><pin dir="output" nm="RA&lt;9&gt;" no="56" sr="fast"/><pin dir="output" nm="nOE" no="37" sr="fast"/><pin dir="output" nm="nROMWE" no="34" sr="fast"/><pin dir="output" nm="nADoutLE0" no="85" sr="fast"/><pin dir="output" nm="nCAS" no="36" sr="fast"/><pin dir="output" nm="nDTACK_FSB" no="28" sr="fast"/><pin dir="output" nm="nDinLE" no="86" sr="fast"/><pin dir="output" nm="RA&lt;11&gt;" no="63" sr="fast"/><pin dir="output" nm="nADoutLE1" no="82" sr="fast"/><pin dir="output" nm="nAoutOE" no="87" sr="fast"/><pin dir="output" nm="nDinOE" no="90" sr="fast"/><pin dir="output" nm="nDoutOE" no="89" sr="fast"/><pin dir="output" nm="nRAMLWE" no="65" sr="fast"/><pin dir="output" nm="nRAMUWE" no="66" sr="fast"/><pin dir="output" nm="nROMCS" no="35" sr="fast"/><pin dir="output" nm="C20MEN" no="59" sr="fast"/><pin dir="output" nm="C25MEN" no="58" sr="fast"/><pin dir="bidir" nm="nRES" no="91" sr="fast"/></ibis>

View File

@ -1,7 +1,7 @@
verilog work "../RAM.v"
verilog work "../IOBS.v"
verilog work "../IOBM.v"
verilog work "../FSB.v"
verilog work "../CS.v"
verilog work "../CNT.v"
verilog work "../WarpSE.v"
verilog work "RAM.v"
verilog work "IOBS.v"
verilog work "IOBM.v"
verilog work "FSB.v"
verilog work "CS.v"
verilog work "CNT.v"
verilog work "WarpSE.v"

File diff suppressed because it is too large Load Diff

View File

@ -75,18 +75,18 @@ wysiwyg : NO
=========================================================================
* HDL Compilation *
=========================================================================
Compiling verilog file "../RAM.v" in library work
Compiling verilog file "../IOBS.v" in library work
Compiling verilog file "RAM.v" in library work
Compiling verilog file "IOBS.v" in library work
Module <RAM> compiled
Compiling verilog file "../IOBM.v" in library work
Compiling verilog file "IOBM.v" in library work
Module <IOBS> compiled
Compiling verilog file "../FSB.v" in library work
Compiling verilog file "FSB.v" in library work
Module <IOBM> compiled
Compiling verilog file "../CS.v" in library work
Compiling verilog file "CS.v" in library work
Module <FSB> compiled
Compiling verilog file "../CNT.v" in library work
Compiling verilog file "CNT.v" in library work
Module <CS> compiled
Compiling verilog file "../WarpSE.v" in library work
Compiling verilog file "WarpSE.v" in library work
Module <CNT> compiled
Module <WarpSE> compiled
No errors in compilation
@ -143,9 +143,9 @@ Module <FSB> is correct for synthesis.
Performing bidirectional port resolution...
Synthesizing Unit <CS>.
Related source file is "../CS.v".
WARNING:Xst:647 - Input <A<17:8>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:646 - Signal <VidRAMCSWR> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
Related source file is "CS.v".
WARNING:Xst:1305 - Output <SndRAMCSWR> is never assigned. Tied to value 0.
WARNING:Xst:647 - Input <A<11:8>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
Found 1-bit register for signal <nOverlay>.
Found 1-bit register for signal <ODCSr>.
Summary:
@ -154,7 +154,7 @@ Unit <CS> synthesized.
Synthesizing Unit <RAM>.
Related source file is "../RAM.v".
Related source file is "RAM.v".
Found finite state machine <FSM_0> for signal <RS>.
-----------------------------------------------------------------------
| States | 8 |
@ -178,13 +178,12 @@ Synthesizing Unit <RAM>.
Found 1-bit register for signal <RefDone>.
Summary:
inferred 1 Finite State Machine(s).
inferred 6 D-type flip-flop(s).
inferred 7 D-type flip-flop(s).
Unit <RAM> synthesized.
Synthesizing Unit <IOBS>.
Related source file is "../IOBS.v".
WARNING:Xst:646 - Signal <IODONEr<1>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
Related source file is "IOBS.v".
Found finite state machine <FSM_1> for signal <TS>.
-----------------------------------------------------------------------
| States | 4 |
@ -207,7 +206,7 @@ WARNING:Xst:646 - Signal <IODONEr<1>> is assigned but never used. This unconnect
Found 1-bit register for signal <nBERR_FSB>.
Found 1-bit register for signal <Clear1>.
Found 1-bit register for signal <IOACTr>.
Found 2-bit register for signal <IODONEr>.
Found 1-bit register for signal <IODONEr>.
Found 1-bit register for signal <IOL1>.
Found 1-bit register for signal <IORW1>.
Found 1-bit register for signal <IOU1>.
@ -215,12 +214,12 @@ WARNING:Xst:646 - Signal <IODONEr<1>> is assigned but never used. This unconnect
Found 1-bit register for signal <Sent>.
Summary:
inferred 1 Finite State Machine(s).
inferred 9 D-type flip-flop(s).
inferred 10 D-type flip-flop(s).
Unit <IOBS> synthesized.
Synthesizing Unit <IOBM>.
Related source file is "../IOBM.v".
Related source file is "IOBM.v".
Found finite state machine <FSM_2> for signal <IOS>.
-----------------------------------------------------------------------
| States | 7 |
@ -257,7 +256,7 @@ Unit <IOBM> synthesized.
Synthesizing Unit <CNT>.
Related source file is "../CNT.v".
Related source file is "CNT.v".
Found finite state machine <FSM_3> for signal <INITS>.
-----------------------------------------------------------------------
| States | 4 |
@ -287,11 +286,7 @@ Unit <CNT> synthesized.
Synthesizing Unit <FSB>.
Related source file is "../FSB.v".
WARNING:Xst:647 - Input <QoSCS> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <QoSReady> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <RAMCS> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <RAMReady> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
Related source file is "FSB.v".
Found 1-bit register for signal <nVPA>.
Found 1-bit register for signal <nDTACK>.
Found 1-bit register for signal <ASrf>.
@ -301,7 +296,7 @@ Unit <FSB> synthesized.
Synthesizing Unit <WarpSE>.
Related source file is "../WarpSE.v".
Related source file is "WarpSE.v".
WARNING:Xst:647 - Input <SW> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <nBG_IOB> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
Found 1-bit tristate buffer for signal <nAS_IOB>.
@ -322,8 +317,8 @@ Macro Statistics
13-bit up counter : 1
4-bit up counter : 2
# Registers : 56
1-bit register : 54
2-bit register : 2
1-bit register : 55
2-bit register : 1
# Tristates : 5
1-bit tristate buffer : 5
@ -380,12 +375,6 @@ Optimizing FSM <ram/RS/FSM> on signal <RS[1:8]> with one-hot encoding.
101 | 01000000
110 | 10000000
-------------------
WARNING:Xst:1293 - FF/Latch <0> has a constant value of 0 in block <FFd5>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1293 - FF/Latch <0> has a constant value of 0 in block <FFd7>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1293 - FF/Latch <0> has a constant value of 0 in block <RASEL>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1293 - FF/Latch <0> has a constant value of 0 in block <RASrf>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:2257 - FF/Latches <FFd5> is unconnected in block <FSM>.
WARNING:Xst:2257 - FF/Latches <FFd7> is unconnected in block <FSM>.
=========================================================================
Advanced HDL Synthesis Report
@ -395,16 +384,14 @@ Macro Statistics
# Counters : 3
13-bit up counter : 1
4-bit up counter : 2
# Registers : 42
Flip-Flops : 42
# Registers : 44
Flip-Flops : 44
=========================================================================
=========================================================================
* Low Level Synthesis *
=========================================================================
WARNING:Xst:1710 - FF/Latch <RAMReady> (without init value) has a constant value of 0 in block <RAM>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:2677 - Node <IODONEr_1> of sequential type is unconnected in block <IOBS>.
Optimizing unit <WarpSE> ...
@ -416,19 +403,16 @@ Optimizing unit <RAM> ...
implementation constraint: INIT=r : RASEL
implementation constraint: INIT=r : CAS
implementation constraint: INIT=r : RASrr
implementation constraint: INIT=r : RS_FSM_FFd6
implementation constraint: INIT=r : RASrf
implementation constraint: INIT=r : RS_FSM_FFd7
implementation constraint: INIT=r : Once
implementation constraint: INIT=r : RASrf
implementation constraint: INIT=r : RAMEN
implementation constraint: INIT=r : RS_FSM_FFd1
implementation constraint: INIT=r : RS_FSM_FFd2
implementation constraint: INIT=r : RS_FSM_FFd3
implementation constraint: INIT=r : RS_FSM_FFd4
implementation constraint: INIT=r : RS_FSM_FFd5
Optimizing unit <FSB> ...
implementation constraint: INIT=r : ASrf
implementation constraint: INIT=r : RS_FSM_FFd6
Optimizing unit <IOBS> ...
implementation constraint: INIT=r : IOACTr
@ -436,6 +420,9 @@ Optimizing unit <IOBS> ...
implementation constraint: INIT=r : Sent
implementation constraint: INIT=r : TS_FSM_FFd1
Optimizing unit <FSB> ...
implementation constraint: INIT=r : ASrf
Optimizing unit <IOBM> ...
implementation constraint: INIT=s : IOS_FSM_FFd7
implementation constraint: INIT=r : DoutOE
@ -453,10 +440,6 @@ Optimizing unit <CNT> ...
implementation constraint: INIT=r : INITS_FSM_FFd2
implementation constraint: INIT=r : Timer_2
implementation constraint: INIT=r : INITS_FSM_FFd1
WARNING:Xst:1293 - FF/Latch <RASEL> has a constant value of 0 in block <ram>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1293 - FF/Latch <RS_FSM_FFd7> has a constant value of 0 in block <ram>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch <RASrf> has a constant value of 0 in block <ram>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1293 - FF/Latch <RS_FSM_FFd5> has a constant value of 0 in block <ram>. This FF/Latch will be trimmed during the optimization process.
=========================================================================
* Partition Report *
@ -488,28 +471,28 @@ Design Statistics
# IOs : 75
Cell Usage :
# BELS : 457
# AND2 : 129
# AND3 : 20
# AND4 : 7
# BELS : 559
# AND2 : 172
# AND3 : 25
# AND4 : 9
# AND5 : 2
# AND6 : 1
# AND7 : 1
# AND8 : 1
# GND : 6
# INV : 190
# OR2 : 69
# OR3 : 10
# INV : 214
# OR2 : 96
# OR3 : 11
# OR4 : 2
# VCC : 1
# XOR2 : 18
# FlipFlops/Latches : 92
# FD : 56
# FlipFlops/Latches : 97
# FD : 61
# FDC : 2
# FDCE : 33
# FDP : 1
# IO Buffers : 63
# IBUF : 27
# IO Buffers : 71
# IBUF : 35
# IOBUFE : 1
# OBUF : 31
# OBUFE : 4
@ -517,13 +500,13 @@ Cell Usage :
Total REAL time to Xst completion: 5.00 secs
Total CPU time to Xst completion: 4.96 secs
Total CPU time to Xst completion: 5.04 secs
-->
Total memory usage is 261316 kilobytes
Total memory usage is 261508 kilobytes
Number of errors : 0 ( 0 filtered)
Number of warnings : 22 ( 0 filtered)
Number of warnings : 5 ( 0 filtered)
Number of infos : 0 ( 0 filtered)

View File

@ -1,983 +0,0 @@
Performance Summary Report
--------------------------
Design: WarpSE
Device: XC95144XL-10-TQ100
Speed File: Version 3.0
Program: Timing Report Generator: version P.20131013
Date: Fri Apr 07 00:23:44 2023
Performance Summary:
Pad to Pad (tPD) : 10.0ns (1 macrocell levels)
Pad 'nAS_FSB' to Pad 'nOE'
Clock net 'FCLK' path delays:
Clock Pad to Output Pad (tCO) : 14.5ns (2 macrocell levels)
Clock Pad 'FCLK' to Output Pad 'nRES' (GCK)
Clock to Setup (tCYC) : 11.0ns (1 macrocell levels)
Clock to Q, net 'iobs/Sent.Q' to DFF Setup(D) at 'iobs/Sent.D' (GCK)
Target FF drives output net 'iobs/Sent'
Setup to Clock at the Pad (tSU) : 7.5ns (0 macrocell levels)
Data signal 'A_FSB<23>' to DFF D input Pin at 'iobs/Sent.D'
Clock pad 'FCLK' (GCK)
Minimum Clock Period: 11.0ns
Maximum Internal Clock Speed: 90.9Mhz
(Limited by Cycle Time)
Clock net 'C16M' path delays:
Clock Pad to Output Pad (tCO) : 13.5ns (2 macrocell levels)
Clock Pad 'C16M' to Output Pad 'nADoutLE0' (GCK)
Clock to Setup (tCYC) : 11.0ns (1 macrocell levels)
Clock to Q, net 'iobm/IOS_FSM_FFd7.Q' to DFF Setup(D) at 'nLDS_IOB.D' (GCK)
Target FF drives output net 'nLDS_IOB'
Setup to Clock at the Pad (tSU) : 6.5ns (0 macrocell levels)
Data signal 'C8M' to DFF D input Pin at 'iobm/C8Mr.D'
Clock pad 'C16M' (GCK)
Minimum Clock Period: 11.0ns
Maximum Internal Clock Speed: 90.9Mhz
(Limited by Cycle Time)
Clock net 'C8M' path delays:
Clock Pad to Output Pad (tCO) : 5.8ns (1 macrocell levels)
Clock Pad 'C8M' to Output Pad 'nVMA_IOB' (GCK)
Clock to Setup (tCYC) : 11.0ns (1 macrocell levels)
Clock to Q, net 'nVMA_IOB.Q' to DFF Setup(D) at 'IODONE.D' (GCK)
Target FF drives output net 'IODONE'
Setup to Clock at the Pad (tSU) : 6.5ns (0 macrocell levels)
Data signal 'nBERR_IOB' to DFF D input Pin at 'IOBERR.D'
Clock pad 'C8M' (GCK)
Minimum Clock Period: 11.0ns
Maximum Internal Clock Speed: 90.9Mhz
(Limited by Cycle Time)
--------------------------------------------------------------------------------
Pad to Pad (tPD) (nsec)
\ From A A A A A A A A A A A
\ _ _ _ _ _ _ _ _ _ _ _
\ F F F F F F F F F F F
\ S S S S S S S S S S S
\ B B B B B B B B B B B
\ < < < < < < < < < < <
\ 1 1 1 1 1 1 1 1 1 1 2
\ 0 1 2 3 4 5 6 7 8 9 0
\ > > > > > > > > > > >
To \------------------------------------------------------------------
RA<0>
RA<10> 10.0
RA<11> 10.0
RA<1> 10.0
RA<2> 10.0
RA<3> 10.0
RA<4> 10.0
RA<5> 10.0
RA<6> 10.0
RA<7> 10.0
RA<8> 10.0
RA<9> 10.0
nDinOE 10.0
nOE
nRAMLWE
nRAMUWE
nROMCS 10.0
nROMWE
--------------------------------------------------------------------------------
Pad to Pad (tPD) (nsec)
\ From A A A A n n n n
\ _ _ _ _ A L U W
\ F F F F S D D E
\ S S S S _ S S _
\ B B B B F _ _ F
\ < < < < S F F S
\ 2 2 2 9 B S S B
\ 1 2 3 > B B
\ > > >
To \------------------------------------------------
RA<0> 10.0
RA<10>
RA<11>
RA<1>
RA<2>
RA<3>
RA<4>
RA<5>
RA<6>
RA<7>
RA<8>
RA<9>
nDinOE 10.0 10.0 10.0 10.0 10.0
nOE 10.0 10.0
nRAMLWE 10.0 10.0 10.0
nRAMUWE 10.0 10.0 10.0
nROMCS 10.0 10.0 10.0
nROMWE 10.0 10.0
--------------------------------------------------------------------------------
Clock Pad to Output Pad (tCO) (nsec)
\ From C C F
\ 1 8 C
\ 6 M L
\ M K
\
\
\
\
\
\
To \------------------
nADoutLE0 13.5 13.5
nADoutLE1 5.8
nAS_IOB 5.8 14.5
nAoutOE 5.8
nBERR_FSB 5.8
nBR_IOB 5.8
nCAS 5.8
nDTACK_FSB 5.8
nDinLE 5.8
nDinOE 13.5
nDoutOE 13.5 13.5
nLDS_IOB 5.8 14.5
nRAMLWE 13.5
nRAMUWE 13.5
nRAS 5.8
nRES 14.5
nROMCS 13.5
nUDS_IOB 5.8 14.5
nVMA_IOB 5.8 14.5
nVPA_FSB 5.8
--------------------------------------------------------------------------------
Setup to Clock at Pad (tSU or tSUF) (nsec)
\ From C C F
\ 1 8 C
\ 6 M L
\ M K
\
\
\
\
\
\
To \------------------
A_FSB<18> 7.5
A_FSB<19> 7.5
A_FSB<20> 7.5
A_FSB<21> 7.5
A_FSB<22> 7.5
A_FSB<23> 7.5
C8M 6.5
E 6.5 6.5
nAS_FSB 7.5
nBERR_IOB 6.5
nDTACK_IOB 6.5
nIPL2 6.5
nLDS_FSB 6.5
nRES 6.5 6.5
nUDS_FSB 6.5
nVPA_IOB 6.5
nWE_FSB 7.5
--------------------------------------------------------------------------------
Clock to Setup (tCYC) (nsec)
(Clock: FCLK)
\ From I I I I I I R R c c
\ O O O O O O e e n n
\ L N P R U W f f t t
\ 0 P W D 0 R R U / /
\ . R R R . R e r E E
\ Q e e E Q E q g r r
\ a a Q Q . . < <
\ d d . . Q Q 0 1
\ y y Q Q > >
\ . . . .
\ Q Q Q Q
\
\
\
\
\
\
\
\
\
To \------------------------------------------------------------
ALE0S.D
IOL0.D 11.0
IONPReady.D 10.0
IOPWReady.D 10.0
IORDREQ.D 10.0
IOU0.D 11.0
IOWRREQ.D 10.0
RefReq.CE 10.0 10.0
RefReq.D 10.0
RefUrg.CE 10.0 10.0
RefUrg.D 10.0 10.0 10.0
cnt/Er<1>.D 10.0
cnt/INITS_FSM_FFd1.D 10.0 10.0
cnt/INITS_FSM_FFd2.D 10.0 10.0
cnt/LTimer<0>.CE 10.0 10.0
cnt/LTimer<10>.CE 10.0 10.0
cnt/LTimer<10>.D
cnt/LTimer<11>.CE 10.0 10.0
cnt/LTimer<11>.D
cnt/LTimer<12>.CE 10.0 10.0
cnt/LTimer<12>.D
cnt/LTimer<1>.CE 10.0 10.0
cnt/LTimer<1>.D
cnt/LTimer<2>.CE 10.0 10.0
cnt/LTimer<2>.D
cnt/LTimer<3>.CE 10.0 10.0
cnt/LTimer<3>.D
cnt/LTimer<4>.CE 10.0 10.0
cnt/LTimer<4>.D
cnt/LTimer<5>.CE 10.0 10.0
cnt/LTimer<5>.D
cnt/LTimer<6>.CE 10.0 10.0
cnt/LTimer<6>.D
cnt/LTimer<7>.CE 10.0 10.0
cnt/LTimer<7>.D
cnt/LTimer<8>.CE 10.0 10.0
cnt/LTimer<8>.D
cnt/LTimer<9>.CE 10.0 10.0
cnt/LTimer<9>.D
cnt/LTimerTC.CE 10.0 10.0
cnt/LTimerTC.D
cnt/Timer<0>.CE 10.0 10.0
cnt/Timer<0>.D 10.0 10.0
cnt/Timer<1>.CE 10.0 10.0
cnt/Timer<1>.D 10.0 10.0
cnt/Timer<2>.CE 10.0 10.0
cnt/Timer<2>.D 10.0 10.0
cnt/TimerTC.CE 10.0 10.0
cnt/TimerTC.D 10.0
cs/ODCSr.D
cs/nOverlay.D
iobs/Clear1.D
iobs/IOL1.CE
iobs/IORW1.D
iobs/IOU1.CE
iobs/Load1.D
iobs/Sent.D
iobs/TS_FSM_FFd1.D
iobs/TS_FSM_FFd2.D
nADoutLE1.D
nAoutOE.D
nBERR_FSB.D
nBR_IOB.D
nCAS.D
nDTACK_FSB.D 11.0 11.0
nRAS.D
nRESout.D
nVPA_FSB.D 10.0
ram/BACTr.D
ram/CAS.D 10.0 10.0
ram/Once.CE
ram/Once.D
ram/RAMEN.D 11.0 11.0
ram/RS_FSM_FFd1.D
ram/RS_FSM_FFd2.D
ram/RS_FSM_FFd3.D
ram/RS_FSM_FFd4.D
ram/RS_FSM_FFd6.D 10.0 10.0
ram/RS_FSM_FFd8.D 10.0 10.0
ram/RefDone.D 10.0 10.0
--------------------------------------------------------------------------------
Clock to Setup (tCYC) (nsec)
(Clock: FCLK)
\ From c c c c c c c c c c
\ n n n n n n n n n n
\ t t t t t t t t t t
\ / / / / / / / / / /
\ I I L L L L L L L L
\ N N T T T T T T T T
\ I I i i i i i i i i
\ T T m m m m m m m m
\ S S e e e e e e e e
\ _ _ r r r r r r r r
\ F F < < < < < < < <
\ S S 0 1 1 1 1 2 3 4
\ M M > 0 1 2 > > > >
\ _ _ . > > > . . . .
\ F F Q . . . Q Q Q Q
\ F F Q Q Q
\ d d
\ 1 2
\ . .
\ Q Q
To \------------------------------------------------------------
ALE0S.D
IOL0.D
IONPReady.D
IOPWReady.D
IORDREQ.D
IOU0.D
IOWRREQ.D
RefReq.CE
RefReq.D
RefUrg.CE
RefUrg.D
cnt/Er<1>.D
cnt/INITS_FSM_FFd1.D 10.0 10.0
cnt/INITS_FSM_FFd2.D 10.0 10.0
cnt/LTimer<0>.CE
cnt/LTimer<10>.CE
cnt/LTimer<10>.D 10.0 10.0 10.0 10.0 10.0
cnt/LTimer<11>.CE
cnt/LTimer<11>.D 10.0 10.0 10.0 10.0 10.0 10.0
cnt/LTimer<12>.CE
cnt/LTimer<12>.D 10.0 10.0 10.0 10.0 10.0 10.0 10.0
cnt/LTimer<1>.CE
cnt/LTimer<1>.D 10.0
cnt/LTimer<2>.CE
cnt/LTimer<2>.D 10.0 10.0
cnt/LTimer<3>.CE
cnt/LTimer<3>.D 10.0 10.0 10.0
cnt/LTimer<4>.CE
cnt/LTimer<4>.D 10.0 10.0 10.0 10.0
cnt/LTimer<5>.CE
cnt/LTimer<5>.D 10.0 10.0 10.0 10.0 10.0
cnt/LTimer<6>.CE
cnt/LTimer<6>.D 10.0 10.0 10.0 10.0 10.0
cnt/LTimer<7>.CE
cnt/LTimer<7>.D 10.0 10.0 10.0 10.0 10.0
cnt/LTimer<8>.CE
cnt/LTimer<8>.D 10.0 10.0 10.0 10.0 10.0
cnt/LTimer<9>.CE
cnt/LTimer<9>.D 10.0 10.0 10.0 10.0 10.0
cnt/LTimerTC.CE
cnt/LTimerTC.D 10.0 10.0 10.0 10.0 10.0 10.0 10.0 10.0
cnt/Timer<0>.CE
cnt/Timer<0>.D
cnt/Timer<1>.CE
cnt/Timer<1>.D
cnt/Timer<2>.CE
cnt/Timer<2>.D
cnt/TimerTC.CE
cnt/TimerTC.D
cs/ODCSr.D
cs/nOverlay.D
iobs/Clear1.D
iobs/IOL1.CE
iobs/IORW1.D
iobs/IOU1.CE
iobs/Load1.D
iobs/Sent.D
iobs/TS_FSM_FFd1.D
iobs/TS_FSM_FFd2.D
nADoutLE1.D
nAoutOE.D 10.0 10.0
nBERR_FSB.D
nBR_IOB.D 10.0 10.0
nCAS.D
nDTACK_FSB.D
nRAS.D
nRESout.D 10.0 10.0
nVPA_FSB.D
ram/BACTr.D
ram/CAS.D
ram/Once.CE
ram/Once.D
ram/RAMEN.D
ram/RS_FSM_FFd1.D
ram/RS_FSM_FFd2.D
ram/RS_FSM_FFd3.D
ram/RS_FSM_FFd4.D
ram/RS_FSM_FFd6.D
ram/RS_FSM_FFd8.D
ram/RefDone.D
--------------------------------------------------------------------------------
Clock to Setup (tCYC) (nsec)
(Clock: FCLK)
\ From c c c c c c c c c c
\ n n n n n n n n n n
\ t t t t t t t t t t
\ / / / / / / / / / /
\ L L L L L L T T T T
\ T T T T T T i i i i
\ i i i i i i m m m m
\ m m m m m m e e e e
\ e e e e e e r r r r
\ r r r r r r < < < T
\ < < < < < T 0 1 2 C
\ 5 6 7 8 9 C > > > .
\ > > > > > . . . . Q
\ . . . . . Q Q Q Q
\ Q Q Q Q Q
\
\
\
\
\
To \------------------------------------------------------------
ALE0S.D
IOL0.D
IONPReady.D
IOPWReady.D
IORDREQ.D
IOU0.D
IOWRREQ.D
RefReq.CE
RefReq.D 10.0 10.0
RefUrg.CE
RefUrg.D 10.0 10.0 10.0 10.0
cnt/Er<1>.D
cnt/INITS_FSM_FFd1.D 10.0 10.0
cnt/INITS_FSM_FFd2.D 10.0 10.0
cnt/LTimer<0>.CE 10.0
cnt/LTimer<10>.CE 10.0
cnt/LTimer<10>.D 10.0 10.0 10.0 10.0 10.0
cnt/LTimer<11>.CE 10.0
cnt/LTimer<11>.D 10.0 10.0 10.0 10.0 10.0
cnt/LTimer<12>.CE 10.0
cnt/LTimer<12>.D 10.0 10.0 10.0 10.0 10.0
cnt/LTimer<1>.CE 10.0
cnt/LTimer<1>.D
cnt/LTimer<2>.CE 10.0
cnt/LTimer<2>.D
cnt/LTimer<3>.CE 10.0
cnt/LTimer<3>.D
cnt/LTimer<4>.CE 10.0
cnt/LTimer<4>.D
cnt/LTimer<5>.CE 10.0
cnt/LTimer<5>.D
cnt/LTimer<6>.CE 10.0
cnt/LTimer<6>.D 10.0
cnt/LTimer<7>.CE 10.0
cnt/LTimer<7>.D 10.0 10.0
cnt/LTimer<8>.CE 10.0
cnt/LTimer<8>.D 10.0 10.0 10.0
cnt/LTimer<9>.CE 10.0
cnt/LTimer<9>.D 10.0 10.0 10.0 10.0
cnt/LTimerTC.CE 10.0
cnt/LTimerTC.D 10.0 10.0 10.0 10.0 10.0
cnt/Timer<0>.CE
cnt/Timer<0>.D 10.0 10.0
cnt/Timer<1>.CE
cnt/Timer<1>.D 10.0 10.0 10.0
cnt/Timer<2>.CE
cnt/Timer<2>.D 10.0 10.0 10.0 10.0
cnt/TimerTC.CE
cnt/TimerTC.D 10.0 10.0 10.0
cs/ODCSr.D
cs/nOverlay.D
iobs/Clear1.D
iobs/IOL1.CE
iobs/IORW1.D
iobs/IOU1.CE
iobs/Load1.D
iobs/Sent.D
iobs/TS_FSM_FFd1.D
iobs/TS_FSM_FFd2.D
nADoutLE1.D
nAoutOE.D
nBERR_FSB.D
nBR_IOB.D
nCAS.D
nDTACK_FSB.D
nRAS.D
nRESout.D
nVPA_FSB.D
ram/BACTr.D
ram/CAS.D
ram/Once.CE
ram/Once.D
ram/RAMEN.D
ram/RS_FSM_FFd1.D
ram/RS_FSM_FFd2.D
ram/RS_FSM_FFd3.D
ram/RS_FSM_FFd4.D
ram/RS_FSM_FFd6.D
ram/RS_FSM_FFd8.D
ram/RefDone.D
--------------------------------------------------------------------------------
Clock to Setup (tCYC) (nsec)
(Clock: FCLK)
\ From c c c f i i i i i i
\ n s s s o o o o o o
\ t / / b b b b b b b
\ / O n / s s s s s s
\ n D O A / / / / / /
\ I C v S C I I I I I
\ P S e r l O O O O O
\ L r r f e A D L R U
\ 2 . l . a C O 1 W 1
\ r Q a Q r T N . 1 .
\ . y 1 r E Q . Q
\ Q . . . r Q
\ Q Q Q <
\ 0
\ >
\ .
\ Q
\
\
\
To \------------------------------------------------------------
ALE0S.D
IOL0.D 11.0 11.0 11.0
IONPReady.D 11.0 10.0
IOPWReady.D 10.0 10.0
IORDREQ.D 11.0 11.0 10.0 11.0
IOU0.D 11.0 11.0 11.0
IOWRREQ.D 11.0 11.0 10.0 11.0
RefReq.CE
RefReq.D
RefUrg.CE
RefUrg.D
cnt/Er<1>.D
cnt/INITS_FSM_FFd1.D 10.0
cnt/INITS_FSM_FFd2.D
cnt/LTimer<0>.CE
cnt/LTimer<10>.CE
cnt/LTimer<10>.D
cnt/LTimer<11>.CE
cnt/LTimer<11>.D
cnt/LTimer<12>.CE
cnt/LTimer<12>.D
cnt/LTimer<1>.CE
cnt/LTimer<1>.D
cnt/LTimer<2>.CE
cnt/LTimer<2>.D
cnt/LTimer<3>.CE
cnt/LTimer<3>.D
cnt/LTimer<4>.CE
cnt/LTimer<4>.D
cnt/LTimer<5>.CE
cnt/LTimer<5>.D
cnt/LTimer<6>.CE
cnt/LTimer<6>.D
cnt/LTimer<7>.CE
cnt/LTimer<7>.D
cnt/LTimer<8>.CE
cnt/LTimer<8>.D
cnt/LTimer<9>.CE
cnt/LTimer<9>.D
cnt/LTimerTC.CE
cnt/LTimerTC.D
cnt/Timer<0>.CE
cnt/Timer<0>.D
cnt/Timer<1>.CE
cnt/Timer<1>.D
cnt/Timer<2>.CE
cnt/Timer<2>.D
cnt/TimerTC.CE
cnt/TimerTC.D
cs/ODCSr.D 10.0
cs/nOverlay.D 10.0 10.0 10.0
iobs/Clear1.D
iobs/IOL1.CE
iobs/IORW1.D 10.0 10.0
iobs/IOU1.CE
iobs/Load1.D 10.0
iobs/Sent.D 11.0 10.0
iobs/TS_FSM_FFd1.D 10.0
iobs/TS_FSM_FFd2.D 11.0 11.0 10.0
nADoutLE1.D 10.0
nAoutOE.D
nBERR_FSB.D 10.0
nBR_IOB.D 10.0
nCAS.D
nDTACK_FSB.D 10.0
nRAS.D
nRESout.D
nVPA_FSB.D 10.0
ram/BACTr.D 11.0
ram/CAS.D 10.0
ram/Once.CE 10.0
ram/Once.D 10.0
ram/RAMEN.D 11.0
ram/RS_FSM_FFd1.D
ram/RS_FSM_FFd2.D
ram/RS_FSM_FFd3.D
ram/RS_FSM_FFd4.D
ram/RS_FSM_FFd6.D 10.0
ram/RS_FSM_FFd8.D 10.0
ram/RefDone.D
--------------------------------------------------------------------------------
Clock to Setup (tCYC) (nsec)
(Clock: FCLK)
\ From i i i i n n n n r r
\ o o o o A A B B a a
\ b b b b D o E R m m
\ s s s s o u R _ / /
\ / / / / u t R I B C
\ L S T T t O _ O A A
\ o e S S L E F B C S
\ a n _ _ E . S . T .
\ d t F F 1 Q B Q r Q
\ 1 . S S . . .
\ . Q M M Q Q Q
\ Q _ _
\ F F
\ F F
\ d d
\ 1 2
\ . .
\ Q Q
\
\
To \------------------------------------------------------------
ALE0S.D 10.0
IOL0.D 10.0 10.0 11.0 11.0
IONPReady.D 10.0
IOPWReady.D 10.0
IORDREQ.D 10.0 10.0 11.0 11.0
IOU0.D 10.0 10.0 11.0 11.0
IOWRREQ.D 10.0 10.0 11.0 11.0
RefReq.CE
RefReq.D
RefUrg.CE
RefUrg.D
cnt/Er<1>.D
cnt/INITS_FSM_FFd1.D
cnt/INITS_FSM_FFd2.D
cnt/LTimer<0>.CE
cnt/LTimer<10>.CE
cnt/LTimer<10>.D
cnt/LTimer<11>.CE
cnt/LTimer<11>.D
cnt/LTimer<12>.CE
cnt/LTimer<12>.D
cnt/LTimer<1>.CE
cnt/LTimer<1>.D
cnt/LTimer<2>.CE
cnt/LTimer<2>.D
cnt/LTimer<3>.CE
cnt/LTimer<3>.D
cnt/LTimer<4>.CE
cnt/LTimer<4>.D
cnt/LTimer<5>.CE
cnt/LTimer<5>.D
cnt/LTimer<6>.CE
cnt/LTimer<6>.D
cnt/LTimer<7>.CE
cnt/LTimer<7>.D
cnt/LTimer<8>.CE
cnt/LTimer<8>.D
cnt/LTimer<9>.CE
cnt/LTimer<9>.D
cnt/LTimerTC.CE
cnt/LTimerTC.D
cnt/Timer<0>.CE
cnt/Timer<0>.D
cnt/Timer<1>.CE
cnt/Timer<1>.D
cnt/Timer<2>.CE
cnt/Timer<2>.D
cnt/TimerTC.CE
cnt/TimerTC.D
cs/ODCSr.D
cs/nOverlay.D
iobs/Clear1.D 10.0 10.0
iobs/IOL1.CE 10.0
iobs/IORW1.D 10.0 10.0 10.0 10.0
iobs/IOU1.CE 10.0
iobs/Load1.D 10.0 10.0 10.0 10.0
iobs/Sent.D 11.0 11.0 11.0 10.0
iobs/TS_FSM_FFd1.D 10.0 10.0
iobs/TS_FSM_FFd2.D 10.0 10.0 11.0 11.0
nADoutLE1.D 10.0 10.0
nAoutOE.D 10.0 10.0
nBERR_FSB.D 10.0 10.0
nBR_IOB.D 10.0
nCAS.D 10.0
nDTACK_FSB.D
nRAS.D
nRESout.D
nVPA_FSB.D
ram/BACTr.D
ram/CAS.D 10.0
ram/Once.CE
ram/Once.D
ram/RAMEN.D 11.0
ram/RS_FSM_FFd1.D
ram/RS_FSM_FFd2.D
ram/RS_FSM_FFd3.D
ram/RS_FSM_FFd4.D
ram/RS_FSM_FFd6.D 10.0
ram/RS_FSM_FFd8.D 10.0
ram/RefDone.D
--------------------------------------------------------------------------------
Clock to Setup (tCYC) (nsec)
(Clock: FCLK)
\ From r r r r r r r r r
\ a a a a a a a a a
\ m m m m m m m m m
\ / / / / / / / / /
\ O R R R R R R R R
\ n A S S S S S S e
\ c M _ _ _ _ _ _ f
\ e E F F F F F F D
\ . N S S S S S S o
\ Q . M M M M M M n
\ Q _ _ _ _ _ _ e
\ F F F F F F .
\ F F F F F F Q
\ d d d d d d
\ 1 2 3 4 6 8
\ . . . . . .
\ Q Q Q Q Q Q
\
\
\
To \------------------------------------------------------
ALE0S.D
IOL0.D
IONPReady.D
IOPWReady.D
IORDREQ.D
IOU0.D
IOWRREQ.D
RefReq.CE
RefReq.D
RefUrg.CE
RefUrg.D
cnt/Er<1>.D
cnt/INITS_FSM_FFd1.D
cnt/INITS_FSM_FFd2.D
cnt/LTimer<0>.CE
cnt/LTimer<10>.CE
cnt/LTimer<10>.D
cnt/LTimer<11>.CE
cnt/LTimer<11>.D
cnt/LTimer<12>.CE
cnt/LTimer<12>.D
cnt/LTimer<1>.CE
cnt/LTimer<1>.D
cnt/LTimer<2>.CE
cnt/LTimer<2>.D
cnt/LTimer<3>.CE
cnt/LTimer<3>.D
cnt/LTimer<4>.CE
cnt/LTimer<4>.D
cnt/LTimer<5>.CE
cnt/LTimer<5>.D
cnt/LTimer<6>.CE
cnt/LTimer<6>.D
cnt/LTimer<7>.CE
cnt/LTimer<7>.D
cnt/LTimer<8>.CE
cnt/LTimer<8>.D
cnt/LTimer<9>.CE
cnt/LTimer<9>.D
cnt/LTimerTC.CE
cnt/LTimerTC.D
cnt/Timer<0>.CE
cnt/Timer<0>.D
cnt/Timer<1>.CE
cnt/Timer<1>.D
cnt/Timer<2>.CE
cnt/Timer<2>.D
cnt/TimerTC.CE
cnt/TimerTC.D
cs/ODCSr.D
cs/nOverlay.D
iobs/Clear1.D
iobs/IOL1.CE
iobs/IORW1.D
iobs/IOU1.CE
iobs/Load1.D
iobs/Sent.D
iobs/TS_FSM_FFd1.D
iobs/TS_FSM_FFd2.D
nADoutLE1.D
nAoutOE.D
nBERR_FSB.D
nBR_IOB.D
nCAS.D
nDTACK_FSB.D
nRAS.D 10.0 10.0
nRESout.D
nVPA_FSB.D
ram/BACTr.D
ram/CAS.D 10.0 10.0 10.0 10.0
ram/Once.CE
ram/Once.D
ram/RAMEN.D 10.0 10.0 10.0 11.0 11.0
ram/RS_FSM_FFd1.D 10.0
ram/RS_FSM_FFd2.D 10.0
ram/RS_FSM_FFd3.D 10.0
ram/RS_FSM_FFd4.D 10.0
ram/RS_FSM_FFd6.D 10.0 10.0 10.0
ram/RS_FSM_FFd8.D 10.0 10.0 10.0 10.0
ram/RefDone.D 10.0 10.0 10.0
--------------------------------------------------------------------------------
Clock to Setup (tCYC) (nsec)
(Clock: C16M)
\ From A I i i i i i i i i
\ L O o o o o o o o o
\ E A b b b b b b b b
\ 0 C m m m m m m m m
\ M T / / / / / / / /
\ . . C D I I I I I I
\ Q Q 8 o O O O O O O
\ M u R S S S S S
\ r t D 0 _ _ _ _
\ . O R . F F F F
\ Q E E Q S S S S
\ . Q M M M M
\ Q r _ _ _ _
\ . F F F F
\ Q F F F F
\ d d d d
\ 1 2 3 4
\ . . . .
\ Q Q Q Q
To \------------------------------------------------------------
ALE0M.D 10.0 10.0 10.0 10.0 10.0 10.0
IOACT.D 11.0 10.0 10.0 11.0 11.0 11.0 10.0
iobm/DoutOE.D 10.0 10.0 10.0 10.0
iobm/IOS0.D 10.0 10.0 10.0 10.0 10.0 10.0 10.0
iobm/IOS_FSM_FFd1.D 10.0
iobm/IOS_FSM_FFd2.D 10.0 10.0
iobm/IOS_FSM_FFd3.D 10.0 10.0 10.0
iobm/IOS_FSM_FFd4.D
iobm/IOS_FSM_FFd5.D
iobm/IOS_FSM_FFd6.D 10.0 10.0
iobm/IOS_FSM_FFd7.D 10.0 10.0 10.0
nAS_IOB.D 10.0 10.0 10.0 10.0
nDinLE.D 10.0 10.0
nLDS_IOB.D 11.0 11.0 10.0 10.0
nUDS_IOB.D 11.0 11.0 10.0 10.0
--------------------------------------------------------------------------------
Clock to Setup (tCYC) (nsec)
(Clock: C16M)
\ From i i i i n n
\ o o o o L U
\ b b b b D D
\ m m m m S S
\ / / / / _ _
\ I I I I I I
\ O O O O O O
\ S S S W B B
\ _ _ _ R . .
\ F F F R Q Q
\ S S S E
\ M M M Q
\ _ _ _ r
\ F F F .
\ F F F Q
\ d d d
\ 5 6 7
\ . . .
\ Q Q Q
To \------------------------------------
ALE0M.D 10.0 10.0 10.0 10.0
IOACT.D 10.0 10.0 11.0 11.0
iobm/DoutOE.D 10.0 10.0 10.0 10.0
iobm/IOS0.D 10.0 10.0 10.0 10.0
iobm/IOS_FSM_FFd1.D
iobm/IOS_FSM_FFd2.D
iobm/IOS_FSM_FFd3.D
iobm/IOS_FSM_FFd4.D 10.0
iobm/IOS_FSM_FFd5.D 10.0
iobm/IOS_FSM_FFd6.D 10.0 10.0
iobm/IOS_FSM_FFd7.D 10.0 10.0
nAS_IOB.D 10.0 10.0 10.0 10.0
nDinLE.D
nLDS_IOB.D 10.0 10.0 11.0 10.0
nUDS_IOB.D 10.0 10.0 11.0 10.0
--------------------------------------------------------------------------------
Clock to Setup (tCYC) (nsec)
(Clock: C8M)
\ From i i i i i i n
\ o o o o o o V
\ b b b b b b M
\ m m m m m m A
\ / / / / / / _
\ E E E E E V I
\ S S S S r P O
\ < < < < . A B
\ 0 1 2 3 Q r .
\ > > > > . Q
\ . . . . Q
\ Q Q Q Q
To \------------------------------------------
IODONE.D 11.0 11.0 11.0 11.0 11.0
iobm/ES<0>.D 10.0 10.0 10.0 10.0 10.0
iobm/ES<1>.D 10.0 10.0 10.0 10.0 10.0
iobm/ES<2>.D 10.0 10.0 10.0 10.0
iobm/ES<3>.D 10.0 10.0 10.0 10.0 10.0
nVMA_IOB.D 10.0 10.0 10.0 10.0 10.0 10.0
Path Type Definition:
Pad to Pad (tPD) - Reports pad to pad paths that start
at input pads and end at output pads.
Paths are not traced through
registers.
Clock Pad to Output Pad (tCO) - Reports paths that start at input
pads trace through clock inputs of
registers and end at output pads.
Paths are not traced through PRE/CLR
inputs of registers.
Setup to Clock at Pad (tSU or tSUF) - Reports external setup time of data
to clock at pad. Data path starts at
an input pad and ends at register
(Fast Input Register for tSUF) D/T
input. Clock path starts at input pad
and ends at the register clock input.
Paths are not traced through
registers. Pin-to-pin setup
requirement is not reported or
guaranteed for product-term clocks
derived from macrocell feedback
signals.
Clock to Setup (tCYC) - Register to register cycle time.
Include source register tCO and
destination register tSU. Note that
when the computed Maximum Clock Speed
is limited by tCYC it is computed
assuming that all registers are
rising-edge sensitive.

View File

@ -1,880 +0,0 @@
AUTO_TS_P2P:FROM:FCLK:TO:nRES:1
AUTO_TS_F2P:FROM:nRESout.Q:TO:nRES:1
AUTO_TS_P2P:FROM:C16M:TO:nVMA_IOB:1
AUTO_TS_P2P:FROM:FCLK:TO:nVMA_IOB:1
AUTO_TS_F2P:FROM:nVMA_IOBout.Q:TO:nVMA_IOB:1
AUTO_TS_F2P:FROM:nAoutOE_OBUF.Q:TO:nVMA_IOB:1
AUTO_TS_P2P:FROM:C16M:TO:nAS_IOB:1
AUTO_TS_P2P:FROM:FCLK:TO:nAS_IOB:1
AUTO_TS_F2P:FROM:nAS_IOBout.Q:TO:nAS_IOB:1
AUTO_TS_F2P:FROM:nAoutOE_OBUF.Q:TO:nAS_IOB:1
AUTO_TS_P2P:FROM:C16M:TO:nLDS_IOB:1
AUTO_TS_P2P:FROM:FCLK:TO:nLDS_IOB:1
AUTO_TS_F2P:FROM:nLDS_IOBout.Q:TO:nLDS_IOB:1
AUTO_TS_F2P:FROM:nAoutOE_OBUF.Q:TO:nLDS_IOB:1
AUTO_TS_P2P:FROM:C16M:TO:nUDS_IOB:1
AUTO_TS_P2P:FROM:FCLK:TO:nUDS_IOB:1
AUTO_TS_F2P:FROM:nUDS_IOBout.Q:TO:nUDS_IOB:1
AUTO_TS_F2P:FROM:nAoutOE_OBUF.Q:TO:nUDS_IOB:1
AUTO_TS_P2P:FROM:FCLK:TO:nBERR_FSB:1
AUTO_TS_F2P:FROM:nBERR_FSB_OBUF.Q:TO:nBERR_FSB:1
AUTO_TS_P2P:FROM:FCLK:TO:nDTACK_FSB:1
AUTO_TS_F2P:FROM:nDTACK_FSB_OBUF.Q:TO:nDTACK_FSB:1
AUTO_TS_P2P:FROM:FCLK:TO:nBR_IOB:1
AUTO_TS_F2P:FROM:nBR_IOB_OBUF.Q:TO:nBR_IOB:1
AUTO_TS_P2P:FROM:A_FSB<10>:TO:RA<0>:1
AUTO_TS_P2P:FROM:FCLK:TO:RA<0>:1
AUTO_TS_P2P:FROM:A_FSB<1>:TO:RA<0>:1
AUTO_TS_F2P:FROM:ram/RASEL.Q:TO:RA<0>:1
AUTO_TS_P2P:FROM:A_FSB<11>:TO:RA<1>:1
AUTO_TS_P2P:FROM:FCLK:TO:RA<1>:1
AUTO_TS_P2P:FROM:A_FSB<2>:TO:RA<1>:1
AUTO_TS_F2P:FROM:ram/RASEL.Q:TO:RA<1>:1
AUTO_TS_P2P:FROM:FCLK:TO:RA<2>:1
AUTO_TS_P2P:FROM:A_FSB<12>:TO:RA<2>:1
AUTO_TS_P2P:FROM:A_FSB<3>:TO:RA<2>:1
AUTO_TS_F2P:FROM:ram/RASEL.Q:TO:RA<2>:1
AUTO_TS_P2P:FROM:A_FSB<13>:TO:RA<3>:1
AUTO_TS_P2P:FROM:FCLK:TO:RA<3>:1
AUTO_TS_P2P:FROM:A_FSB<4>:TO:RA<3>:1
AUTO_TS_F2P:FROM:ram/RASEL.Q:TO:RA<3>:1
AUTO_TS_P2P:FROM:A_FSB<14>:TO:RA<4>:1
AUTO_TS_P2P:FROM:FCLK:TO:RA<4>:1
AUTO_TS_P2P:FROM:A_FSB<5>:TO:RA<4>:1
AUTO_TS_F2P:FROM:ram/RASEL.Q:TO:RA<4>:1
AUTO_TS_P2P:FROM:FCLK:TO:RA<5>:1
AUTO_TS_P2P:FROM:A_FSB<15>:TO:RA<5>:1
AUTO_TS_P2P:FROM:A_FSB<6>:TO:RA<5>:1
AUTO_TS_F2P:FROM:ram/RASEL.Q:TO:RA<5>:1
AUTO_TS_P2P:FROM:A_FSB<16>:TO:RA<6>:1
AUTO_TS_P2P:FROM:FCLK:TO:RA<6>:1
AUTO_TS_P2P:FROM:A_FSB<7>:TO:RA<6>:1
AUTO_TS_F2P:FROM:ram/RASEL.Q:TO:RA<6>:1
AUTO_TS_P2P:FROM:A_FSB<8>:TO:RA<7>:1
AUTO_TS_P2P:FROM:FCLK:TO:RA<7>:1
AUTO_TS_P2P:FROM:A_FSB<17>:TO:RA<7>:1
AUTO_TS_F2P:FROM:ram/RASEL.Q:TO:RA<7>:1
AUTO_TS_P2P:FROM:A_FSB<23>:TO:RA<8>:1
AUTO_TS_P2P:FROM:A_FSB<22>:TO:RA<8>:1
AUTO_TS_P2P:FROM:FCLK:TO:RA<8>:1
AUTO_TS_P2P:FROM:A_FSB<21>:TO:RA<8>:1
AUTO_TS_P2P:FROM:A_FSB<9>:TO:RA<8>:1
AUTO_TS_P2P:FROM:A_FSB<18>:TO:RA<8>:1
AUTO_TS_F2P:FROM:cs/nOverlay1.Q:TO:RA<8>:1
AUTO_TS_F2P:FROM:ram/RASEL.Q:TO:RA<8>:1
AUTO_TS_P2P:FROM:A_FSB<20>:TO:RA<9>:1
AUTO_TS_P2P:FROM:FCLK:TO:RA<9>:1
AUTO_TS_P2P:FROM:A_FSB<19>:TO:RA<9>:1
AUTO_TS_F2P:FROM:ram/RASEL.Q:TO:RA<9>:1
AUTO_TS_P2P:FROM:FCLK:TO:nDoutOE:1
AUTO_TS_P2P:FROM:C16M:TO:nDoutOE:1
AUTO_TS_F2P:FROM:nAoutOE_OBUF.Q:TO:nDoutOE:1
AUTO_TS_F2P:FROM:iobm/DoutOE.Q:TO:nDoutOE:1
AUTO_TS_P2P:FROM:nAS_FSB:TO:nOE:1
AUTO_TS_P2P:FROM:nWE_FSB:TO:nOE:1
AUTO_TS_P2P:FROM:nAS_FSB:TO:nVPA_FSB:1
AUTO_TS_P2P:FROM:FCLK:TO:nVPA_FSB:1
AUTO_TS_F2P:FROM:fsb/VPA.Q:TO:nVPA_FSB:1
AUTO_TS_P2P:FROM:C16M:TO:nADoutLE0:1
AUTO_TS_P2P:FROM:FCLK:TO:nADoutLE0:1
AUTO_TS_F2P:FROM:ALE0M.Q:TO:nADoutLE0:1
AUTO_TS_F2P:FROM:ALE0S.Q:TO:nADoutLE0:1
AUTO_TS_P2P:FROM:FCLK:TO:nCAS:1
AUTO_TS_F2P:FROM:nCAS_OBUF.Q:TO:nCAS:1
AUTO_TS_P2P:FROM:C16M:TO:nDinLE:1
AUTO_TS_F2P:FROM:nDinLE_OBUF.Q:TO:nDinLE:1
AUTO_TS_P2P:FROM:A_FSB<23>:TO:nDinOE:1
AUTO_TS_P2P:FROM:nAS_FSB:TO:nDinOE:1
AUTO_TS_P2P:FROM:nWE_FSB:TO:nDinOE:1
AUTO_TS_P2P:FROM:A_FSB<22>:TO:nDinOE:1
AUTO_TS_P2P:FROM:A_FSB<21>:TO:nDinOE:1
AUTO_TS_P2P:FROM:A_FSB<20>:TO:nDinOE:1
AUTO_TS_P2P:FROM:A_FSB<19>:TO:RA<11>:1
AUTO_TS_P2P:FROM:A_FSB<21>:TO:RA<10>:1
AUTO_TS_P2P:FROM:FCLK:TO:nADoutLE1:1
AUTO_TS_F2P:FROM:nADoutLE1_OBUF.Q:TO:nADoutLE1:1
AUTO_TS_P2P:FROM:FCLK:TO:nAoutOE:1
AUTO_TS_F2P:FROM:nAoutOE_OBUF.Q:TO:nAoutOE:1
AUTO_TS_P2P:FROM:A_FSB<23>:TO:nROMCS:1
AUTO_TS_P2P:FROM:A_FSB<22>:TO:nROMCS:1
AUTO_TS_P2P:FROM:A_FSB<21>:TO:nROMCS:1
AUTO_TS_P2P:FROM:A_FSB<20>:TO:nROMCS:1
AUTO_TS_P2P:FROM:FCLK:TO:nROMCS:1
AUTO_TS_F2P:FROM:cs/nOverlay1.Q:TO:nROMCS:1
AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd2.Q:TO:iobm/IOS_FSM_FFd3.D:1
AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd3.Q:TO:iobm/IOS_FSM_FFd3.D:1
AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd1.Q:TO:iobm/IOS_FSM_FFd3.D:1
AUTO_TS_F2F:FROM:iobm/IOREQr.Q:TO:iobm/IOS_FSM_FFd3.D:1
AUTO_TS_F2F:FROM:nAoutOE_OBUF.Q:TO:iobm/IOS_FSM_FFd3.D:1
AUTO_TS_P2F:FROM:C16M:TO:iobm/IOS_FSM_FFd3.D:1
AUTO_TS_P2F:FROM:FCLK:TO:iobm/IOS_FSM_FFd3.D:1
AUTO_TS_P2F:FROM:C8M:TO:iobm/IOS_FSM_FFd3.D:1
AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd2.Q:TO:iobm/IOS_FSM_FFd2.D:1
AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd3.Q:TO:iobm/IOS_FSM_FFd2.D:1
AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd1.Q:TO:iobm/IOS_FSM_FFd2.D:1
AUTO_TS_F2F:FROM:iobm/ETACK.Q:TO:iobm/IOS_FSM_FFd2.D:1
AUTO_TS_F2F:FROM:iobm/BERRrf.Q:TO:iobm/IOS_FSM_FFd2.D:1
AUTO_TS_F2F:FROM:iobm/BERRrr.Q:TO:iobm/IOS_FSM_FFd2.D:1
AUTO_TS_F2F:FROM:iobm/DTACKrf.Q:TO:iobm/IOS_FSM_FFd2.D:1
AUTO_TS_F2F:FROM:iobm/DTACKrr.Q:TO:iobm/IOS_FSM_FFd2.D:1
AUTO_TS_F2F:FROM:iobm/RESrf.Q:TO:iobm/IOS_FSM_FFd2.D:1
AUTO_TS_F2F:FROM:iobm/RESrr.Q:TO:iobm/IOS_FSM_FFd2.D:1
AUTO_TS_P2F:FROM:C16M:TO:iobm/IOS_FSM_FFd2.D:1
AUTO_TS_P2F:FROM:C8M:TO:iobm/IOS_FSM_FFd2.D:1
AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd3.Q:TO:IOACT.D:1
AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd2.Q:TO:IOACT.D:1
AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd1.Q:TO:IOACT.D:1
AUTO_TS_F2F:FROM:iobm/RESrf.Q:TO:IOACT.D:1
AUTO_TS_F2F:FROM:iobm/RESrr.Q:TO:IOACT.D:1
AUTO_TS_F2F:FROM:iobm/IOREQr.Q:TO:IOACT.D:1
AUTO_TS_F2F:FROM:iobm/ETACK.Q:TO:IOACT.D:1
AUTO_TS_F2F:FROM:iobm/BERRrf.Q:TO:IOACT.D:1
AUTO_TS_F2F:FROM:iobm/BERRrr.Q:TO:IOACT.D:1
AUTO_TS_F2F:FROM:iobm/DTACKrf.Q:TO:IOACT.D:1
AUTO_TS_F2F:FROM:iobm/DTACKrr.Q:TO:IOACT.D:1
AUTO_TS_P2F:FROM:C16M:TO:IOACT.D:1
AUTO_TS_P2F:FROM:C8M:TO:IOACT.D:1
AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd2.Q:TO:IOBERR.D:1
AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd3.Q:TO:IOBERR.D:1
AUTO_TS_F2F:FROM:IOBERR.Q:TO:IOBERR.D:1
AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd1.Q:TO:IOBERR.D:1
AUTO_TS_F2F:FROM:iobm/RESrf.Q:TO:IOBERR.D:1
AUTO_TS_F2F:FROM:iobm/RESrr.Q:TO:IOBERR.D:1
AUTO_TS_F2F:FROM:iobm/BERRrf.Q:TO:IOBERR.D:1
AUTO_TS_F2F:FROM:iobm/BERRrr.Q:TO:IOBERR.D:1
AUTO_TS_F2F:FROM:iobm/DTACKrf.Q:TO:IOBERR.D:1
AUTO_TS_F2F:FROM:iobm/DTACKrr.Q:TO:IOBERR.D:1
AUTO_TS_F2F:FROM:iobm/ETACK.Q:TO:IOBERR.D:1
AUTO_TS_P2F:FROM:C16M:TO:IOBERR.D:1
AUTO_TS_P2F:FROM:C8M:TO:IOBERR.D:1
AUTO_TS_P2F:FROM:nBERR_IOB:TO:IOBERR.D:1
AUTO_TS_F2F:FROM:iobs/Once.Q:TO:iobs/Once.D:1
AUTO_TS_F2F:FROM:fsb/ASrf.Q:TO:iobs/Once.D:1
AUTO_TS_F2F:FROM:iobs/PS_FSM_FFd2.Q:TO:iobs/Once.D:1
AUTO_TS_F2F:FROM:iobs/PS_FSM_FFd1.Q:TO:iobs/Once.D:1
AUTO_TS_F2F:FROM:cs/nOverlay1.Q:TO:iobs/Once.D:1
AUTO_TS_F2F:FROM:nADoutLE1_OBUF.Q:TO:iobs/Once.D:1
AUTO_TS_P2F:FROM:A_FSB<22>:TO:iobs/Once.D:1
AUTO_TS_P2F:FROM:A_FSB<21>:TO:iobs/Once.D:1
AUTO_TS_P2F:FROM:FCLK:TO:iobs/Once.D:1
AUTO_TS_P2F:FROM:A_FSB<20>:TO:iobs/Once.D:1
AUTO_TS_P2F:FROM:A_FSB<14>:TO:iobs/Once.D:1
AUTO_TS_P2F:FROM:A_FSB<19>:TO:iobs/Once.D:1
AUTO_TS_P2F:FROM:A_FSB<18>:TO:iobs/Once.D:1
AUTO_TS_P2F:FROM:A_FSB<17>:TO:iobs/Once.D:1
AUTO_TS_P2F:FROM:A_FSB<16>:TO:iobs/Once.D:1
AUTO_TS_P2F:FROM:nAS_FSB:TO:iobs/Once.D:1
AUTO_TS_P2F:FROM:nWE_FSB:TO:iobs/Once.D:1
AUTO_TS_P2F:FROM:A_FSB<13>:TO:iobs/Once.D:1
AUTO_TS_P2F:FROM:A_FSB<23>:TO:iobs/Once.D:1
AUTO_TS_F2F:FROM:cnt/Timer<0>.Q:TO:RefUrg.D:1
AUTO_TS_F2F:FROM:cnt/Timer<1>.Q:TO:RefUrg.D:1
AUTO_TS_F2F:FROM:cnt/Timer<2>.Q:TO:RefUrg.D:1
AUTO_TS_F2F:FROM:cnt/Er<0>.Q:TO:RefUrg.D:1
AUTO_TS_F2F:FROM:cnt/Er<1>.Q:TO:RefUrg.D:1
AUTO_TS_F2F:FROM:cnt/TimerTC.Q:TO:RefUrg.D:1
AUTO_TS_F2F:FROM:RefUrg.Q:TO:RefUrg.D:1
AUTO_TS_P2F:FROM:FCLK:TO:RefUrg.D:1
AUTO_TS_F2F:FROM:cnt/Er<0>.Q:TO:RefUrg.CE:1
AUTO_TS_F2F:FROM:cnt/Er<1>.Q:TO:RefUrg.CE:1
AUTO_TS_P2F:FROM:FCLK:TO:RefUrg.CE:1
AUTO_TS_F2F:FROM:cnt/Er<0>.Q:TO:cnt/LTimer<0>.CE:1
AUTO_TS_F2F:FROM:cnt/Er<1>.Q:TO:cnt/LTimer<0>.CE:1
AUTO_TS_F2F:FROM:cnt/TimerTC.Q:TO:cnt/LTimer<0>.CE:1
AUTO_TS_P2F:FROM:FCLK:TO:cnt/LTimer<0>.CE:1
AUTO_TS_F2F:FROM:cnt/Timer<0>.Q:TO:cnt/Timer<0>.D:1
AUTO_TS_F2F:FROM:cnt/Er<0>.Q:TO:cnt/Timer<0>.D:1
AUTO_TS_F2F:FROM:cnt/Er<1>.Q:TO:cnt/Timer<0>.D:1
AUTO_TS_F2F:FROM:cnt/TimerTC.Q:TO:cnt/Timer<0>.D:1
AUTO_TS_P2F:FROM:FCLK:TO:cnt/Timer<0>.D:1
AUTO_TS_F2F:FROM:cnt/Er<0>.Q:TO:cnt/Timer<0>.CE:1
AUTO_TS_F2F:FROM:cnt/Er<1>.Q:TO:cnt/Timer<0>.CE:1
AUTO_TS_P2F:FROM:FCLK:TO:cnt/Timer<0>.CE:1
AUTO_TS_F2F:FROM:cnt/Timer<0>.Q:TO:cnt/Timer<1>.D:1
AUTO_TS_F2F:FROM:cnt/Timer<1>.Q:TO:cnt/Timer<1>.D:1
AUTO_TS_F2F:FROM:cnt/Er<0>.Q:TO:cnt/Timer<1>.D:1
AUTO_TS_F2F:FROM:cnt/Er<1>.Q:TO:cnt/Timer<1>.D:1
AUTO_TS_F2F:FROM:cnt/TimerTC.Q:TO:cnt/Timer<1>.D:1
AUTO_TS_P2F:FROM:FCLK:TO:cnt/Timer<1>.D:1
AUTO_TS_F2F:FROM:cnt/Er<0>.Q:TO:cnt/Timer<1>.CE:1
AUTO_TS_F2F:FROM:cnt/Er<1>.Q:TO:cnt/Timer<1>.CE:1
AUTO_TS_P2F:FROM:FCLK:TO:cnt/Timer<1>.CE:1
AUTO_TS_F2F:FROM:cnt/Timer<0>.Q:TO:cnt/Timer<2>.D:1
AUTO_TS_F2F:FROM:cnt/Timer<2>.Q:TO:cnt/Timer<2>.D:1
AUTO_TS_F2F:FROM:cnt/Timer<1>.Q:TO:cnt/Timer<2>.D:1
AUTO_TS_F2F:FROM:cnt/Er<0>.Q:TO:cnt/Timer<2>.D:1
AUTO_TS_F2F:FROM:cnt/Er<1>.Q:TO:cnt/Timer<2>.D:1
AUTO_TS_F2F:FROM:cnt/TimerTC.Q:TO:cnt/Timer<2>.D:1
AUTO_TS_P2F:FROM:FCLK:TO:cnt/Timer<2>.D:1
AUTO_TS_F2F:FROM:cnt/Er<0>.Q:TO:cnt/Timer<2>.CE:1
AUTO_TS_F2F:FROM:cnt/Er<1>.Q:TO:cnt/Timer<2>.CE:1
AUTO_TS_P2F:FROM:FCLK:TO:cnt/Timer<2>.CE:1
AUTO_TS_F2F:FROM:cs/nOverlay0.Q:TO:cs/nOverlay1.D:1
AUTO_TS_P2F:FROM:FCLK:TO:cs/nOverlay1.D:1
AUTO_TS_F2F:FROM:fsb/ASrf.Q:TO:cs/nOverlay1.CE:1
AUTO_TS_P2F:FROM:FCLK:TO:cs/nOverlay1.CE:1
AUTO_TS_P2F:FROM:nAS_FSB:TO:cs/nOverlay1.CE:1
AUTO_TS_F2F:FROM:cnt/LTimer<0>.Q:TO:cnt/LTimer<10>.D:1
AUTO_TS_F2F:FROM:cnt/LTimer<1>.Q:TO:cnt/LTimer<10>.D:1
AUTO_TS_F2F:FROM:cnt/LTimer<2>.Q:TO:cnt/LTimer<10>.D:1
AUTO_TS_F2F:FROM:cnt/LTimer<3>.Q:TO:cnt/LTimer<10>.D:1
AUTO_TS_F2F:FROM:cnt/LTimer<4>.Q:TO:cnt/LTimer<10>.D:1
AUTO_TS_F2F:FROM:cnt/LTimer<5>.Q:TO:cnt/LTimer<10>.D:1
AUTO_TS_F2F:FROM:cnt/LTimer<6>.Q:TO:cnt/LTimer<10>.D:1
AUTO_TS_F2F:FROM:cnt/LTimer<7>.Q:TO:cnt/LTimer<10>.D:1
AUTO_TS_F2F:FROM:cnt/LTimer<8>.Q:TO:cnt/LTimer<10>.D:1
AUTO_TS_F2F:FROM:cnt/LTimer<9>.Q:TO:cnt/LTimer<10>.D:1
AUTO_TS_P2F:FROM:FCLK:TO:cnt/LTimer<10>.D:1
AUTO_TS_F2F:FROM:cnt/Er<0>.Q:TO:cnt/LTimer<10>.CE:1
AUTO_TS_F2F:FROM:cnt/Er<1>.Q:TO:cnt/LTimer<10>.CE:1
AUTO_TS_F2F:FROM:cnt/TimerTC.Q:TO:cnt/LTimer<10>.CE:1
AUTO_TS_P2F:FROM:FCLK:TO:cnt/LTimer<10>.CE:1
AUTO_TS_F2F:FROM:cnt/LTimer<0>.Q:TO:cnt/LTimer<11>.D:1
AUTO_TS_F2F:FROM:cnt/LTimer<1>.Q:TO:cnt/LTimer<11>.D:1
AUTO_TS_F2F:FROM:cnt/LTimer<2>.Q:TO:cnt/LTimer<11>.D:1
AUTO_TS_F2F:FROM:cnt/LTimer<3>.Q:TO:cnt/LTimer<11>.D:1
AUTO_TS_F2F:FROM:cnt/LTimer<4>.Q:TO:cnt/LTimer<11>.D:1
AUTO_TS_F2F:FROM:cnt/LTimer<5>.Q:TO:cnt/LTimer<11>.D:1
AUTO_TS_F2F:FROM:cnt/LTimer<6>.Q:TO:cnt/LTimer<11>.D:1
AUTO_TS_F2F:FROM:cnt/LTimer<7>.Q:TO:cnt/LTimer<11>.D:1
AUTO_TS_F2F:FROM:cnt/LTimer<8>.Q:TO:cnt/LTimer<11>.D:1
AUTO_TS_F2F:FROM:cnt/LTimer<9>.Q:TO:cnt/LTimer<11>.D:1
AUTO_TS_F2F:FROM:cnt/LTimer<10>.Q:TO:cnt/LTimer<11>.D:1
AUTO_TS_P2F:FROM:FCLK:TO:cnt/LTimer<11>.D:1
AUTO_TS_F2F:FROM:cnt/Er<0>.Q:TO:cnt/LTimer<11>.CE:1
AUTO_TS_F2F:FROM:cnt/Er<1>.Q:TO:cnt/LTimer<11>.CE:1
AUTO_TS_F2F:FROM:cnt/TimerTC.Q:TO:cnt/LTimer<11>.CE:1
AUTO_TS_P2F:FROM:FCLK:TO:cnt/LTimer<11>.CE:1
AUTO_TS_F2F:FROM:cnt/LTimer<0>.Q:TO:cnt/LTimer<1>.D:1
AUTO_TS_P2F:FROM:FCLK:TO:cnt/LTimer<1>.D:1
AUTO_TS_F2F:FROM:cnt/Er<0>.Q:TO:cnt/LTimer<1>.CE:1
AUTO_TS_F2F:FROM:cnt/Er<1>.Q:TO:cnt/LTimer<1>.CE:1
AUTO_TS_F2F:FROM:cnt/TimerTC.Q:TO:cnt/LTimer<1>.CE:1
AUTO_TS_P2F:FROM:FCLK:TO:cnt/LTimer<1>.CE:1
AUTO_TS_F2F:FROM:cnt/LTimer<0>.Q:TO:cnt/LTimer<2>.D:1
AUTO_TS_F2F:FROM:cnt/LTimer<1>.Q:TO:cnt/LTimer<2>.D:1
AUTO_TS_P2F:FROM:FCLK:TO:cnt/LTimer<2>.D:1
AUTO_TS_F2F:FROM:cnt/Er<0>.Q:TO:cnt/LTimer<2>.CE:1
AUTO_TS_F2F:FROM:cnt/Er<1>.Q:TO:cnt/LTimer<2>.CE:1
AUTO_TS_F2F:FROM:cnt/TimerTC.Q:TO:cnt/LTimer<2>.CE:1
AUTO_TS_P2F:FROM:FCLK:TO:cnt/LTimer<2>.CE:1
AUTO_TS_F2F:FROM:cnt/LTimer<0>.Q:TO:cnt/LTimer<3>.D:1
AUTO_TS_F2F:FROM:cnt/LTimer<1>.Q:TO:cnt/LTimer<3>.D:1
AUTO_TS_F2F:FROM:cnt/LTimer<2>.Q:TO:cnt/LTimer<3>.D:1
AUTO_TS_P2F:FROM:FCLK:TO:cnt/LTimer<3>.D:1
AUTO_TS_F2F:FROM:cnt/Er<0>.Q:TO:cnt/LTimer<3>.CE:1
AUTO_TS_F2F:FROM:cnt/Er<1>.Q:TO:cnt/LTimer<3>.CE:1
AUTO_TS_F2F:FROM:cnt/TimerTC.Q:TO:cnt/LTimer<3>.CE:1
AUTO_TS_P2F:FROM:FCLK:TO:cnt/LTimer<3>.CE:1
AUTO_TS_F2F:FROM:cnt/LTimer<0>.Q:TO:cnt/LTimer<4>.D:1
AUTO_TS_F2F:FROM:cnt/LTimer<1>.Q:TO:cnt/LTimer<4>.D:1
AUTO_TS_F2F:FROM:cnt/LTimer<2>.Q:TO:cnt/LTimer<4>.D:1
AUTO_TS_F2F:FROM:cnt/LTimer<3>.Q:TO:cnt/LTimer<4>.D:1
AUTO_TS_P2F:FROM:FCLK:TO:cnt/LTimer<4>.D:1
AUTO_TS_F2F:FROM:cnt/Er<0>.Q:TO:cnt/LTimer<4>.CE:1
AUTO_TS_F2F:FROM:cnt/Er<1>.Q:TO:cnt/LTimer<4>.CE:1
AUTO_TS_F2F:FROM:cnt/TimerTC.Q:TO:cnt/LTimer<4>.CE:1
AUTO_TS_P2F:FROM:FCLK:TO:cnt/LTimer<4>.CE:1
AUTO_TS_F2F:FROM:cnt/LTimer<0>.Q:TO:cnt/LTimer<5>.D:1
AUTO_TS_F2F:FROM:cnt/LTimer<1>.Q:TO:cnt/LTimer<5>.D:1
AUTO_TS_F2F:FROM:cnt/LTimer<2>.Q:TO:cnt/LTimer<5>.D:1
AUTO_TS_F2F:FROM:cnt/LTimer<3>.Q:TO:cnt/LTimer<5>.D:1
AUTO_TS_F2F:FROM:cnt/LTimer<4>.Q:TO:cnt/LTimer<5>.D:1
AUTO_TS_P2F:FROM:FCLK:TO:cnt/LTimer<5>.D:1
AUTO_TS_F2F:FROM:cnt/Er<0>.Q:TO:cnt/LTimer<5>.CE:1
AUTO_TS_F2F:FROM:cnt/Er<1>.Q:TO:cnt/LTimer<5>.CE:1
AUTO_TS_F2F:FROM:cnt/TimerTC.Q:TO:cnt/LTimer<5>.CE:1
AUTO_TS_P2F:FROM:FCLK:TO:cnt/LTimer<5>.CE:1
AUTO_TS_F2F:FROM:cnt/LTimer<0>.Q:TO:cnt/LTimer<6>.D:1
AUTO_TS_F2F:FROM:cnt/LTimer<1>.Q:TO:cnt/LTimer<6>.D:1
AUTO_TS_F2F:FROM:cnt/LTimer<2>.Q:TO:cnt/LTimer<6>.D:1
AUTO_TS_F2F:FROM:cnt/LTimer<3>.Q:TO:cnt/LTimer<6>.D:1
AUTO_TS_F2F:FROM:cnt/LTimer<4>.Q:TO:cnt/LTimer<6>.D:1
AUTO_TS_F2F:FROM:cnt/LTimer<5>.Q:TO:cnt/LTimer<6>.D:1
AUTO_TS_P2F:FROM:FCLK:TO:cnt/LTimer<6>.D:1
AUTO_TS_F2F:FROM:cnt/Er<0>.Q:TO:cnt/LTimer<6>.CE:1
AUTO_TS_F2F:FROM:cnt/Er<1>.Q:TO:cnt/LTimer<6>.CE:1
AUTO_TS_F2F:FROM:cnt/TimerTC.Q:TO:cnt/LTimer<6>.CE:1
AUTO_TS_P2F:FROM:FCLK:TO:cnt/LTimer<6>.CE:1
AUTO_TS_F2F:FROM:cnt/LTimer<0>.Q:TO:cnt/LTimer<7>.D:1
AUTO_TS_F2F:FROM:cnt/LTimer<1>.Q:TO:cnt/LTimer<7>.D:1
AUTO_TS_F2F:FROM:cnt/LTimer<2>.Q:TO:cnt/LTimer<7>.D:1
AUTO_TS_F2F:FROM:cnt/LTimer<3>.Q:TO:cnt/LTimer<7>.D:1
AUTO_TS_F2F:FROM:cnt/LTimer<4>.Q:TO:cnt/LTimer<7>.D:1
AUTO_TS_F2F:FROM:cnt/LTimer<5>.Q:TO:cnt/LTimer<7>.D:1
AUTO_TS_F2F:FROM:cnt/LTimer<6>.Q:TO:cnt/LTimer<7>.D:1
AUTO_TS_P2F:FROM:FCLK:TO:cnt/LTimer<7>.D:1
AUTO_TS_F2F:FROM:cnt/Er<0>.Q:TO:cnt/LTimer<7>.CE:1
AUTO_TS_F2F:FROM:cnt/Er<1>.Q:TO:cnt/LTimer<7>.CE:1
AUTO_TS_F2F:FROM:cnt/TimerTC.Q:TO:cnt/LTimer<7>.CE:1
AUTO_TS_P2F:FROM:FCLK:TO:cnt/LTimer<7>.CE:1
AUTO_TS_F2F:FROM:cnt/LTimer<0>.Q:TO:cnt/LTimer<8>.D:1
AUTO_TS_F2F:FROM:cnt/LTimer<1>.Q:TO:cnt/LTimer<8>.D:1
AUTO_TS_F2F:FROM:cnt/LTimer<2>.Q:TO:cnt/LTimer<8>.D:1
AUTO_TS_F2F:FROM:cnt/LTimer<3>.Q:TO:cnt/LTimer<8>.D:1
AUTO_TS_F2F:FROM:cnt/LTimer<4>.Q:TO:cnt/LTimer<8>.D:1
AUTO_TS_F2F:FROM:cnt/LTimer<5>.Q:TO:cnt/LTimer<8>.D:1
AUTO_TS_F2F:FROM:cnt/LTimer<6>.Q:TO:cnt/LTimer<8>.D:1
AUTO_TS_F2F:FROM:cnt/LTimer<7>.Q:TO:cnt/LTimer<8>.D:1
AUTO_TS_P2F:FROM:FCLK:TO:cnt/LTimer<8>.D:1
AUTO_TS_F2F:FROM:cnt/Er<0>.Q:TO:cnt/LTimer<8>.CE:1
AUTO_TS_F2F:FROM:cnt/Er<1>.Q:TO:cnt/LTimer<8>.CE:1
AUTO_TS_F2F:FROM:cnt/TimerTC.Q:TO:cnt/LTimer<8>.CE:1
AUTO_TS_P2F:FROM:FCLK:TO:cnt/LTimer<8>.CE:1
AUTO_TS_F2F:FROM:cnt/LTimer<0>.Q:TO:cnt/LTimer<9>.D:1
AUTO_TS_F2F:FROM:cnt/LTimer<1>.Q:TO:cnt/LTimer<9>.D:1
AUTO_TS_F2F:FROM:cnt/LTimer<2>.Q:TO:cnt/LTimer<9>.D:1
AUTO_TS_F2F:FROM:cnt/LTimer<3>.Q:TO:cnt/LTimer<9>.D:1
AUTO_TS_F2F:FROM:cnt/LTimer<4>.Q:TO:cnt/LTimer<9>.D:1
AUTO_TS_F2F:FROM:cnt/LTimer<5>.Q:TO:cnt/LTimer<9>.D:1
AUTO_TS_F2F:FROM:cnt/LTimer<6>.Q:TO:cnt/LTimer<9>.D:1
AUTO_TS_F2F:FROM:cnt/LTimer<7>.Q:TO:cnt/LTimer<9>.D:1
AUTO_TS_F2F:FROM:cnt/LTimer<8>.Q:TO:cnt/LTimer<9>.D:1
AUTO_TS_P2F:FROM:FCLK:TO:cnt/LTimer<9>.D:1
AUTO_TS_F2F:FROM:cnt/Er<0>.Q:TO:cnt/LTimer<9>.CE:1
AUTO_TS_F2F:FROM:cnt/Er<1>.Q:TO:cnt/LTimer<9>.CE:1
AUTO_TS_F2F:FROM:cnt/TimerTC.Q:TO:cnt/LTimer<9>.CE:1
AUTO_TS_P2F:FROM:FCLK:TO:cnt/LTimer<9>.CE:1
AUTO_TS_F2F:FROM:iobs/PS_FSM_FFd2.Q:TO:IORW0.D:1
AUTO_TS_F2F:FROM:iobs/PS_FSM_FFd1.Q:TO:IORW0.D:1
AUTO_TS_F2F:FROM:nADoutLE1_OBUF.Q:TO:IORW0.D:1
AUTO_TS_F2F:FROM:iobs/IORW1.Q:TO:IORW0.D:1
AUTO_TS_F2F:FROM:IORW0.Q:TO:IORW0.D:1
AUTO_TS_F2F:FROM:iobs/Once.Q:TO:IORW0.D:1
AUTO_TS_F2F:FROM:fsb/ASrf.Q:TO:IORW0.D:1
AUTO_TS_F2F:FROM:cs/nOverlay1.Q:TO:IORW0.D:1
AUTO_TS_P2F:FROM:FCLK:TO:IORW0.D:1
AUTO_TS_P2F:FROM:A_FSB<23>:TO:IORW0.D:1
AUTO_TS_P2F:FROM:A_FSB<21>:TO:IORW0.D:1
AUTO_TS_P2F:FROM:A_FSB<20>:TO:IORW0.D:1
AUTO_TS_P2F:FROM:nAS_FSB:TO:IORW0.D:1
AUTO_TS_P2F:FROM:nWE_FSB:TO:IORW0.D:1
AUTO_TS_P2F:FROM:A_FSB<22>:TO:IORW0.D:1
AUTO_TS_P2F:FROM:A_FSB<14>:TO:IORW0.D:1
AUTO_TS_P2F:FROM:A_FSB<19>:TO:IORW0.D:1
AUTO_TS_P2F:FROM:A_FSB<18>:TO:IORW0.D:1
AUTO_TS_P2F:FROM:A_FSB<17>:TO:IORW0.D:1
AUTO_TS_P2F:FROM:A_FSB<16>:TO:IORW0.D:1
AUTO_TS_P2F:FROM:A_FSB<13>:TO:IORW0.D:1
AUTO_TS_F2F:FROM:cnt/LTimer<0>.Q:TO:cnt/LTimer<12>.D:1
AUTO_TS_F2F:FROM:cnt/LTimer<1>.Q:TO:cnt/LTimer<12>.D:1
AUTO_TS_F2F:FROM:cnt/LTimer<2>.Q:TO:cnt/LTimer<12>.D:1
AUTO_TS_F2F:FROM:cnt/LTimer<3>.Q:TO:cnt/LTimer<12>.D:1
AUTO_TS_F2F:FROM:cnt/LTimer<4>.Q:TO:cnt/LTimer<12>.D:1
AUTO_TS_F2F:FROM:cnt/LTimer<5>.Q:TO:cnt/LTimer<12>.D:1
AUTO_TS_F2F:FROM:cnt/LTimer<6>.Q:TO:cnt/LTimer<12>.D:1
AUTO_TS_F2F:FROM:cnt/LTimer<7>.Q:TO:cnt/LTimer<12>.D:1
AUTO_TS_F2F:FROM:cnt/LTimer<8>.Q:TO:cnt/LTimer<12>.D:1
AUTO_TS_F2F:FROM:cnt/LTimer<9>.Q:TO:cnt/LTimer<12>.D:1
AUTO_TS_F2F:FROM:cnt/LTimer<10>.Q:TO:cnt/LTimer<12>.D:1
AUTO_TS_F2F:FROM:cnt/LTimer<11>.Q:TO:cnt/LTimer<12>.D:1
AUTO_TS_P2F:FROM:FCLK:TO:cnt/LTimer<12>.D:1
AUTO_TS_F2F:FROM:cnt/Er<0>.Q:TO:cnt/LTimer<12>.CE:1
AUTO_TS_F2F:FROM:cnt/Er<1>.Q:TO:cnt/LTimer<12>.CE:1
AUTO_TS_F2F:FROM:cnt/TimerTC.Q:TO:cnt/LTimer<12>.CE:1
AUTO_TS_P2F:FROM:FCLK:TO:cnt/LTimer<12>.CE:1
AUTO_TS_F2F:FROM:cnt/Timer<0>.Q:TO:cnt/TimerTC.D:1
AUTO_TS_F2F:FROM:cnt/Timer<1>.Q:TO:cnt/TimerTC.D:1
AUTO_TS_F2F:FROM:cnt/Timer<2>.Q:TO:cnt/TimerTC.D:1
AUTO_TS_F2F:FROM:RefUrg.Q:TO:cnt/TimerTC.D:1
AUTO_TS_P2F:FROM:FCLK:TO:cnt/TimerTC.D:1
AUTO_TS_F2F:FROM:cnt/Er<0>.Q:TO:cnt/TimerTC.CE:1
AUTO_TS_F2F:FROM:cnt/Er<1>.Q:TO:cnt/TimerTC.CE:1
AUTO_TS_P2F:FROM:FCLK:TO:cnt/TimerTC.CE:1
AUTO_TS_F2F:FROM:ram/RefDone.Q:TO:ram/RefDone.D:1
AUTO_TS_F2F:FROM:ram/RefReqSync.Q:TO:ram/RefDone.D:1
AUTO_TS_F2F:FROM:ram/RS_FSM_FFd2.Q:TO:ram/RefDone.D:1
AUTO_TS_F2F:FROM:ram/RS_FSM_FFd3.Q:TO:ram/RefDone.D:1
AUTO_TS_F2F:FROM:ram/RS_FSM_FFd1.Q:TO:ram/RefDone.D:1
AUTO_TS_P2F:FROM:FCLK:TO:ram/RefDone.D:1
AUTO_TS_F2F:FROM:cs/nOverlay0.Q:TO:cs/nOverlay0.D:1
AUTO_TS_F2F:FROM:fsb/ASrf.Q:TO:cs/nOverlay0.D:1
AUTO_TS_P2F:FROM:A_FSB<23>:TO:cs/nOverlay0.D:1
AUTO_TS_P2F:FROM:A_FSB<22>:TO:cs/nOverlay0.D:1
AUTO_TS_P2F:FROM:A_FSB<21>:TO:cs/nOverlay0.D:1
AUTO_TS_P2F:FROM:A_FSB<20>:TO:cs/nOverlay0.D:1
AUTO_TS_P2F:FROM:nAS_FSB:TO:cs/nOverlay0.D:1
AUTO_TS_P2F:FROM:FCLK:TO:cs/nOverlay0.D:1
AUTO_TS_F2F:FROM:nRESout.Q:TO:cs/nOverlay0.RSTF:1
AUTO_TS_P2F:FROM:nRES:TO:cs/nOverlay0.RSTF:1
AUTO_TS_P2F:FROM:FCLK:TO:cs/nOverlay0.RSTF:1
AUTO_TS_F2F:FROM:nADoutLE1_OBUF.Q:TO:IOL0.D:1
AUTO_TS_F2F:FROM:iobs/IOL1.Q:TO:IOL0.D:1
AUTO_TS_P2F:FROM:FCLK:TO:IOL0.D:1
AUTO_TS_P2F:FROM:nLDS_FSB:TO:IOL0.D:1
AUTO_TS_F2F:FROM:iobs/PS_FSM_FFd2.Q:TO:IOL0.CE:1
AUTO_TS_F2F:FROM:iobs/PS_FSM_FFd1.Q:TO:IOL0.CE:1
AUTO_TS_P2F:FROM:FCLK:TO:IOL0.CE:1
AUTO_TS_F2F:FROM:nADoutLE1_OBUF.Q:TO:IOU0.D:1
AUTO_TS_F2F:FROM:iobs/IOU1.Q:TO:IOU0.D:1
AUTO_TS_P2F:FROM:FCLK:TO:IOU0.D:1
AUTO_TS_P2F:FROM:nUDS_FSB:TO:IOU0.D:1
AUTO_TS_F2F:FROM:iobs/PS_FSM_FFd2.Q:TO:IOU0.CE:1
AUTO_TS_F2F:FROM:iobs/PS_FSM_FFd1.Q:TO:IOU0.CE:1
AUTO_TS_P2F:FROM:FCLK:TO:IOU0.CE:1
AUTO_TS_F2F:FROM:cnt/Timer<1>.Q:TO:RefReq.D:1
AUTO_TS_F2F:FROM:cnt/Timer<2>.Q:TO:RefReq.D:1
AUTO_TS_F2F:FROM:RefUrg.Q:TO:RefReq.D:1
AUTO_TS_P2F:FROM:FCLK:TO:RefReq.D:1
AUTO_TS_F2F:FROM:cnt/Er<0>.Q:TO:RefReq.CE:1
AUTO_TS_F2F:FROM:cnt/Er<1>.Q:TO:RefReq.CE:1
AUTO_TS_P2F:FROM:FCLK:TO:RefReq.CE:1
AUTO_TS_F2F:FROM:cnt/LTimer<0>.Q:TO:cnt/LTimerTC.D:1
AUTO_TS_F2F:FROM:cnt/LTimer<1>.Q:TO:cnt/LTimerTC.D:1
AUTO_TS_F2F:FROM:cnt/LTimer<2>.Q:TO:cnt/LTimerTC.D:1
AUTO_TS_F2F:FROM:cnt/LTimer<3>.Q:TO:cnt/LTimerTC.D:1
AUTO_TS_F2F:FROM:cnt/LTimer<4>.Q:TO:cnt/LTimerTC.D:1
AUTO_TS_F2F:FROM:cnt/LTimer<5>.Q:TO:cnt/LTimerTC.D:1
AUTO_TS_F2F:FROM:cnt/LTimer<6>.Q:TO:cnt/LTimerTC.D:1
AUTO_TS_F2F:FROM:cnt/LTimer<7>.Q:TO:cnt/LTimerTC.D:1
AUTO_TS_F2F:FROM:cnt/LTimer<8>.Q:TO:cnt/LTimerTC.D:1
AUTO_TS_F2F:FROM:cnt/LTimer<9>.Q:TO:cnt/LTimerTC.D:1
AUTO_TS_F2F:FROM:cnt/LTimer<10>.Q:TO:cnt/LTimerTC.D:1
AUTO_TS_F2F:FROM:cnt/LTimer<11>.Q:TO:cnt/LTimerTC.D:1
AUTO_TS_F2F:FROM:cnt/LTimer<12>.Q:TO:cnt/LTimerTC.D:1
AUTO_TS_P2F:FROM:FCLK:TO:cnt/LTimerTC.D:1
AUTO_TS_F2F:FROM:cnt/Er<0>.Q:TO:cnt/LTimerTC.CE:1
AUTO_TS_F2F:FROM:cnt/Er<1>.Q:TO:cnt/LTimerTC.CE:1
AUTO_TS_F2F:FROM:cnt/TimerTC.Q:TO:cnt/LTimerTC.CE:1
AUTO_TS_P2F:FROM:FCLK:TO:cnt/LTimerTC.CE:1
AUTO_TS_F2F:FROM:fsb/ASrf.Q:TO:fsb/Ready0r.D:1
AUTO_TS_F2F:FROM:cs/nOverlay1.Q:TO:fsb/Ready0r.D:1
AUTO_TS_F2F:FROM:fsb/Ready0r.Q:TO:fsb/Ready0r.D:1
AUTO_TS_F2F:FROM:ram/RAMReady.Q:TO:fsb/Ready0r.D:1
AUTO_TS_P2F:FROM:nAS_FSB:TO:fsb/Ready0r.D:1
AUTO_TS_P2F:FROM:FCLK:TO:fsb/Ready0r.D:1
AUTO_TS_P2F:FROM:A_FSB<23>:TO:fsb/Ready0r.D:1
AUTO_TS_P2F:FROM:A_FSB<22>:TO:fsb/Ready0r.D:1
AUTO_TS_P2F:FROM:A_FSB<21>:TO:fsb/Ready0r.D:1
AUTO_TS_F2F:FROM:cs/nOverlay1.Q:TO:fsb/Ready1r.D:1
AUTO_TS_F2F:FROM:nADoutLE1_OBUF.Q:TO:fsb/Ready1r.D:1
AUTO_TS_F2F:FROM:fsb/Ready1r.Q:TO:fsb/Ready1r.D:1
AUTO_TS_F2F:FROM:iobs/IOReady.Q:TO:fsb/Ready1r.D:1
AUTO_TS_F2F:FROM:fsb/ASrf.Q:TO:fsb/Ready1r.D:1
AUTO_TS_P2F:FROM:A_FSB<21>:TO:fsb/Ready1r.D:1
AUTO_TS_P2F:FROM:FCLK:TO:fsb/Ready1r.D:1
AUTO_TS_P2F:FROM:A_FSB<14>:TO:fsb/Ready1r.D:1
AUTO_TS_P2F:FROM:A_FSB<20>:TO:fsb/Ready1r.D:1
AUTO_TS_P2F:FROM:A_FSB<19>:TO:fsb/Ready1r.D:1
AUTO_TS_P2F:FROM:A_FSB<18>:TO:fsb/Ready1r.D:1
AUTO_TS_P2F:FROM:A_FSB<17>:TO:fsb/Ready1r.D:1
AUTO_TS_P2F:FROM:A_FSB<16>:TO:fsb/Ready1r.D:1
AUTO_TS_P2F:FROM:nWE_FSB:TO:fsb/Ready1r.D:1
AUTO_TS_P2F:FROM:A_FSB<13>:TO:fsb/Ready1r.D:1
AUTO_TS_P2F:FROM:nAS_FSB:TO:fsb/Ready1r.D:1
AUTO_TS_P2F:FROM:A_FSB<23>:TO:fsb/Ready1r.D:1
AUTO_TS_P2F:FROM:A_FSB<22>:TO:fsb/Ready1r.D:1
AUTO_TS_F2F:FROM:fsb/Ready1r.Q:TO:fsb/VPA.D:1
AUTO_TS_F2F:FROM:fsb/ASrf.Q:TO:fsb/VPA.D:1
AUTO_TS_F2F:FROM:iobs/IOReady.Q:TO:fsb/VPA.D:1
AUTO_TS_F2F:FROM:cs/nOverlay1.Q:TO:fsb/VPA.D:1
AUTO_TS_F2F:FROM:nADoutLE1_OBUF.Q:TO:fsb/VPA.D:1
AUTO_TS_F2F:FROM:fsb/VPA.Q:TO:fsb/VPA.D:1
AUTO_TS_F2F:FROM:fsb/Ready0r.Q:TO:fsb/VPA.D:1
AUTO_TS_F2F:FROM:ram/RAMReady.Q:TO:fsb/VPA.D:1
AUTO_TS_P2F:FROM:A_FSB<9>:TO:fsb/VPA.D:1
AUTO_TS_P2F:FROM:A_FSB<8>:TO:fsb/VPA.D:1
AUTO_TS_P2F:FROM:A_FSB<15>:TO:fsb/VPA.D:1
AUTO_TS_P2F:FROM:A_FSB<14>:TO:fsb/VPA.D:1
AUTO_TS_P2F:FROM:A_FSB<13>:TO:fsb/VPA.D:1
AUTO_TS_P2F:FROM:A_FSB<12>:TO:fsb/VPA.D:1
AUTO_TS_P2F:FROM:A_FSB<11>:TO:fsb/VPA.D:1
AUTO_TS_P2F:FROM:A_FSB<10>:TO:fsb/VPA.D:1
AUTO_TS_P2F:FROM:A_FSB<23>:TO:fsb/VPA.D:1
AUTO_TS_P2F:FROM:A_FSB<22>:TO:fsb/VPA.D:1
AUTO_TS_P2F:FROM:A_FSB<21>:TO:fsb/VPA.D:1
AUTO_TS_P2F:FROM:A_FSB<20>:TO:fsb/VPA.D:1
AUTO_TS_P2F:FROM:A_FSB<19>:TO:fsb/VPA.D:1
AUTO_TS_P2F:FROM:A_FSB<18>:TO:fsb/VPA.D:1
AUTO_TS_P2F:FROM:A_FSB<17>:TO:fsb/VPA.D:1
AUTO_TS_P2F:FROM:A_FSB<16>:TO:fsb/VPA.D:1
AUTO_TS_P2F:FROM:nAS_FSB:TO:fsb/VPA.D:1
AUTO_TS_P2F:FROM:FCLK:TO:fsb/VPA.D:1
AUTO_TS_P2F:FROM:nWE_FSB:TO:fsb/VPA.D:1
AUTO_TS_F2F:FROM:iobs/Load1.Q:TO:iobs/IOL1.CE:1
AUTO_TS_P2F:FROM:FCLK:TO:iobs/IOL1.CE:1
AUTO_TS_F2F:FROM:iobs/Once.Q:TO:iobs/IORW1.D:1
AUTO_TS_F2F:FROM:nADoutLE1_OBUF.Q:TO:iobs/IORW1.D:1
AUTO_TS_F2F:FROM:fsb/ASrf.Q:TO:iobs/IORW1.D:1
AUTO_TS_F2F:FROM:cs/nOverlay1.Q:TO:iobs/IORW1.D:1
AUTO_TS_F2F:FROM:iobs/IORW1.Q:TO:iobs/IORW1.D:1
AUTO_TS_F2F:FROM:iobs/PS_FSM_FFd2.Q:TO:iobs/IORW1.D:1
AUTO_TS_F2F:FROM:iobs/PS_FSM_FFd1.Q:TO:iobs/IORW1.D:1
AUTO_TS_P2F:FROM:FCLK:TO:iobs/IORW1.D:1
AUTO_TS_P2F:FROM:A_FSB<23>:TO:iobs/IORW1.D:1
AUTO_TS_P2F:FROM:A_FSB<22>:TO:iobs/IORW1.D:1
AUTO_TS_P2F:FROM:A_FSB<14>:TO:iobs/IORW1.D:1
AUTO_TS_P2F:FROM:A_FSB<13>:TO:iobs/IORW1.D:1
AUTO_TS_P2F:FROM:nAS_FSB:TO:iobs/IORW1.D:1
AUTO_TS_P2F:FROM:A_FSB<21>:TO:iobs/IORW1.D:1
AUTO_TS_P2F:FROM:A_FSB<19>:TO:iobs/IORW1.D:1
AUTO_TS_P2F:FROM:nWE_FSB:TO:iobs/IORW1.D:1
AUTO_TS_P2F:FROM:A_FSB<20>:TO:iobs/IORW1.D:1
AUTO_TS_P2F:FROM:A_FSB<18>:TO:iobs/IORW1.D:1
AUTO_TS_P2F:FROM:A_FSB<17>:TO:iobs/IORW1.D:1
AUTO_TS_P2F:FROM:A_FSB<16>:TO:iobs/IORW1.D:1
AUTO_TS_F2F:FROM:fsb/ASrf.Q:TO:iobs/IOReady.D:1
AUTO_TS_F2F:FROM:iobs/IOReady.Q:TO:iobs/IOReady.D:1
AUTO_TS_F2F:FROM:iobs/Once.Q:TO:iobs/IOReady.D:1
AUTO_TS_F2F:FROM:iobs/PS_FSM_FFd2.Q:TO:iobs/IOReady.D:1
AUTO_TS_F2F:FROM:nADoutLE1_OBUF.Q:TO:iobs/IOReady.D:1
AUTO_TS_F2F:FROM:iobs/IOACTr.Q:TO:iobs/IOReady.D:1
AUTO_TS_F2F:FROM:IOBERR.Q:TO:iobs/IOReady.D:1
AUTO_TS_P2F:FROM:nAS_FSB:TO:iobs/IOReady.D:1
AUTO_TS_P2F:FROM:FCLK:TO:iobs/IOReady.D:1
AUTO_TS_P2F:FROM:C16M:TO:iobs/IOReady.D:1
AUTO_TS_F2F:FROM:iobs/Load1.Q:TO:iobs/IOU1.CE:1
AUTO_TS_P2F:FROM:FCLK:TO:iobs/IOU1.CE:1
AUTO_TS_F2F:FROM:fsb/ASrf.Q:TO:nBERR_FSB_OBUF.D:1
AUTO_TS_F2F:FROM:nBERR_FSB_OBUF.Q:TO:nBERR_FSB_OBUF.D:1
AUTO_TS_F2F:FROM:iobs/Once.Q:TO:nBERR_FSB_OBUF.D:1
AUTO_TS_F2F:FROM:iobs/PS_FSM_FFd2.Q:TO:nBERR_FSB_OBUF.D:1
AUTO_TS_F2F:FROM:nADoutLE1_OBUF.Q:TO:nBERR_FSB_OBUF.D:1
AUTO_TS_F2F:FROM:iobs/IOACTr.Q:TO:nBERR_FSB_OBUF.D:1
AUTO_TS_F2F:FROM:IOBERR.Q:TO:nBERR_FSB_OBUF.D:1
AUTO_TS_P2F:FROM:nAS_FSB:TO:nBERR_FSB_OBUF.D:1
AUTO_TS_P2F:FROM:FCLK:TO:nBERR_FSB_OBUF.D:1
AUTO_TS_P2F:FROM:C16M:TO:nBERR_FSB_OBUF.D:1
AUTO_TS_F2F:FROM:fsb/Ready1r.Q:TO:nDTACK_FSB_OBUF.D:1
AUTO_TS_F2F:FROM:iobs/IOReady.Q:TO:nDTACK_FSB_OBUF.D:1
AUTO_TS_F2F:FROM:fsb/ASrf.Q:TO:nDTACK_FSB_OBUF.D:1
AUTO_TS_F2F:FROM:nDTACK_FSB_OBUF.Q:TO:nDTACK_FSB_OBUF.D:1
AUTO_TS_F2F:FROM:cs/nOverlay1.Q:TO:nDTACK_FSB_OBUF.D:1
AUTO_TS_F2F:FROM:fsb/Ready0r.Q:TO:nDTACK_FSB_OBUF.D:1
AUTO_TS_F2F:FROM:ram/RAMReady.Q:TO:nDTACK_FSB_OBUF.D:1
AUTO_TS_F2F:FROM:nADoutLE1_OBUF.Q:TO:nDTACK_FSB_OBUF.D:1
AUTO_TS_P2F:FROM:A_FSB<9>:TO:nDTACK_FSB_OBUF.D:1
AUTO_TS_P2F:FROM:A_FSB<8>:TO:nDTACK_FSB_OBUF.D:1
AUTO_TS_P2F:FROM:A_FSB<15>:TO:nDTACK_FSB_OBUF.D:1
AUTO_TS_P2F:FROM:A_FSB<14>:TO:nDTACK_FSB_OBUF.D:1
AUTO_TS_P2F:FROM:A_FSB<13>:TO:nDTACK_FSB_OBUF.D:1
AUTO_TS_P2F:FROM:A_FSB<12>:TO:nDTACK_FSB_OBUF.D:1
AUTO_TS_P2F:FROM:A_FSB<11>:TO:nDTACK_FSB_OBUF.D:1
AUTO_TS_P2F:FROM:A_FSB<10>:TO:nDTACK_FSB_OBUF.D:1
AUTO_TS_P2F:FROM:A_FSB<23>:TO:nDTACK_FSB_OBUF.D:1
AUTO_TS_P2F:FROM:A_FSB<22>:TO:nDTACK_FSB_OBUF.D:1
AUTO_TS_P2F:FROM:A_FSB<21>:TO:nDTACK_FSB_OBUF.D:1
AUTO_TS_P2F:FROM:A_FSB<20>:TO:nDTACK_FSB_OBUF.D:1
AUTO_TS_P2F:FROM:A_FSB<19>:TO:nDTACK_FSB_OBUF.D:1
AUTO_TS_P2F:FROM:A_FSB<18>:TO:nDTACK_FSB_OBUF.D:1
AUTO_TS_P2F:FROM:A_FSB<17>:TO:nDTACK_FSB_OBUF.D:1
AUTO_TS_P2F:FROM:A_FSB<16>:TO:nDTACK_FSB_OBUF.D:1
AUTO_TS_P2F:FROM:FCLK:TO:nDTACK_FSB_OBUF.D:1
AUTO_TS_P2F:FROM:nAS_FSB:TO:nDTACK_FSB_OBUF.D:1
AUTO_TS_P2F:FROM:nWE_FSB:TO:nDTACK_FSB_OBUF.D:1
AUTO_TS_F2F:FROM:nBR_IOB_OBUF.Q:TO:nBR_IOB_OBUF.D:1
AUTO_TS_F2F:FROM:cnt/INITS_FSM_FFd1.Q:TO:nBR_IOB_OBUF.D:1
AUTO_TS_F2F:FROM:cnt/INITS_FSM_FFd2.Q:TO:nBR_IOB_OBUF.D:1
AUTO_TS_F2F:FROM:cnt/nIPL2r.Q:TO:nBR_IOB_OBUF.D:1
AUTO_TS_P2F:FROM:FCLK:TO:nBR_IOB_OBUF.D:1
AUTO_TS_F2F:FROM:ram/RS_FSM_FFd2.Q:TO:ram/RASEL.D:1
AUTO_TS_F2F:FROM:ram/RS_FSM_FFd3.Q:TO:ram/RASEL.D:1
AUTO_TS_F2F:FROM:ram/RefUrg.Q:TO:ram/RASEL.D:1
AUTO_TS_F2F:FROM:ram/RefReq.Q:TO:ram/RASEL.D:1
AUTO_TS_F2F:FROM:cs/nOverlay1.Q:TO:ram/RASEL.D:1
AUTO_TS_F2F:FROM:ram/BACTr.Q:TO:ram/RASEL.D:1
AUTO_TS_F2F:FROM:ram/RAMEN.Q:TO:ram/RASEL.D:1
AUTO_TS_F2F:FROM:fsb/ASrf.Q:TO:ram/RASEL.D:1
AUTO_TS_F2F:FROM:ram/RS_FSM_FFd1.Q:TO:ram/RASEL.D:1
AUTO_TS_P2F:FROM:A_FSB<22>:TO:ram/RASEL.D:1
AUTO_TS_P2F:FROM:A_FSB<21>:TO:ram/RASEL.D:1
AUTO_TS_P2F:FROM:FCLK:TO:ram/RASEL.D:1
AUTO_TS_P2F:FROM:A_FSB<23>:TO:ram/RASEL.D:1
AUTO_TS_P2F:FROM:nAS_FSB:TO:ram/RASEL.D:1
AUTO_TS_F2F:FROM:ram/RS_FSM_FFd3.Q:TO:ram/RS_FSM_FFd1.D:1
AUTO_TS_F2F:FROM:ram/RS_FSM_FFd2.Q:TO:ram/RS_FSM_FFd1.D:1
AUTO_TS_F2F:FROM:ram/RS_FSM_FFd1.Q:TO:ram/RS_FSM_FFd1.D:1
AUTO_TS_P2F:FROM:FCLK:TO:ram/RS_FSM_FFd1.D:1
AUTO_TS_F2F:FROM:ram/RS_FSM_FFd2.Q:TO:ram/RS_FSM_FFd2.D:1
AUTO_TS_F2F:FROM:ram/RS_FSM_FFd3.Q:TO:ram/RS_FSM_FFd2.D:1
AUTO_TS_F2F:FROM:ram/RefUrg.Q:TO:ram/RS_FSM_FFd2.D:1
AUTO_TS_F2F:FROM:ram/RS_FSM_FFd1.Q:TO:ram/RS_FSM_FFd2.D:1
AUTO_TS_F2F:FROM:fsb/ASrf.Q:TO:ram/RS_FSM_FFd2.D:1
AUTO_TS_F2F:FROM:ram/RAMEN.Q:TO:ram/RS_FSM_FFd2.D:1
AUTO_TS_F2F:FROM:cs/nOverlay1.Q:TO:ram/RS_FSM_FFd2.D:1
AUTO_TS_P2F:FROM:A_FSB<23>:TO:ram/RS_FSM_FFd2.D:1
AUTO_TS_P2F:FROM:FCLK:TO:ram/RS_FSM_FFd2.D:1
AUTO_TS_P2F:FROM:nAS_FSB:TO:ram/RS_FSM_FFd2.D:1
AUTO_TS_P2F:FROM:A_FSB<22>:TO:ram/RS_FSM_FFd2.D:1
AUTO_TS_P2F:FROM:A_FSB<21>:TO:ram/RS_FSM_FFd2.D:1
AUTO_TS_F2F:FROM:ram/RS_FSM_FFd2.Q:TO:ram/RS_FSM_FFd3.D:1
AUTO_TS_F2F:FROM:ram/RS_FSM_FFd3.Q:TO:ram/RS_FSM_FFd3.D:1
AUTO_TS_F2F:FROM:ram/RS_FSM_FFd1.Q:TO:ram/RS_FSM_FFd3.D:1
AUTO_TS_F2F:FROM:ram/RefUrg.Q:TO:ram/RS_FSM_FFd3.D:1
AUTO_TS_F2F:FROM:ram/RefReq.Q:TO:ram/RS_FSM_FFd3.D:1
AUTO_TS_F2F:FROM:cs/nOverlay1.Q:TO:ram/RS_FSM_FFd3.D:1
AUTO_TS_F2F:FROM:ram/RAMEN.Q:TO:ram/RS_FSM_FFd3.D:1
AUTO_TS_F2F:FROM:fsb/ASrf.Q:TO:ram/RS_FSM_FFd3.D:1
AUTO_TS_F2F:FROM:ram/BACTr.Q:TO:ram/RS_FSM_FFd3.D:1
AUTO_TS_P2F:FROM:A_FSB<22>:TO:ram/RS_FSM_FFd3.D:1
AUTO_TS_P2F:FROM:A_FSB<21>:TO:ram/RS_FSM_FFd3.D:1
AUTO_TS_P2F:FROM:FCLK:TO:ram/RS_FSM_FFd3.D:1
AUTO_TS_P2F:FROM:A_FSB<23>:TO:ram/RS_FSM_FFd3.D:1
AUTO_TS_P2F:FROM:nAS_FSB:TO:ram/RS_FSM_FFd3.D:1
AUTO_TS_F2F:FROM:iobs/Once.Q:TO:iobs/PS_FSM_FFd2.D:1
AUTO_TS_F2F:FROM:iobs/PS_FSM_FFd2.Q:TO:iobs/PS_FSM_FFd2.D:1
AUTO_TS_F2F:FROM:iobs/PS_FSM_FFd1.Q:TO:iobs/PS_FSM_FFd2.D:1
AUTO_TS_F2F:FROM:cs/nOverlay1.Q:TO:iobs/PS_FSM_FFd2.D:1
AUTO_TS_F2F:FROM:fsb/ASrf.Q:TO:iobs/PS_FSM_FFd2.D:1
AUTO_TS_F2F:FROM:iobs/IOACTr.Q:TO:iobs/PS_FSM_FFd2.D:1
AUTO_TS_F2F:FROM:nADoutLE1_OBUF.Q:TO:iobs/PS_FSM_FFd2.D:1
AUTO_TS_P2F:FROM:A_FSB<14>:TO:iobs/PS_FSM_FFd2.D:1
AUTO_TS_P2F:FROM:A_FSB<21>:TO:iobs/PS_FSM_FFd2.D:1
AUTO_TS_P2F:FROM:A_FSB<20>:TO:iobs/PS_FSM_FFd2.D:1
AUTO_TS_P2F:FROM:A_FSB<19>:TO:iobs/PS_FSM_FFd2.D:1
AUTO_TS_P2F:FROM:A_FSB<18>:TO:iobs/PS_FSM_FFd2.D:1
AUTO_TS_P2F:FROM:A_FSB<17>:TO:iobs/PS_FSM_FFd2.D:1
AUTO_TS_P2F:FROM:A_FSB<16>:TO:iobs/PS_FSM_FFd2.D:1
AUTO_TS_P2F:FROM:nAS_FSB:TO:iobs/PS_FSM_FFd2.D:1
AUTO_TS_P2F:FROM:nWE_FSB:TO:iobs/PS_FSM_FFd2.D:1
AUTO_TS_P2F:FROM:FCLK:TO:iobs/PS_FSM_FFd2.D:1
AUTO_TS_P2F:FROM:A_FSB<13>:TO:iobs/PS_FSM_FFd2.D:1
AUTO_TS_P2F:FROM:A_FSB<23>:TO:iobs/PS_FSM_FFd2.D:1
AUTO_TS_P2F:FROM:A_FSB<22>:TO:iobs/PS_FSM_FFd2.D:1
AUTO_TS_F2F:FROM:ram/RefDone.Q:TO:ram/RefUrg.D:1
AUTO_TS_F2F:FROM:ram/RegUrgSync.Q:TO:ram/RefUrg.D:1
AUTO_TS_P2F:FROM:FCLK:TO:ram/RefUrg.D:1
AUTO_TS_F2F:FROM:iobs/PS_FSM_FFd2.Q:TO:iobs/PS_FSM_FFd1.D:1
AUTO_TS_F2F:FROM:iobs/PS_FSM_FFd1.Q:TO:iobs/PS_FSM_FFd1.D:1
AUTO_TS_F2F:FROM:iobs/IOACTr.Q:TO:iobs/PS_FSM_FFd1.D:1
AUTO_TS_P2F:FROM:FCLK:TO:iobs/PS_FSM_FFd1.D:1
AUTO_TS_F2F:FROM:cnt/Er<0>.Q:TO:cnt/INITS_FSM_FFd1.D:1
AUTO_TS_F2F:FROM:cnt/Er<1>.Q:TO:cnt/INITS_FSM_FFd1.D:1
AUTO_TS_F2F:FROM:cnt/TimerTC.Q:TO:cnt/INITS_FSM_FFd1.D:1
AUTO_TS_F2F:FROM:cnt/LTimerTC.Q:TO:cnt/INITS_FSM_FFd1.D:1
AUTO_TS_F2F:FROM:cnt/INITS_FSM_FFd1.Q:TO:cnt/INITS_FSM_FFd1.D:1
AUTO_TS_F2F:FROM:cnt/INITS_FSM_FFd2.Q:TO:cnt/INITS_FSM_FFd1.D:1
AUTO_TS_F2F:FROM:cnt/nIPL2r.Q:TO:cnt/INITS_FSM_FFd1.D:1
AUTO_TS_P2F:FROM:FCLK:TO:cnt/INITS_FSM_FFd1.D:1
AUTO_TS_F2F:FROM:cnt/Er<0>.Q:TO:cnt/INITS_FSM_FFd2.D:1
AUTO_TS_F2F:FROM:cnt/Er<1>.Q:TO:cnt/INITS_FSM_FFd2.D:1
AUTO_TS_F2F:FROM:cnt/TimerTC.Q:TO:cnt/INITS_FSM_FFd2.D:1
AUTO_TS_F2F:FROM:cnt/LTimerTC.Q:TO:cnt/INITS_FSM_FFd2.D:1
AUTO_TS_F2F:FROM:cnt/INITS_FSM_FFd1.Q:TO:cnt/INITS_FSM_FFd2.D:1
AUTO_TS_F2F:FROM:cnt/INITS_FSM_FFd2.Q:TO:cnt/INITS_FSM_FFd2.D:1
AUTO_TS_P2F:FROM:FCLK:TO:cnt/INITS_FSM_FFd2.D:1
AUTO_TS_F2F:FROM:ram/RS_FSM_FFd3.Q:TO:ram/RAMEN.D:1
AUTO_TS_F2F:FROM:ram/RAMEN.Q:TO:ram/RAMEN.D:1
AUTO_TS_F2F:FROM:ram/RS_FSM_FFd2.Q:TO:ram/RAMEN.D:1
AUTO_TS_F2F:FROM:ram/RS_FSM_FFd1.Q:TO:ram/RAMEN.D:1
AUTO_TS_F2F:FROM:ram/RefUrg.Q:TO:ram/RAMEN.D:1
AUTO_TS_F2F:FROM:ram/BACTr.Q:TO:ram/RAMEN.D:1
AUTO_TS_F2F:FROM:fsb/ASrf.Q:TO:ram/RAMEN.D:1
AUTO_TS_F2F:FROM:ram/RefReq.Q:TO:ram/RAMEN.D:1
AUTO_TS_F2F:FROM:cs/nOverlay1.Q:TO:ram/RAMEN.D:1
AUTO_TS_P2F:FROM:FCLK:TO:ram/RAMEN.D:1
AUTO_TS_P2F:FROM:nAS_FSB:TO:ram/RAMEN.D:1
AUTO_TS_P2F:FROM:A_FSB<23>:TO:ram/RAMEN.D:1
AUTO_TS_P2F:FROM:A_FSB<22>:TO:ram/RAMEN.D:1
AUTO_TS_P2F:FROM:A_FSB<21>:TO:ram/RAMEN.D:1
AUTO_TS_F2F:FROM:iobs/Once.Q:TO:iobs/Load1.D:1
AUTO_TS_F2F:FROM:nADoutLE1_OBUF.Q:TO:iobs/Load1.D:1
AUTO_TS_F2F:FROM:cs/nOverlay1.Q:TO:iobs/Load1.D:1
AUTO_TS_F2F:FROM:fsb/ASrf.Q:TO:iobs/Load1.D:1
AUTO_TS_F2F:FROM:iobs/PS_FSM_FFd2.Q:TO:iobs/Load1.D:1
AUTO_TS_F2F:FROM:iobs/PS_FSM_FFd1.Q:TO:iobs/Load1.D:1
AUTO_TS_P2F:FROM:FCLK:TO:iobs/Load1.D:1
AUTO_TS_P2F:FROM:A_FSB<23>:TO:iobs/Load1.D:1
AUTO_TS_P2F:FROM:A_FSB<22>:TO:iobs/Load1.D:1
AUTO_TS_P2F:FROM:A_FSB<21>:TO:iobs/Load1.D:1
AUTO_TS_P2F:FROM:A_FSB<19>:TO:iobs/Load1.D:1
AUTO_TS_P2F:FROM:A_FSB<16>:TO:iobs/Load1.D:1
AUTO_TS_P2F:FROM:A_FSB<20>:TO:iobs/Load1.D:1
AUTO_TS_P2F:FROM:A_FSB<18>:TO:iobs/Load1.D:1
AUTO_TS_P2F:FROM:A_FSB<17>:TO:iobs/Load1.D:1
AUTO_TS_P2F:FROM:A_FSB<14>:TO:iobs/Load1.D:1
AUTO_TS_P2F:FROM:A_FSB<13>:TO:iobs/Load1.D:1
AUTO_TS_P2F:FROM:nAS_FSB:TO:iobs/Load1.D:1
AUTO_TS_P2F:FROM:nWE_FSB:TO:iobs/Load1.D:1
AUTO_TS_F2F:FROM:fsb/ASrf.Q:TO:ram/BACTr.D:1
AUTO_TS_P2F:FROM:nAS_FSB:TO:ram/BACTr.D:1
AUTO_TS_P2F:FROM:FCLK:TO:ram/BACTr.D:1
AUTO_TS_F2F:FROM:ram/RefDone.Q:TO:ram/RefReq.D:1
AUTO_TS_F2F:FROM:ram/RefReqSync.Q:TO:ram/RefReq.D:1
AUTO_TS_P2F:FROM:FCLK:TO:ram/RefReq.D:1
AUTO_TS_F2F:FROM:RefReq.Q:TO:ram/RefReqSync.D:1
AUTO_TS_P2F:FROM:FCLK:TO:ram/RefReqSync.D:1
AUTO_TS_F2F:FROM:iobs/PS_FSM_FFd2.Q:TO:ALE0S.D:1
AUTO_TS_F2F:FROM:iobs/PS_FSM_FFd1.Q:TO:ALE0S.D:1
AUTO_TS_P2F:FROM:FCLK:TO:ALE0S.D:1
AUTO_TS_F2F:FROM:iobs/Once.Q:TO:IOREQ.D:1
AUTO_TS_F2F:FROM:fsb/ASrf.Q:TO:IOREQ.D:1
AUTO_TS_F2F:FROM:iobs/PS_FSM_FFd1.Q:TO:IOREQ.D:1
AUTO_TS_F2F:FROM:cs/nOverlay1.Q:TO:IOREQ.D:1
AUTO_TS_F2F:FROM:iobs/PS_FSM_FFd2.Q:TO:IOREQ.D:1
AUTO_TS_F2F:FROM:iobs/IOACTr.Q:TO:IOREQ.D:1
AUTO_TS_F2F:FROM:nADoutLE1_OBUF.Q:TO:IOREQ.D:1
AUTO_TS_P2F:FROM:A_FSB<22>:TO:IOREQ.D:1
AUTO_TS_P2F:FROM:A_FSB<20>:TO:IOREQ.D:1
AUTO_TS_P2F:FROM:FCLK:TO:IOREQ.D:1
AUTO_TS_P2F:FROM:A_FSB<14>:TO:IOREQ.D:1
AUTO_TS_P2F:FROM:A_FSB<21>:TO:IOREQ.D:1
AUTO_TS_P2F:FROM:A_FSB<19>:TO:IOREQ.D:1
AUTO_TS_P2F:FROM:A_FSB<18>:TO:IOREQ.D:1
AUTO_TS_P2F:FROM:A_FSB<17>:TO:IOREQ.D:1
AUTO_TS_P2F:FROM:A_FSB<16>:TO:IOREQ.D:1
AUTO_TS_P2F:FROM:nAS_FSB:TO:IOREQ.D:1
AUTO_TS_P2F:FROM:nWE_FSB:TO:IOREQ.D:1
AUTO_TS_P2F:FROM:A_FSB<13>:TO:IOREQ.D:1
AUTO_TS_P2F:FROM:A_FSB<23>:TO:IOREQ.D:1
AUTO_TS_F2F:FROM:cnt/Er<0>.Q:TO:cnt/Er<1>.D:1
AUTO_TS_P2F:FROM:FCLK:TO:cnt/Er<1>.D:1
AUTO_TS_F2F:FROM:iobs/PS_FSM_FFd2.Q:TO:iobs/Clear1.D:1
AUTO_TS_F2F:FROM:iobs/PS_FSM_FFd1.Q:TO:iobs/Clear1.D:1
AUTO_TS_F2F:FROM:nADoutLE1_OBUF.Q:TO:iobs/Clear1.D:1
AUTO_TS_P2F:FROM:FCLK:TO:iobs/Clear1.D:1
AUTO_TS_F2F:FROM:cnt/INITS_FSM_FFd1.Q:TO:nRESout.D:1
AUTO_TS_F2F:FROM:cnt/INITS_FSM_FFd2.Q:TO:nRESout.D:1
AUTO_TS_P2F:FROM:FCLK:TO:nRESout.D:1
AUTO_TS_F2F:FROM:cs/nOverlay1.Q:TO:ram/RAMReady.D:1
AUTO_TS_F2F:FROM:ram/RS_FSM_FFd2.Q:TO:ram/RAMReady.D:1
AUTO_TS_F2F:FROM:ram/RS_FSM_FFd3.Q:TO:ram/RAMReady.D:1
AUTO_TS_F2F:FROM:ram/RS_FSM_FFd1.Q:TO:ram/RAMReady.D:1
AUTO_TS_F2F:FROM:ram/RefUrg.Q:TO:ram/RAMReady.D:1
AUTO_TS_F2F:FROM:ram/RefReq.Q:TO:ram/RAMReady.D:1
AUTO_TS_F2F:FROM:ram/BACTr.Q:TO:ram/RAMReady.D:1
AUTO_TS_F2F:FROM:fsb/ASrf.Q:TO:ram/RAMReady.D:1
AUTO_TS_F2F:FROM:ram/RAMEN.Q:TO:ram/RAMReady.D:1
AUTO_TS_P2F:FROM:A_FSB<22>:TO:ram/RAMReady.D:1
AUTO_TS_P2F:FROM:FCLK:TO:ram/RAMReady.D:1
AUTO_TS_P2F:FROM:A_FSB<23>:TO:ram/RAMReady.D:1
AUTO_TS_P2F:FROM:nAS_FSB:TO:ram/RAMReady.D:1
AUTO_TS_P2F:FROM:A_FSB<21>:TO:ram/RAMReady.D:1
AUTO_TS_F2F:FROM:RefUrg.Q:TO:ram/RegUrgSync.D:1
AUTO_TS_P2F:FROM:FCLK:TO:ram/RegUrgSync.D:1
AUTO_TS_F2F:FROM:ram/RASEL.Q:TO:nCAS_OBUF.D:1
AUTO_TS_P2F:FROM:FCLK:TO:nCAS_OBUF.D:1
AUTO_TS_F2F:FROM:iobs/Load1.Q:TO:nADoutLE1_OBUF.D:1
AUTO_TS_F2F:FROM:nADoutLE1_OBUF.Q:TO:nADoutLE1_OBUF.D:1
AUTO_TS_F2F:FROM:iobs/Clear1.Q:TO:nADoutLE1_OBUF.D:1
AUTO_TS_P2F:FROM:FCLK:TO:nADoutLE1_OBUF.D:1
AUTO_TS_F2F:FROM:nAoutOE_OBUF.Q:TO:nAoutOE_OBUF.D:1
AUTO_TS_F2F:FROM:cnt/INITS_FSM_FFd1.Q:TO:nAoutOE_OBUF.D:1
AUTO_TS_F2F:FROM:cnt/INITS_FSM_FFd2.Q:TO:nAoutOE_OBUF.D:1
AUTO_TS_F2F:FROM:nBR_IOB_OBUF.Q:TO:nAoutOE_OBUF.D:1
AUTO_TS_P2F:FROM:FCLK:TO:nAoutOE_OBUF.D:1
AUTO_TS_F2F:FROM:nVMA_IOBout.Q:TO:nVMA_IOBout.D:1
AUTO_TS_F2F:FROM:iobm/ES<0>.Q:TO:nVMA_IOBout.D:1
AUTO_TS_F2F:FROM:iobm/ES<1>.Q:TO:nVMA_IOBout.D:1
AUTO_TS_F2F:FROM:iobm/ES<2>.Q:TO:nVMA_IOBout.D:1
AUTO_TS_F2F:FROM:iobm/ES<3>.Q:TO:nVMA_IOBout.D:1
AUTO_TS_F2F:FROM:iobm/ES<4>.Q:TO:nVMA_IOBout.D:1
AUTO_TS_F2F:FROM:IOACT.Q:TO:nVMA_IOBout.D:1
AUTO_TS_F2F:FROM:iobm/VPArf.Q:TO:nVMA_IOBout.D:1
AUTO_TS_F2F:FROM:iobm/VPArr.Q:TO:nVMA_IOBout.D:1
AUTO_TS_P2F:FROM:C16M:TO:nVMA_IOBout.D:1
AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd1.Q:TO:nAS_IOBout.D:1
AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd2.Q:TO:nAS_IOBout.D:1
AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd3.Q:TO:nAS_IOBout.D:1
AUTO_TS_P2F:FROM:C16M:TO:nAS_IOBout.D:1
AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd1.Q:TO:nLDS_IOBout.D:1
AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd2.Q:TO:nLDS_IOBout.D:1
AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd3.Q:TO:nLDS_IOBout.D:1
AUTO_TS_F2F:FROM:IOL0.Q:TO:nLDS_IOBout.D:1
AUTO_TS_F2F:FROM:IORW0.Q:TO:nLDS_IOBout.D:1
AUTO_TS_P2F:FROM:C16M:TO:nLDS_IOBout.D:1
AUTO_TS_P2F:FROM:FCLK:TO:nLDS_IOBout.D:1
AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd1.Q:TO:nUDS_IOBout.D:1
AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd2.Q:TO:nUDS_IOBout.D:1
AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd3.Q:TO:nUDS_IOBout.D:1
AUTO_TS_F2F:FROM:IOU0.Q:TO:nUDS_IOBout.D:1
AUTO_TS_F2F:FROM:IORW0.Q:TO:nUDS_IOBout.D:1
AUTO_TS_P2F:FROM:C16M:TO:nUDS_IOBout.D:1
AUTO_TS_P2F:FROM:FCLK:TO:nUDS_IOBout.D:1
AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd1.Q:TO:iobm/IOS_FSM_FFd1.D:1
AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd3.Q:TO:iobm/IOS_FSM_FFd1.D:1
AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd2.Q:TO:iobm/IOS_FSM_FFd1.D:1
AUTO_TS_P2F:FROM:C16M:TO:iobm/IOS_FSM_FFd1.D:1
AUTO_TS_F2F:FROM:iobm/ES<0>.Q:TO:iobm/ES<0>.D:1
AUTO_TS_F2F:FROM:iobm/Er2.Q:TO:iobm/ES<0>.D:1
AUTO_TS_F2F:FROM:iobm/ES<1>.Q:TO:iobm/ES<0>.D:1
AUTO_TS_F2F:FROM:iobm/ES<2>.Q:TO:iobm/ES<0>.D:1
AUTO_TS_F2F:FROM:iobm/ES<3>.Q:TO:iobm/ES<0>.D:1
AUTO_TS_F2F:FROM:iobm/ES<4>.Q:TO:iobm/ES<0>.D:1
AUTO_TS_F2F:FROM:iobm/Er.Q:TO:iobm/ES<0>.D:1
AUTO_TS_P2F:FROM:C16M:TO:iobm/ES<0>.D:1
AUTO_TS_P2F:FROM:C8M:TO:iobm/ES<0>.D:1
AUTO_TS_F2F:FROM:iobm/ES<0>.Q:TO:iobm/ES<1>.D:1
AUTO_TS_F2F:FROM:iobm/ES<1>.Q:TO:iobm/ES<1>.D:1
AUTO_TS_F2F:FROM:iobm/Er2.Q:TO:iobm/ES<1>.D:1
AUTO_TS_F2F:FROM:iobm/Er.Q:TO:iobm/ES<1>.D:1
AUTO_TS_P2F:FROM:C16M:TO:iobm/ES<1>.D:1
AUTO_TS_P2F:FROM:C8M:TO:iobm/ES<1>.D:1
AUTO_TS_F2F:FROM:iobm/ES<0>.Q:TO:iobm/ES<2>.D:1
AUTO_TS_F2F:FROM:iobm/ES<2>.Q:TO:iobm/ES<2>.D:1
AUTO_TS_F2F:FROM:iobm/ES<1>.Q:TO:iobm/ES<2>.D:1
AUTO_TS_F2F:FROM:iobm/Er2.Q:TO:iobm/ES<2>.D:1
AUTO_TS_F2F:FROM:iobm/ES<3>.Q:TO:iobm/ES<2>.D:1
AUTO_TS_F2F:FROM:iobm/ES<4>.Q:TO:iobm/ES<2>.D:1
AUTO_TS_F2F:FROM:iobm/Er.Q:TO:iobm/ES<2>.D:1
AUTO_TS_P2F:FROM:C16M:TO:iobm/ES<2>.D:1
AUTO_TS_P2F:FROM:C8M:TO:iobm/ES<2>.D:1
AUTO_TS_F2F:FROM:iobm/ES<3>.Q:TO:iobm/ES<3>.D:1
AUTO_TS_F2F:FROM:iobm/Er2.Q:TO:iobm/ES<3>.D:1
AUTO_TS_F2F:FROM:iobm/ES<0>.Q:TO:iobm/ES<3>.D:1
AUTO_TS_F2F:FROM:iobm/ES<1>.Q:TO:iobm/ES<3>.D:1
AUTO_TS_F2F:FROM:iobm/ES<2>.Q:TO:iobm/ES<3>.D:1
AUTO_TS_F2F:FROM:iobm/Er.Q:TO:iobm/ES<3>.D:1
AUTO_TS_P2F:FROM:C16M:TO:iobm/ES<3>.D:1
AUTO_TS_P2F:FROM:C8M:TO:iobm/ES<3>.D:1
AUTO_TS_F2F:FROM:iobm/ES<4>.Q:TO:iobm/ES<4>.D:1
AUTO_TS_F2F:FROM:iobm/Er2.Q:TO:iobm/ES<4>.D:1
AUTO_TS_F2F:FROM:iobm/ES<0>.Q:TO:iobm/ES<4>.D:1
AUTO_TS_F2F:FROM:iobm/ES<1>.Q:TO:iobm/ES<4>.D:1
AUTO_TS_F2F:FROM:iobm/ES<2>.Q:TO:iobm/ES<4>.D:1
AUTO_TS_F2F:FROM:iobm/ES<3>.Q:TO:iobm/ES<4>.D:1
AUTO_TS_F2F:FROM:iobm/Er.Q:TO:iobm/ES<4>.D:1
AUTO_TS_P2F:FROM:C16M:TO:iobm/ES<4>.D:1
AUTO_TS_P2F:FROM:C8M:TO:iobm/ES<4>.D:1
AUTO_TS_F2F:FROM:nVMA_IOBout.Q:TO:iobm/ETACK.D:1
AUTO_TS_F2F:FROM:iobm/ES<0>.Q:TO:iobm/ETACK.D:1
AUTO_TS_F2F:FROM:iobm/ES<1>.Q:TO:iobm/ETACK.D:1
AUTO_TS_F2F:FROM:iobm/ES<2>.Q:TO:iobm/ETACK.D:1
AUTO_TS_F2F:FROM:iobm/ES<3>.Q:TO:iobm/ETACK.D:1
AUTO_TS_F2F:FROM:iobm/ES<4>.Q:TO:iobm/ETACK.D:1
AUTO_TS_P2F:FROM:C16M:TO:iobm/ETACK.D:1
AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd1.Q:TO:ALE0M.D:1
AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd2.Q:TO:ALE0M.D:1
AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd3.Q:TO:ALE0M.D:1
AUTO_TS_F2F:FROM:iobm/IOREQr.Q:TO:ALE0M.D:1
AUTO_TS_P2F:FROM:C16M:TO:ALE0M.D:1
AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd2.Q:TO:iobm/DoutOE.D:1
AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd3.Q:TO:iobm/DoutOE.D:1
AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd1.Q:TO:iobm/DoutOE.D:1
AUTO_TS_F2F:FROM:iobm/IOREQr.Q:TO:iobm/DoutOE.D:1
AUTO_TS_F2F:FROM:IORW0.Q:TO:iobm/DoutOE.D:1
AUTO_TS_F2F:FROM:nAoutOE_OBUF.Q:TO:iobm/DoutOE.D:1
AUTO_TS_P2F:FROM:C16M:TO:iobm/DoutOE.D:1
AUTO_TS_P2F:FROM:FCLK:TO:iobm/DoutOE.D:1
AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd1.Q:TO:nDinLE_OBUF.D:1
AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd2.Q:TO:nDinLE_OBUF.D:1
AUTO_TS_P2F:FROM:C16M:TO:nDinLE_OBUF.D:1
AUTO_TS_F2F:FROM:IOACT.Q:TO:iobs/IOACTr.D:1
AUTO_TS_P2F:FROM:C16M:TO:iobs/IOACTr.D:1
AUTO_TS_F2F:FROM:IOREQ.Q:TO:iobm/IOREQr.D:1
AUTO_TS_P2F:FROM:FCLK:TO:iobm/IOREQr.D:1
AUTO_TS_F2F:FROM:iobm/Er.Q:TO:iobm/Er2.D:1
AUTO_TS_P2F:FROM:C8M:TO:iobm/Er2.D:1
AUTO_TS_F2F:FROM:nRESout.Q:TO:iobm/RESrf.D:1
AUTO_TS_P2F:FROM:nRES:TO:iobm/RESrf.D:1
AUTO_TS_P2F:FROM:FCLK:TO:iobm/RESrf.D:1
AUTO_TS_F2F:FROM:nRESout.Q:TO:iobm/RESrr.D:1
AUTO_TS_P2F:FROM:nRES:TO:iobm/RESrr.D:1
AUTO_TS_P2F:FROM:FCLK:TO:iobm/RESrr.D:1
AUTO_TS_P2F:FROM:C16M:TO:C16M_IBUF/FCLK:1
AUTO_TS_P2F:FROM:C16M:TO:C16M_IBUF/FCLK-:1
AUTO_TS_P2F:FROM:FCLK:TO:FCLK_IBUF/FCLK:1
AUTO_TS_P2F:FROM:nLDS_FSB:TO:iobs/IOL1.D:1
AUTO_TS_P2F:FROM:nUDS_FSB:TO:iobs/IOU1.D:1
AUTO_TS_P2F:FROM:E:TO:iobm/Er.D:1
AUTO_TS_P2F:FROM:C8M:TO:C8M_IBUF/FCLK-:1
AUTO_TS_P2F:FROM:E:TO:cnt/Er<0>.D:1
AUTO_TS_P2F:FROM:nIPL2:TO:cnt/nIPL2r.D:1
AUTO_TS_P2F:FROM:nDTACK_IOB:TO:iobm/DTACKrf.D:1
AUTO_TS_P2F:FROM:nDTACK_IOB:TO:iobm/DTACKrr.D:1
AUTO_TS_P2F:FROM:nVPA_IOB:TO:iobm/VPArf.D:1
AUTO_TS_P2F:FROM:nVPA_IOB:TO:iobm/VPArr.D:1
AUTO_TS_P2F:FROM:nAS_FSB:TO:fsb/ASrf.D:1
AUTO_TS_P2F:FROM:FCLK:TO:FCLK_IBUF/FCLK-:1
AUTO_TS_P2F:FROM:nBERR_IOB:TO:iobm/BERRrf.D:1
AUTO_TS_P2F:FROM:nBERR_IOB:TO:iobm/BERRrr.D:1

153
cpld/XC95144XL/WarpSE.v Normal file
View File

@ -0,0 +1,153 @@
module WarpSE(
input [23:1] A_FSB,
input nAS_FSB,
input nLDS_FSB,
input nUDS_FSB,
input nWE_FSB,
output nDTACK_FSB,
output nVPA_FSB,
output nBERR_FSB,
input FCLK,
input C16M,
input C8M,
input E,
input nDTACK_IOB,
input nVPA_IOB,
output nVMA_IOB,
output nAS_IOB,
output nUDS_IOB,
output nLDS_IOB,
output nBR_IOB,
input nBG_IOB,
input nBERR_IOB,
inout nRES,
input nIPL2,
output nROMCS,
output nRAMLWE,
output nRAMUWE,
output nROMWE,
output nRAS,
output nCAS,
output [11:0] RA,
output nOE,
output nADoutLE0,
output nADoutLE1,
output nAoutOE,
output nDoutOE,
output nDinOE,
output nDinLE,
input [3:1] SW,
output C20MEN,
output C25MEN);
/* FSB clock oscillator enables */
// Enable both oscillators... only mount one
assign C20MEN = 0;
assign C25MEN = 1;
/* Reset input and open-drain output */
wire nRESin = nRES;
wire nRESout;
assign nRES = !nRESout ? 1'b0 : 1'bZ;
/* AS cycle detection */
wire BACT;
/* Refresh request/ack signals */
wire RefReq, RefUrg;
/* FSB chip select signals */
wire IOCS, IOPWCS, IACS;
wire ROMCS, ROMCS4X;
wire RAMCS, RAMCS0X;
CS cs(
/* MC68HC000 interface */
A_FSB[23:08], FCLK, nRESin, nWE_FSB,
/* AS cycle detection */
BACT,
/* Device select outputs */
IOCS, IOPWCS, IACS,
ROMCS, ROMCS4X,
RAMCS, RAMCS0X);
wire RAMReady;
RAM ram(
/* MC68HC000 interface */
FCLK, A_FSB[21:1], nWE_FSB, nAS_FSB, nLDS_FSB, nUDS_FSB,
/* AS cycle detection */
BACT,
/* Select and ready signals */
RAMCS, ROMCS, RAMReady,
/* Refresh Counter Interface */
RefReq, RefUrg,
/* DRAM and NOR flash interface */
RA[11:0], nRAS, nCAS,
nRAMLWE, nRAMUWE, nOE, nROMCS, nROMWE);
wire IONPReady, IOPWReady;
wire IORDREQ, IOWRREQ;
wire IOL0, IOU0;
wire ALE0S, ALE0M, ALE1;
assign nADoutLE0 = ~(ALE0S || ALE0M);
assign nADoutLE1 = ~ALE1;
wire IOACT, IODONE, IOBERR;
IOBS iobs(
/* MC68HC000 interface */
FCLK, nWE_FSB, nAS_FSB, nLDS_FSB, nUDS_FSB,
/* AS cycle detection */
BACT,
/* Select signals */
IOCS, IOPWCS, ROMCS,
/* FSB cycle termination outputs */
IONPReady, IOPWReady, nBERR_FSB,
/* Read data OE control */
nDinOE,
/* IOB Master Controller Interface */
IORDREQ, IOWRREQ,
IOACT, IODONE, IOBERR,
/* FIFO primary level control */
ALE0S, IOL0, IOU0,
/* FIFO secondary level control */
ALE1);
wire AoutOE;
assign nAoutOE = !AoutOE;
wire nAS_IOBout, nLDS_IOBout, nUDS_IOBout, nVMA_IOBout;
assign nAS_IOB = AoutOE ? nAS_IOBout : 1'bZ;
assign nLDS_IOB = AoutOE ? nLDS_IOBout : 1'bZ;
assign nUDS_IOB = AoutOE ? nUDS_IOBout : 1'bZ;
assign nVMA_IOB = AoutOE ? nVMA_IOBout : 1'bZ;
IOBM iobm(
/* PDS interface */
C16M, C8M, E,
nAS_IOBout, nLDS_IOBout, nUDS_IOBout, nVMA_IOBout,
nDTACK_IOB, nVPA_IOB, nBERR_IOB, nRESin,
/* PDS address and data latch control */
AoutOE, nDoutOE, ALE0M, nDinLE,
/* IO bus slave port interface */
IORDREQ, IOWRREQ, IOL0, IOU0,
IOACT, IODONE, IOBERR);
CNT cnt(
/* FSB clock and E clock inputs */
FCLK, E,
/* Refresh request */
RefReq, RefUrg,
/* Reset, button */
nRESout, nIPL2,
/* Mac PDS bus master control outputs */
AoutOE, nBR_IOB);
FSB fsb(
/* MC68HC000 interface */
FCLK, nAS_FSB, nDTACK_FSB, nVPA_FSB,
/* FSB cycle detection */
BACT,
/* Ready inputs */
ROMCS4X,
RAMCS0X, RAMReady,
IOPWCS, IOPWReady, IONPReady,
/* Interrupt acknowledge select */
IACS);
endmodule

File diff suppressed because it is too large Load Diff

View File

@ -15,94 +15,169 @@
<version xil_pn:ise_version="14.7" xil_pn:schema_version="2"/>
<files>
<file xil_pn:name="../CNT.v" xil_pn:type="FILE_VERILOG">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="1"/>
<file xil_pn:name="CNT.v" xil_pn:type="FILE_VERILOG">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="9"/>
<association xil_pn:name="Implementation" xil_pn:seqID="6"/>
</file>
<file xil_pn:name="../CS.v" xil_pn:type="FILE_VERILOG">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="2"/>
<file xil_pn:name="CS.v" xil_pn:type="FILE_VERILOG">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="10"/>
<association xil_pn:name="Implementation" xil_pn:seqID="5"/>
</file>
<file xil_pn:name="../FSB.v" xil_pn:type="FILE_VERILOG">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="3"/>
<file xil_pn:name="FSB.v" xil_pn:type="FILE_VERILOG">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="11"/>
<association xil_pn:name="Implementation" xil_pn:seqID="4"/>
</file>
<file xil_pn:name="../IOBM.v" xil_pn:type="FILE_VERILOG">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="4"/>
<file xil_pn:name="IOBM.v" xil_pn:type="FILE_VERILOG">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="12"/>
<association xil_pn:name="Implementation" xil_pn:seqID="3"/>
</file>
<file xil_pn:name="../IOBS.v" xil_pn:type="FILE_VERILOG">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="5"/>
<file xil_pn:name="IOBS.v" xil_pn:type="FILE_VERILOG">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="13"/>
<association xil_pn:name="Implementation" xil_pn:seqID="2"/>
</file>
<file xil_pn:name="../RAM.v" xil_pn:type="FILE_VERILOG">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="6"/>
<file xil_pn:name="RAM.v" xil_pn:type="FILE_VERILOG">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="14"/>
<association xil_pn:name="Implementation" xil_pn:seqID="1"/>
</file>
<file xil_pn:name="../WarpSE.v" xil_pn:type="FILE_VERILOG">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="7"/>
<file xil_pn:name="WarpSE.v" xil_pn:type="FILE_VERILOG">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="15"/>
<association xil_pn:name="Implementation" xil_pn:seqID="7"/>
</file>
<file xil_pn:name="../WarpSE-XC95144XL.ucf" xil_pn:type="FILE_UCF">
<file xil_pn:name="WarpSE-XC95144XL.ucf" xil_pn:type="FILE_UCF">
<association xil_pn:name="Implementation" xil_pn:seqID="0"/>
</file>
</files>
<properties>
<property xil_pn:name="AES Initial Vector virtex6" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="AES Key (Hex String) virtex6" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Add I/O Buffers" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Allow Logic Optimization Across Hierarchy" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Allow SelectMAP Pins to Persist" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Allow Unexpanded Blocks" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Allow Unmatched LOC Constraints" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Allow Unmatched Timing Group Constraints" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Analysis Effort Level" xil_pn:value="Standard" xil_pn:valueState="default"/>
<property xil_pn:name="Asynchronous To Synchronous" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Auto Implementation Compile Order" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Auto Implementation Top" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Automatic BRAM Packing" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Automatically Insert glbl Module in the Netlist" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Automatically Run Generate Target PROM/ACE File" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Autosignature Generation" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="BPI Reads Per Page" xil_pn:value="1" xil_pn:valueState="default"/>
<property xil_pn:name="BPI Sync Mode" xil_pn:value="Disable" xil_pn:valueState="default"/>
<property xil_pn:name="BRAM Utilization Ratio" xil_pn:value="100" xil_pn:valueState="default"/>
<property xil_pn:name="Bring Out Global Set/Reset Net as a Port" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Bring Out Global Tristate Net as a Port" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Bus Delimiter" xil_pn:value="&lt;>" xil_pn:valueState="default"/>
<property xil_pn:name="Case" xil_pn:value="Maintain" xil_pn:valueState="default"/>
<property xil_pn:name="Case Implementation Style" xil_pn:value="None" xil_pn:valueState="default"/>
<property xil_pn:name="Change Device Speed To" xil_pn:value="-10" xil_pn:valueState="default"/>
<property xil_pn:name="Change Device Speed To Post Trace" xil_pn:value="-10" xil_pn:valueState="default"/>
<property xil_pn:name="Clock Enable" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Collapsing Input Limit (2-54)" xil_pn:value="54" xil_pn:valueState="default"/>
<property xil_pn:name="Collapsing Pterm Limit (1-90)" xil_pn:value="25" xil_pn:valueState="default"/>
<property xil_pn:name="Combinatorial Logic Optimization" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Compile CPLD Simulation Library" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Compile EDK Simulation Library" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Compile SIMPRIM (Timing) Simulation Library" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Compile UNISIM (Functional) Simulation Library" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Compile XilinxCoreLib (CORE Generator) Simulation Library" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Compile for HDL Debugging" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Compile uni9000 (Functional) Simulation Library" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Configuration Clk (Configuration Pins)" xil_pn:value="Pull Up" xil_pn:valueState="default"/>
<property xil_pn:name="Configuration Pin Done" xil_pn:value="Pull Up" xil_pn:valueState="default"/>
<property xil_pn:name="Configuration Pin Init" xil_pn:value="Pull Up" xil_pn:valueState="default"/>
<property xil_pn:name="Configuration Pin M0" xil_pn:value="Pull Up" xil_pn:valueState="default"/>
<property xil_pn:name="Configuration Pin M1" xil_pn:value="Pull Up" xil_pn:valueState="default"/>
<property xil_pn:name="Configuration Pin M2" xil_pn:value="Pull Up" xil_pn:valueState="default"/>
<property xil_pn:name="Configuration Pin Program" xil_pn:value="Pull Up" xil_pn:valueState="default"/>
<property xil_pn:name="Configuration Rate virtex5" xil_pn:value="3" xil_pn:valueState="default"/>
<property xil_pn:name="Correlate Output to Input Design" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Create ASCII Configuration File" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Create Binary Configuration File" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Create Bit File" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Create I/O Pads from Ports" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Create IEEE 1532 Configuration File" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Create Logic Allocation File" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Create Mask File" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Create Programmable GND Pins on Unused I/O" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Create ReadBack Data Files" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Cross Clock Analysis" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Cycles for First BPI Page Read" xil_pn:value="1" xil_pn:valueState="default"/>
<property xil_pn:name="DCI Update Mode" xil_pn:value="As Required" xil_pn:valueState="default"/>
<property xil_pn:name="DSP Utilization Ratio" xil_pn:value="100" xil_pn:valueState="default"/>
<property xil_pn:name="Default Powerup Value of Registers" xil_pn:value="Low" xil_pn:valueState="default"/>
<property xil_pn:name="Delay Values To Be Read from SDF" xil_pn:value="Setup Time" xil_pn:valueState="default"/>
<property xil_pn:name="Device" xil_pn:value="xc95144xl" xil_pn:valueState="non-default"/>
<property xil_pn:name="Device Family" xil_pn:value="XC9500XL CPLDs" xil_pn:valueState="non-default"/>
<property xil_pn:name="Device Speed Grade/Select ABS Minimum" xil_pn:value="-10" xil_pn:valueState="default"/>
<property xil_pn:name="Disable Detailed Package Model Insertion" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Disable JTAG Connection" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Do Not Escape Signal and Instance Names in Netlist" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Done (Output Events)" xil_pn:value="Default (4)" xil_pn:valueState="default"/>
<property xil_pn:name="Enable BitStream Compression" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Enable Cyclic Redundancy Checking (CRC)" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Enable Debugging of Serial Mode BitStream" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Enable External Master Clock" xil_pn:value="Disable" xil_pn:valueState="default"/>
<property xil_pn:name="Enable Hardware Co-Simulation" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Enable Internal Done Pipe" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Enable Message Filtering" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Enable Multi-Threading" xil_pn:value="Off" xil_pn:valueState="default"/>
<property xil_pn:name="Enable Multi-Threading par virtex5" xil_pn:value="Off" xil_pn:valueState="default"/>
<property xil_pn:name="Enable Outputs (Output Events)" xil_pn:value="Default (5)" xil_pn:valueState="default"/>
<property xil_pn:name="Encrypt Bitstream virtex6" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Encrypt Key Select virtex6" xil_pn:value="BBRAM" xil_pn:valueState="default"/>
<property xil_pn:name="Equivalent Register Removal XST" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Evaluation Development Board" xil_pn:value="None Specified" xil_pn:valueState="default"/>
<property xil_pn:name="Exclude Compilation of Deprecated EDK Cores" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Exclude Compilation of EDK Sub-Libraries" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Exhaustive Fit Mode" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Extra Cost Tables Map virtex6" xil_pn:value="0" xil_pn:valueState="default"/>
<property xil_pn:name="Extra Effort (Highest PAR level only)" xil_pn:value="None" xil_pn:valueState="default"/>
<property xil_pn:name="FPGA Start-Up Clock" xil_pn:value="CCLK" xil_pn:valueState="default"/>
<property xil_pn:name="FSM Encoding Algorithm" xil_pn:value="Auto" xil_pn:valueState="default"/>
<property xil_pn:name="FSM Style" xil_pn:value="LUT" xil_pn:valueState="default"/>
<property xil_pn:name="Fallback Reconfiguration virtex7" xil_pn:value="Disable" xil_pn:valueState="default"/>
<property xil_pn:name="Filter Files From Compile Order" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Flatten Output Netlist" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Functional Model Target Language ArchWiz" xil_pn:value="Verilog" xil_pn:valueState="default"/>
<property xil_pn:name="Functional Model Target Language Coregen" xil_pn:value="Verilog" xil_pn:valueState="default"/>
<property xil_pn:name="Functional Model Target Language Schematic" xil_pn:value="Verilog" xil_pn:valueState="default"/>
<property xil_pn:name="Generate Architecture Only (No Entity Declaration)" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Generate Asynchronous Delay Report" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Generate Clock Region Report" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Generate Constraints Interaction Report" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Generate Constraints Interaction Report Post Trace" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Generate Datasheet Section" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Generate Datasheet Section Post Trace" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Generate Detailed MAP Report" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Generate Multiple Hierarchical Netlist Files" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Generate Post-Fit Simulation Model" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Generate Post-Place &amp; Route Power Report" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Generate Post-Place &amp; Route Simulation Model" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Generate RTL Schematic" xil_pn:value="Yes" xil_pn:valueState="default"/>
<property xil_pn:name="Generate SAIF File for Power Optimization/Estimation Par" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Generate Testbench File" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Generate Timegroups Section" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Generate Timegroups Section Post Trace" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Generics, Parameters" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Global Optimization Goal" xil_pn:value="AllClockNets" xil_pn:valueState="default"/>
<property xil_pn:name="Global Optimization map virtex5" xil_pn:value="Off" xil_pn:valueState="default"/>
<property xil_pn:name="Global Set/Reset Port Name" xil_pn:value="GSR_PORT" xil_pn:valueState="default"/>
<property xil_pn:name="Global Tristate Port Name" xil_pn:value="GTS_PORT" xil_pn:valueState="default"/>
<property xil_pn:name="HDL Equations Style" xil_pn:value="Source" xil_pn:valueState="default"/>
<property xil_pn:name="HMAC Key (Hex String)" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Hierarchy Separator" xil_pn:value="/" xil_pn:valueState="default"/>
<property xil_pn:name="I/O Pin Termination" xil_pn:value="Keeper" xil_pn:valueState="default"/>
<property xil_pn:name="ICAP Select" xil_pn:value="Auto" xil_pn:valueState="default"/>
<property xil_pn:name="ISim UUT Instance Name" xil_pn:value="UUT" xil_pn:valueState="default"/>
<property xil_pn:name="Ignore User Timing Constraints Map" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Ignore User Timing Constraints Par" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Implementation Template" xil_pn:value="Optimize Balance" xil_pn:valueState="default"/>
<property xil_pn:name="Implementation Top" xil_pn:value="Module|WarpSE" xil_pn:valueState="non-default"/>
<property xil_pn:name="Implementation Top File" xil_pn:value="../WarpSE.v" xil_pn:valueState="non-default"/>
<property xil_pn:name="Implementation Top File" xil_pn:value="WarpSE.v" xil_pn:valueState="non-default"/>
<property xil_pn:name="Implementation Top Instance Path" xil_pn:value="/WarpSE" xil_pn:valueState="non-default"/>
<property xil_pn:name="Include 'uselib Directive in Verilog File" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Include SIMPRIM Models in Verilog File" xil_pn:value="false" xil_pn:valueState="default"/>
@ -111,8 +186,15 @@
<property xil_pn:name="Incremental Compilation" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Insert Buffers to Prevent Pulse Swallowing" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Instantiation Template Target Language Xps" xil_pn:value="Verilog" xil_pn:valueState="default"/>
<property xil_pn:name="JTAG Pin TCK" xil_pn:value="Pull Up" xil_pn:valueState="default"/>
<property xil_pn:name="JTAG Pin TDI" xil_pn:value="Pull Up" xil_pn:valueState="default"/>
<property xil_pn:name="JTAG Pin TDO" xil_pn:value="Pull Up" xil_pn:valueState="default"/>
<property xil_pn:name="JTAG Pin TMS" xil_pn:value="Pull Up" xil_pn:valueState="default"/>
<property xil_pn:name="JTAG to XADC Connection" xil_pn:value="Enable" xil_pn:valueState="default"/>
<property xil_pn:name="Keep Hierarchy" xil_pn:value="No" xil_pn:valueState="default"/>
<property xil_pn:name="Keep Hierarchy CPLD" xil_pn:value="Yes" xil_pn:valueState="default"/>
<property xil_pn:name="LUT Combining Map" xil_pn:value="Off" xil_pn:valueState="default"/>
<property xil_pn:name="LUT Combining Xst" xil_pn:value="Auto" xil_pn:valueState="default"/>
<property xil_pn:name="Language" xil_pn:value="VHDL" xil_pn:valueState="default"/>
<property xil_pn:name="Last Applied Goal" xil_pn:value="Balanced" xil_pn:valueState="default"/>
<property xil_pn:name="Last Applied Strategy" xil_pn:value="Xilinx Default (unlocked)" xil_pn:valueState="default"/>
@ -124,14 +206,25 @@
<property xil_pn:name="Macro Preserve" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Macrocell Power Setting" xil_pn:value="Std" xil_pn:valueState="default"/>
<property xil_pn:name="Manual Implementation Compile Order" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Max Fanout" xil_pn:value="100000" xil_pn:valueState="non-default"/>
<property xil_pn:name="Map Slice Logic into Unused Block RAMs" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Max Fanout" xil_pn:value="100000" xil_pn:valueState="default"/>
<property xil_pn:name="Maximum Compression" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Maximum Number of Lines in Report" xil_pn:value="1000" xil_pn:valueState="default"/>
<property xil_pn:name="Maximum Signal Name Length" xil_pn:value="20" xil_pn:valueState="default"/>
<property xil_pn:name="Move First Flip-Flop Stage" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Move Last Flip-Flop Stage" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="MultiBoot: Insert IPROG CMD in the Bitfile virtex7" xil_pn:value="Enable" xil_pn:valueState="default"/>
<property xil_pn:name="Mux Extraction" xil_pn:value="Yes" xil_pn:valueState="default"/>
<property xil_pn:name="Netlist Hierarchy" xil_pn:value="As Optimized" xil_pn:valueState="default"/>
<property xil_pn:name="Number of Clock Buffers" xil_pn:value="4" xil_pn:valueState="default"/>
<property xil_pn:name="Netlist Translation Type" xil_pn:value="Timestamp" xil_pn:valueState="default"/>
<property xil_pn:name="Number of Clock Buffers" xil_pn:value="32" xil_pn:valueState="default"/>
<property xil_pn:name="Number of Paths in Error/Verbose Report" xil_pn:value="3" xil_pn:valueState="default"/>
<property xil_pn:name="Number of Paths in Error/Verbose Report Post Trace" xil_pn:value="3" xil_pn:valueState="default"/>
<property xil_pn:name="Optimization Effort" xil_pn:value="Normal" xil_pn:valueState="default"/>
<property xil_pn:name="Optimization Effort virtex6" xil_pn:value="Normal" xil_pn:valueState="default"/>
<property xil_pn:name="Optimization Goal" xil_pn:value="Speed" xil_pn:valueState="default"/>
<property xil_pn:name="Optimize Instantiated Primitives" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Other Bitgen Command Line Options" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Other CPLD Fitter Command Line Options" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Other Compiler Options" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Other Compiler Options Fit" xil_pn:value="" xil_pn:valueState="default"/>
@ -139,11 +232,16 @@
<property xil_pn:name="Other Compiler Options Par" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Other Compiler Options Translate" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Other Compxlib Command Line Options" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Other Map Command Line Options" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Other NETGEN Command Line Options" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Other Ngdbuild Command Line Options" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Other Place &amp; Route Command Line Options" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Other Programming Command Line Options" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Other Simulator Commands Behavioral" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Other Simulator Commands Fit" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Other Simulator Commands Post-Map" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Other Simulator Commands Post-Route" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Other Simulator Commands Post-Translate" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Other Timing Report Command Line Options" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Other XPWR Command Line Options" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Other XST Command Line Options" xil_pn:value="" xil_pn:valueState="default"/>
@ -151,35 +249,75 @@
<property xil_pn:name="Output File Name" xil_pn:value="WarpSE" xil_pn:valueState="default"/>
<property xil_pn:name="Output Slew Rate" xil_pn:value="Fast" xil_pn:valueState="default"/>
<property xil_pn:name="Overwrite Compiled Libraries" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Pack I/O Registers into IOBs" xil_pn:value="Auto" xil_pn:valueState="default"/>
<property xil_pn:name="Pack I/O Registers/Latches into IOBs" xil_pn:value="Off" xil_pn:valueState="default"/>
<property xil_pn:name="Package" xil_pn:value="TQ100" xil_pn:valueState="default"/>
<property xil_pn:name="Perform Advanced Analysis" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Perform Advanced Analysis Post Trace" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Place &amp; Route Effort Level (Overall)" xil_pn:value="High" xil_pn:valueState="default"/>
<property xil_pn:name="Place And Route Mode" xil_pn:value="Route Only" xil_pn:valueState="default"/>
<property xil_pn:name="Place MultiBoot Settings into Bitstream virtex7" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Placer Effort Level Map" xil_pn:value="High" xil_pn:valueState="default"/>
<property xil_pn:name="Placer Extra Effort Map" xil_pn:value="None" xil_pn:valueState="default"/>
<property xil_pn:name="Port to be used" xil_pn:value="Auto - default" xil_pn:valueState="default"/>
<property xil_pn:name="Post Map Simulation Model Name" xil_pn:value="WarpSE_map.v" xil_pn:valueState="default"/>
<property xil_pn:name="Post Place &amp; Route Simulation Model Name" xil_pn:value="WarpSE_timesim.v" xil_pn:valueState="default"/>
<property xil_pn:name="Post Synthesis Simulation Model Name" xil_pn:value="WarpSE_synthesis.v" xil_pn:valueState="default"/>
<property xil_pn:name="Post Translate Simulation Model Name" xil_pn:value="WarpSE_translate.v" xil_pn:valueState="default"/>
<property xil_pn:name="Power Down Device if Over Safe Temperature" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Power Reduction Map virtex6" xil_pn:value="Off" xil_pn:valueState="default"/>
<property xil_pn:name="Power Reduction Par" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Power Reduction Xst" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Preferred Language" xil_pn:value="Verilog" xil_pn:valueState="default"/>
<property xil_pn:name="Preserve Unused Inputs" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Produce Verbose Report" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Project Description" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Property Specification in Project File" xil_pn:value="Store all values" xil_pn:valueState="default"/>
<property xil_pn:name="RAM Extraction" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="RAM Style" xil_pn:value="Auto" xil_pn:valueState="default"/>
<property xil_pn:name="ROM Extraction" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="ROM Style" xil_pn:value="Auto" xil_pn:valueState="default"/>
<property xil_pn:name="Read Cores" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Reduce Control Sets" xil_pn:value="Auto" xil_pn:valueState="default"/>
<property xil_pn:name="Regenerate Core" xil_pn:value="Under Current Project Setting" xil_pn:valueState="default"/>
<property xil_pn:name="Register Balancing" xil_pn:value="No" xil_pn:valueState="default"/>
<property xil_pn:name="Register Duplication Map" xil_pn:value="Off" xil_pn:valueState="default"/>
<property xil_pn:name="Register Duplication Xst" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Register Ordering virtex6" xil_pn:value="4" xil_pn:valueState="default"/>
<property xil_pn:name="Release Write Enable (Output Events)" xil_pn:value="Default (6)" xil_pn:valueState="default"/>
<property xil_pn:name="Rename Design Instance in Testbench File to" xil_pn:value="UUT" xil_pn:valueState="default"/>
<property xil_pn:name="Rename Top Level Architecture To" xil_pn:value="Structure" xil_pn:valueState="default"/>
<property xil_pn:name="Rename Top Level Entity to" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Rename Top Level Module To" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Report Fastest Path(s) in Each Constraint" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Report Fastest Path(s) in Each Constraint Post Trace" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Report Paths by Endpoint" xil_pn:value="3" xil_pn:valueState="default"/>
<property xil_pn:name="Report Paths by Endpoint Post Trace" xil_pn:value="3" xil_pn:valueState="default"/>
<property xil_pn:name="Report Type" xil_pn:value="Verbose Report" xil_pn:valueState="default"/>
<property xil_pn:name="Report Type Post Trace" xil_pn:value="Verbose Report" xil_pn:valueState="default"/>
<property xil_pn:name="Report Unconstrained Paths" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Report Unconstrained Paths Post Trace" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Reset On Configuration Pulse Width" xil_pn:value="100" xil_pn:valueState="default"/>
<property xil_pn:name="Resource Sharing" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Retain Hierarchy" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Revision Select" xil_pn:value="00" xil_pn:valueState="default"/>
<property xil_pn:name="Revision Select Tristate" xil_pn:value="Disable" xil_pn:valueState="default"/>
<property xil_pn:name="Run Design Rules Checker (DRC)" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Run for Specified Time" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Run for Specified Time Map" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Run for Specified Time Par" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Run for Specified Time Translate" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="SPI 32-bit Addressing" xil_pn:value="No" xil_pn:valueState="default"/>
<property xil_pn:name="Safe Implementation" xil_pn:value="No" xil_pn:valueState="default"/>
<property xil_pn:name="Security" xil_pn:value="Enable Readback and Reconfiguration" xil_pn:valueState="default"/>
<property xil_pn:name="Selected Simulation Root Source Node Behavioral" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Selected Simulation Root Source Node Post-Map" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Selected Simulation Root Source Node Post-Route" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Selected Simulation Root Source Node Post-Translate" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Selected Simulation Source Node" xil_pn:value="UUT" xil_pn:valueState="default"/>
<property xil_pn:name="Set SPI Configuration Bus Width" xil_pn:value="1" xil_pn:valueState="default"/>
<property xil_pn:name="Shift Register Extraction" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Shift Register Minimum Size virtex6" xil_pn:value="2" xil_pn:valueState="default"/>
<property xil_pn:name="Show All Models" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Signature /User Code" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Simulation Model Target" xil_pn:value="Verilog" xil_pn:valueState="default"/>
@ -188,18 +326,33 @@
<property xil_pn:name="Simulation Run Time Par" xil_pn:value="1000 ns" xil_pn:valueState="default"/>
<property xil_pn:name="Simulation Run Time Translate" xil_pn:value="1000 ns" xil_pn:valueState="default"/>
<property xil_pn:name="Simulator" xil_pn:value="ISim (VHDL/Verilog)" xil_pn:valueState="default"/>
<property xil_pn:name="Slice Utilization Ratio" xil_pn:value="100" xil_pn:valueState="default"/>
<property xil_pn:name="Specify 'define Macro Name and Value" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Specify Top Level Instance Names Behavioral" xil_pn:value="Default" xil_pn:valueState="default"/>
<property xil_pn:name="Specify Top Level Instance Names Fit" xil_pn:value="Default" xil_pn:valueState="default"/>
<property xil_pn:name="Specify Top Level Instance Names Post-Map" xil_pn:value="Default" xil_pn:valueState="default"/>
<property xil_pn:name="Specify Top Level Instance Names Post-Route" xil_pn:value="Default" xil_pn:valueState="default"/>
<property xil_pn:name="Specify Top Level Instance Names Post-Translate" xil_pn:value="Default" xil_pn:valueState="default"/>
<property xil_pn:name="Speed Grade" xil_pn:value="-10" xil_pn:valueState="non-default"/>
<property xil_pn:name="Starting Address for Fallback Configuration virtex7" xil_pn:value="None" xil_pn:valueState="default"/>
<property xil_pn:name="Starting Placer Cost Table (1-100)" xil_pn:value="1" xil_pn:valueState="default"/>
<property xil_pn:name="Synthesis Tool" xil_pn:value="XST (VHDL/Verilog)" xil_pn:valueState="default"/>
<property xil_pn:name="Target Simulator" xil_pn:value="Please Specify" xil_pn:valueState="default"/>
<property xil_pn:name="Timing Mode Map" xil_pn:value="Performance Evaluation" xil_pn:valueState="default"/>
<property xil_pn:name="Timing Mode Par" xil_pn:value="Performance Evaluation" xil_pn:valueState="default"/>
<property xil_pn:name="Timing Report Format" xil_pn:value="Summary" xil_pn:valueState="default"/>
<property xil_pn:name="Top-Level Module Name in Output Netlist" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Top-Level Source Type" xil_pn:value="HDL" xil_pn:valueState="default"/>
<property xil_pn:name="Trim Unconnected Signals" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Tristate On Configuration Pulse Width" xil_pn:value="0" xil_pn:valueState="default"/>
<property xil_pn:name="Unused IOB Pins" xil_pn:value="Pull Down" xil_pn:valueState="default"/>
<property xil_pn:name="Use 64-bit PlanAhead on 64-bit Systems" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Use Clock Enable" xil_pn:value="Auto" xil_pn:valueState="default"/>
<property xil_pn:name="Use Custom Project File Behavioral" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Use Custom Project File Fit" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Use Custom Project File Post-Map" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Use Custom Project File Post-Route" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Use Custom Project File Post-Translate" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Use Custom Simulation Command File Behavioral" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Use Custom Simulation Command File Map" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Use Custom Simulation Command File Par" xil_pn:value="false" xil_pn:valueState="default"/>
@ -209,22 +362,35 @@
<property xil_pn:name="Use Custom Waveform Configuration File Map" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Use Custom Waveform Configuration File Par" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Use Custom Waveform Configuration File Translate" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Use DSP Block" xil_pn:value="Auto" xil_pn:valueState="default"/>
<property xil_pn:name="Use Global Clocks" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Use Global Output Enables" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Use Global Set/Reset" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Use LOC Constraints" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Use Location Constraints" xil_pn:value="Always" xil_pn:valueState="default"/>
<property xil_pn:name="Use Multi-level Logic Optimization" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Use RLOC Constraints" xil_pn:value="Yes" xil_pn:valueState="default"/>
<property xil_pn:name="Use SPI Falling Edge" xil_pn:value="No" xil_pn:valueState="default"/>
<property xil_pn:name="Use Smart Guide" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Use Synchronous Reset" xil_pn:value="Auto" xil_pn:valueState="default"/>
<property xil_pn:name="Use Synchronous Set" xil_pn:value="Auto" xil_pn:valueState="default"/>
<property xil_pn:name="Use Synthesis Constraints File" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Use Timing Constraints" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="User Access Register Value" xil_pn:value="None" xil_pn:valueState="default"/>
<property xil_pn:name="User Browsed Strategy Files" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="UserID Code (8 Digit Hexadecimal)" xil_pn:value="0xFFFFFFFF" xil_pn:valueState="default"/>
<property xil_pn:name="VCCIO Reference Voltage" xil_pn:value="LVTTL" xil_pn:valueState="default"/>
<property xil_pn:name="VHDL Source Analysis Standard" xil_pn:value="VHDL-93" xil_pn:valueState="default"/>
<property xil_pn:name="Value Range Check" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Verilog 2001 Xst" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Verilog Macros" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="WYSIWYG" xil_pn:value="None" xil_pn:valueState="default"/>
<property xil_pn:name="Wait for DCI Match (Output Events) virtex5" xil_pn:value="Auto" xil_pn:valueState="default"/>
<property xil_pn:name="Wait for PLL Lock (Output Events) virtex6" xil_pn:value="No Wait" xil_pn:valueState="default"/>
<property xil_pn:name="Watchdog Timer Mode 7-series" xil_pn:value="Off" xil_pn:valueState="default"/>
<property xil_pn:name="Watchdog Timer Value 7-series" xil_pn:value="0x00000000" xil_pn:valueState="default"/>
<property xil_pn:name="Working Directory" xil_pn:value="." xil_pn:valueState="non-default"/>
<property xil_pn:name="Write Timing Constraints" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="XOR Preserve" xil_pn:value="true" xil_pn:valueState="default"/>
<!-- -->
<!-- The following properties are for internal use only. These should not be modified.-->
@ -239,8 +405,8 @@
<property xil_pn:name="PROP_PostSynthSimTop" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="PROP_PostXlateSimTop" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="PROP_PreSynthesis" xil_pn:value="PreSynthesis" xil_pn:valueState="default"/>
<property xil_pn:name="PROP_intProjectCreationTimestamp" xil_pn:value="2023-03-26T03:17:42" xil_pn:valueState="non-default"/>
<property xil_pn:name="PROP_intWbtProjectID" xil_pn:value="17F4E7DEC0A14EDB82C58FCA99308E56" xil_pn:valueState="non-default"/>
<property xil_pn:name="PROP_intProjectCreationTimestamp" xil_pn:value="2023-04-07T01:50:52" xil_pn:valueState="non-default"/>
<property xil_pn:name="PROP_intWbtProjectID" xil_pn:value="7132971001B64D51887D7F260ADC77C3" xil_pn:valueState="non-default"/>
<property xil_pn:name="PROP_intWorkingDirLocWRTProjDir" xil_pn:value="Same" xil_pn:valueState="non-default"/>
<property xil_pn:name="PROP_intWorkingDirUsed" xil_pn:value="No" xil_pn:valueState="non-default"/>
</properties>

File diff suppressed because one or more lines are too long

View File

@ -206,7 +206,7 @@
<tr>
<td>-uc</td>
<td>&nbsp;</td>
<td>C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf</td>
<td>WarpSE-XC95144XL.ucf</td>
<td>None</td>
</tr>
</TABLE>

File diff suppressed because it is too large Load Diff

File diff suppressed because it is too large Load Diff

File diff suppressed because it is too large Load Diff

View File

@ -16,57 +16,57 @@
<tr>
<td align="center"><a href="javascript:showFBDetail('FB1');" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB1</a></td>
<td align="center">18 / 18</td>
<td align="center">23 / 54</td>
<td align="center">25 / 90</td>
<td align="center">24 / 54</td>
<td align="center">24 / 90</td>
<td align="center">10 / 11</td>
</tr>
<tr>
<td align="center"><a href="javascript:showFBDetail('FB2');" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB2</a></td>
<td align="center">2 / 18</td>
<td align="center">2 / 54</td>
<td align="center">2 / 90</td>
<td align="center">5 / 18</td>
<td align="center">4 / 54</td>
<td align="center">5 / 90</td>
<td align="center">8 / 10</td>
</tr>
<tr>
<td align="center"><a href="javascript:showFBDetail('FB3');" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB3</a></td>
<td align="center">14 / 18</td>
<td align="center">33 / 54</td>
<td align="center">78 / 90</td>
<td align="center">18 / 18</td>
<td align="center">35 / 54</td>
<td align="center">39 / 90</td>
<td align="center">9 / 10</td>
</tr>
<tr>
<td align="center"><a href="javascript:showFBDetail('FB4');" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB4</a></td>
<td align="center">16 / 18</td>
<td align="center">33 / 54</td>
<td align="center">35 / 90</td>
<td align="center">15 / 18</td>
<td align="center">39 / 54</td>
<td align="center">77 / 90</td>
<td align="center">10 / 10</td>
</tr>
<tr>
<td align="center"><a href="javascript:showFBDetail('FB5');" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB5</a></td>
<td align="center">14 / 18</td>
<td align="center">32 / 54</td>
<td align="center">77 / 90</td>
<td align="center">17 / 18</td>
<td align="center">35 / 54</td>
<td align="center">64 / 90</td>
<td align="center">8 / 10</td>
</tr>
<tr>
<td align="center"><a href="javascript:showFBDetail('FB6');" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB6</a></td>
<td align="center">18 / 18</td>
<td align="center">28 / 54</td>
<td align="center">55 / 90</td>
<td align="center">36 / 54</td>
<td align="center">68 / 90</td>
<td align="center">10 / 10</td>
</tr>
<tr>
<td align="center"><a href="javascript:showFBDetail('FB7');" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB7</a></td>
<td align="center">18 / 18</td>
<td align="center">33 / 54</td>
<td align="center">33 / 90</td>
<td align="center">24 / 54</td>
<td align="center">37 / 90</td>
<td align="center">8 / 10</td>
</tr>
<tr>
<td align="center"><a href="javascript:showFBDetail('FB8');" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB8</a></td>
<td align="center">17 / 18</td>
<td align="center">33 / 54</td>
<td align="center">66 / 90</td>
<td align="center">12 / 18</td>
<td align="center">39 / 54</td>
<td align="center">81 / 90</td>
<td align="center">6 / 10</td>
</tr>
</table></span><form><span class="pgRef"><table width="90%" align="center"><tr>

View File

@ -27,7 +27,7 @@
<th width="10%">Pin Use</th>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('ramRefUrg_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RefUrg</a></td>
<td align="center" width="10%"><a href="Javascript:showEqn('iobsIODONEr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/IODONEr</a></td>
<td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB1_1_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_1</a>
</td>
@ -38,7 +38,7 @@
<td align="center" width="10%">(b)</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('ramRefReqSync_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RefReqSync</a></td>
<td align="center" width="10%"><a href="Javascript:showEqn('iobsIOACTr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/IOACTr</a></td>
<td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB1_2_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_1</a>
</td>
@ -49,7 +49,7 @@
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB&lt;13&gt;'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('ramRefReq_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RefReq</a></td>
<td align="center" width="10%"><a href="Javascript:showEqn('iobmVPAr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/VPAr</a></td>
<td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB1_3_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_1</a>
</td>
@ -60,7 +60,7 @@
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB&lt;14&gt;'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('ramRefRAS_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RefRAS</a></td>
<td align="center" width="10%"><a href="Javascript:showEqn('iobmIOWRREQr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOWRREQr</a></td>
<td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB1_4_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">4_1</a>
</td>
@ -71,7 +71,7 @@
<td align="center" width="10%">(b)</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('iobsIODTACKr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/IODTACKr</a></td>
<td align="center" width="10%"><a href="Javascript:showEqn('iobmIOS_FSM_FFd5_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOS_FSM_FFd5</a></td>
<td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB1_5_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">5_1</a>
</td>
@ -82,7 +82,7 @@
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB&lt;15&gt;'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('iobmRESrf_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/RESrf</a></td>
<td align="center" width="10%"><a href="Javascript:showEqn('iobmIOS_FSM_FFd4_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOS_FSM_FFd4</a></td>
<td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB1_6_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">6_1</a>
</td>
@ -93,7 +93,7 @@
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB&lt;16&gt;'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('iobmEr2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/Er2</a></td>
<td align="center" width="10%"><a href="Javascript:showEqn('iobmIOS_FSM_FFd1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOS_FSM_FFd1</a></td>
<td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB1_7_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_1</a>
</td>
@ -104,7 +104,7 @@
<td align="center" width="10%">(b)</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('iobmEr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/Er</a></td>
<td align="center" width="10%"><a href="Javascript:showEqn('iobmIORDREQr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IORDREQr</a></td>
<td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB1_8_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_1</a>
</td>
@ -115,7 +115,7 @@
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB&lt;17&gt;'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('iobmDTACKrf_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/DTACKrf</a></td>
<td align="center" width="10%"><a href="Javascript:showEqn('iobmEr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/Er</a></td>
<td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB1_9_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_1</a>
</td>
@ -126,7 +126,7 @@
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB&lt;18&gt;'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('iobmBERRrf_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/BERRrf</a></td>
<td align="center" width="10%"><a href="Javascript:showEqn('iobmC8Mr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/C8Mr</a></td>
<td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB1_10_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_1</a>
</td>
@ -159,9 +159,9 @@
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB&lt;20&gt;'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('ramRefDone_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RefDone</a></td>
<td align="center" width="10%">2</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB1_13_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_1</a> <a href="Javascript:showPT('FB1_13_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_2</a>
<td align="center" width="10%"><a href="Javascript:showEqn('ALE0S')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ALE0S</a></td>
<td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB1_13_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_1</a>
</td>
<td align="center" width="10%">MC13</td>
<td align="center" width="10%">STD</td>
@ -170,7 +170,7 @@
<td align="center" width="10%">(b)</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('iobsTS_FSM_FFd1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/TS_FSM_FFd1</a></td>
<td align="center" width="10%"><a href="Javascript:showEqn('iobsIOU1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/IOU1</a></td>
<td align="center" width="10%">2</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB1_14_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_1</a> <a href="Javascript:showPT('FB1_14_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_2</a>
</td>
@ -181,7 +181,7 @@
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB&lt;21&gt;'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('iobsIOU1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/IOU1</a></td>
<td align="center" width="10%"><a href="Javascript:showEqn('iobsIOL1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/IOL1</a></td>
<td align="center" width="10%">2</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB1_15_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_1</a> <a href="Javascript:showPT('FB1_15_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_2</a>
</td>
@ -192,7 +192,7 @@
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB&lt;22&gt;'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('iobsIOL1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/IOL1</a></td>
<td align="center" width="10%"><a href="Javascript:showEqn('iobmIOS_FSM_FFd2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOS_FSM_FFd2</a></td>
<td align="center" width="10%">2</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB1_16_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_1</a> <a href="Javascript:showPT('FB1_16_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_2</a>
</td>
@ -203,7 +203,7 @@
<td align="center" width="10%">(b)</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('iobmIOS_FSM_FFd1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOS_FSM_FFd1</a></td>
<td align="center" width="10%"><a href="Javascript:showEqn('IOBERR')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">IOBERR</a></td>
<td align="center" width="10%">2</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB1_17_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_1</a> <a href="Javascript:showPT('FB1_17_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_2</a>
</td>
@ -214,7 +214,7 @@
<td align="center" width="10%">GCK</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('IOU0')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">IOU0</a></td>
<td align="center" width="10%"><a href="Javascript:showEqn('iobmES2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/ES&lt;2&gt;</a></td>
<td align="center" width="10%">3</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB1_18_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_1</a> <a href="Javascript:showPT('FB1_18_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_2</a> <a href="Javascript:showPT('FB1_18_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_3</a>
</td>
@ -227,29 +227,30 @@
</table></span></div>
<div id="tipBox"></div>
<br><span id="fbsiguse" class="pgRef"><b>Signals Used By Logic in Function Block</b><br><ol>
<li>C8M</li>
<li>E</li>
<li>nRES.PIN</li>
<li><a href="Javascript:showEqn('RefReq')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">RefReq</a></li>
<li><a href="Javascript:showEqn('IOACT')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">IOACT</a></li>
<li><a href="Javascript:showEqn('IOBERR')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">IOBERR</a></li>
<li><a href="Javascript:showEqn('IODONE')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">IODONE</a></li>
<li><a href="Javascript:showEqn('IORDREQ')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">IORDREQ</a></li>
<li><a href="Javascript:showEqn('IOWRREQ')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">IOWRREQ</a></li>
<li><a href="Javascript:showEqn('iobmC8Mr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/C8Mr</a></li>
<li><a href="Javascript:showEqn('iobmES0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/ES&lt;0&gt;</a></li>
<li><a href="Javascript:showEqn('iobmES1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/ES&lt;1&gt;</a></li>
<li><a href="Javascript:showEqn('iobmES2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/ES&lt;2&gt;</a></li>
<li><a href="Javascript:showEqn('iobmEr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/Er</a></li>
<li><a href="Javascript:showEqn('iobmIOS_FSM_FFd1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOS_FSM_FFd1</a></li>
<li><a href="Javascript:showEqn('iobmIOS_FSM_FFd2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOS_FSM_FFd2</a></li>
<li><a href="Javascript:showEqn('iobmIOS_FSM_FFd3_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOS_FSM_FFd3</a></li>
<li><a href="Javascript:showEqn('iobsIOACTr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/IOACTr</a></li>
<li><a href="Javascript:showEqn('iobsIOU1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/IOU1</a></li>
<li><a href="Javascript:showEqn('iobmIOS_FSM_FFd5_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOS_FSM_FFd5</a></li>
<li><a href="Javascript:showEqn('iobmIOS_FSM_FFd6_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOS_FSM_FFd6</a></li>
<li><a href="Javascript:showEqn('iobsLoad1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/Load1</a></li>
<li><a href="Javascript:showEqn('iobsTS_FSM_FFd1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/TS_FSM_FFd1</a></li>
<li><a href="Javascript:showEqn('iobsTS_FSM_FFd2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/TS_FSM_FFd2</a></li>
<li><a href="Javascript:showEqn('nADoutLE1')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nADoutLE1</a></li>
<li><a href="Javascript:showEqn('nAS_IOB')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nAS_IOB</a></li>
<li>nBERR_IOB</li>
<li>nDTACK_IOB</li>
<li>nIPL2</li>
<li>nLDS_FSB</li>
<li>nUDS_FSB</li>
<li><a href="Javascript:showEqn('ramRS_FSM_FFd1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RS_FSM_FFd1</a></li>
<li><a href="Javascript:showEqn('ramRS_FSM_FFd2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RS_FSM_FFd2</a></li>
<li><a href="Javascript:showEqn('ramRefDone_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RefDone</a></li>
<li><a href="Javascript:showEqn('ramRefReqSync_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RefReqSync</a></li>
<li><a href="Javascript:showEqn('ramRegUrgSync_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RegUrgSync</a></li>
<li>nVPA_IOB</li>
</ol></span><form><span class="pgRef"><table width="90%" align="center"><tr>
<td align="left"><input type="button" onclick="javascript:parent.leftnav.showTop()" onmouseover="window.status='goto top of page'; return true;" onmouseout="window.status=''" value="back to top"></td>
<td align="center"><table align="center" width="90%" border="0" cellpadding="0" cellspacing="0"><tr><td width="100%" align="center"><input type="button" onclick="javascript:showFB('FB2')" onmouseover="window.status='show next Function Block'; return true;" onmouseout="window.status=''" value="next"></td></tr></table></td>

View File

@ -157,37 +157,40 @@
<td align="center" width="10%"> </td>
</tr>
<tr>
<td align="center" width="10%">(unused)</td>
<td align="center" width="10%">0</td>
<td align="center" width="30%"> </td>
<td align="center" width="10%"><a href="Javascript:showEqn('ramRS_FSM_FFd5_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RS_FSM_FFd5</a></td>
<td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB2_14_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_1</a>
</td>
<td align="center" width="10%">MC14</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%">8</td>
<td width="8%" align="center">I/O</td>
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB&lt;10&gt;'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
</tr>
<tr>
<td align="center" width="10%">(unused)</td>
<td align="center" width="10%">0</td>
<td align="center" width="30%"> </td>
<td align="center" width="10%"><a href="Javascript:showEqn('ramRS_FSM_FFd3_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RS_FSM_FFd3</a></td>
<td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB2_15_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_1</a>
</td>
<td align="center" width="10%">MC15</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%">9</td>
<td width="8%" align="center">I/O</td>
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB&lt;11&gt;'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
</tr>
<tr>
<td align="center" width="10%">(unused)</td>
<td align="center" width="10%">0</td>
<td align="center" width="30%"> </td>
<td align="center" width="10%"><a href="Javascript:showEqn('ramRS_FSM_FFd2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RS_FSM_FFd2</a></td>
<td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB2_16_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_1</a>
</td>
<td align="center" width="10%">MC16</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">(b)</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">(b)</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('iobmVPAr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/VPAr</a></td>
<td align="center" width="10%"><a href="Javascript:showEqn('ramRS_FSM_FFd1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RS_FSM_FFd1</a></td>
<td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB2_17_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_1</a>
</td>
@ -198,7 +201,7 @@
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB&lt;12&gt;'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('iobmIOREQr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOREQr</a></td>
<td align="center" width="10%"><a href="Javascript:showEqn('ramRASrf_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RASrf</a></td>
<td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB2_18_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_1</a>
</td>
@ -211,8 +214,10 @@
</table></span></div>
<div id="tipBox"></div>
<br><span id="fbsiguse" class="pgRef"><b>Signals Used By Logic in Function Block</b><br><ol>
<li><a href="Javascript:showEqn('IOREQ')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">IOREQ</a></li>
<li>nVPA_IOB</li>
<li><a href="Javascript:showEqn('ramRS_FSM_FFd2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RS_FSM_FFd2</a></li>
<li><a href="Javascript:showEqn('ramRS_FSM_FFd3_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RS_FSM_FFd3</a></li>
<li><a href="Javascript:showEqn('ramRS_FSM_FFd6_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RS_FSM_FFd6</a></li>
<li><a href="Javascript:showEqn('ramRS_FSM_FFd7_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RS_FSM_FFd7</a></li>
</ol></span><form><span class="pgRef"><table width="90%" align="center"><tr>
<td align="left"><input type="button" onclick="javascript:parent.leftnav.showTop()" onmouseover="window.status='goto top of page'; return true;" onmouseout="window.status=''" value="back to top"></td>
<td align="center"><table align="center" width="90%" border="0" cellpadding="0" cellspacing="0"><tr><td width="100%" align="center">

View File

@ -27,9 +27,9 @@
<th width="10%">Pin Use</th>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('fsbVPA_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">fsb/VPA</a></td>
<td align="center" width="10%">16</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB3_18_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_2</a> <a href="Javascript:showPT('FB3_18_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_3</a> <a href="Javascript:showPT('FB3_18_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_4</a> <a href="Javascript:showPT('FB3_18_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_5</a> <a href="Javascript:showPT('FB3_1_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_1</a> <a href="Javascript:showPT('FB3_1_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_2</a> <a href="Javascript:showPT('FB3_1_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_3</a> <a href="Javascript:showPT('FB3_1_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_4</a> <a href="Javascript:showPT('FB3_1_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_5</a> <a href="Javascript:showPT('FB3_2_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_1</a> <a href="Javascript:showPT('FB3_2_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_2</a> <a href="Javascript:showPT('FB3_2_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_3</a> <a href="Javascript:showPT('FB3_2_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_4</a> <a href="Javascript:showPT('FB3_2_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_5</a> <a href="Javascript:showPT('FB3_3_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_2</a> <a href="Javascript:showPT('FB3_3_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_3</a>
<td align="center" width="10%"><a href="Javascript:showEqn('ramBACTr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/BACTr</a></td>
<td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB3_1_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_1</a>
</td>
<td align="center" width="10%">MC1</td>
<td align="center" width="10%">STD</td>
@ -38,19 +38,20 @@
<td align="center" width="10%">(b)</td>
</tr>
<tr>
<td align="center" width="10%">(unused)</td>
<td align="center" width="10%">0</td>
<td align="center" width="30%"> </td>
<td align="center" width="10%"><a href="Javascript:showEqn('fsbASrf_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">fsb/ASrf</a></td>
<td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB3_2_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_1</a>
</td>
<td align="center" width="10%">MC2</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%">23</td>
<td width="8%" align="center">I/O/GCK2</td>
<td align="center" width="10%">GCK/I</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('iobsIOACTr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/IOACTr</a></td>
<td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB3_3_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_1</a>
<td align="center" width="10%"><a href="Javascript:showEqn('cntLTimerTC_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimerTC</a></td>
<td align="center" width="10%">2</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB3_3_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_1</a> <a href="Javascript:showPT('FB3_3_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_2</a>
</td>
<td align="center" width="10%">MC3</td>
<td align="center" width="10%">STD</td>
@ -59,9 +60,9 @@
<td align="center" width="10%">(b)</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('iobsClear1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/Clear1</a></td>
<td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB3_4_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">4_1</a>
<td align="center" width="10%"><a href="Javascript:showEqn('cntLTimer9_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;9&gt;</a></td>
<td align="center" width="10%">2</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB3_4_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">4_1</a> <a href="Javascript:showPT('FB3_4_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">4_2</a>
</td>
<td align="center" width="10%">MC4</td>
<td align="center" width="10%">STD</td>
@ -70,9 +71,9 @@
<td align="center" width="10%">(b)</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('fsbASrf_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">fsb/ASrf</a></td>
<td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB3_5_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">5_1</a>
<td align="center" width="10%"><a href="Javascript:showEqn('cntLTimer8_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;8&gt;</a></td>
<td align="center" width="10%">2</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB3_5_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">5_1</a> <a href="Javascript:showPT('FB3_5_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">5_2</a>
</td>
<td align="center" width="10%">MC5</td>
<td align="center" width="10%">STD</td>
@ -81,9 +82,9 @@
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB&lt;23&gt;'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('ALE0S')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ALE0S</a></td>
<td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB3_6_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">6_1</a>
<td align="center" width="10%"><a href="Javascript:showEqn('cntLTimer7_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;7&gt;</a></td>
<td align="center" width="10%">2</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB3_6_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">6_1</a> <a href="Javascript:showPT('FB3_6_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">6_2</a>
</td>
<td align="center" width="10%">MC6</td>
<td align="center" width="10%">STD</td>
@ -92,9 +93,9 @@
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'E'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('iobsLoad1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/Load1</a></td>
<td align="center" width="10%">8</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB3_6_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">6_2</a> <a href="Javascript:showPT('FB3_6_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">6_3</a> <a href="Javascript:showPT('FB3_6_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">6_4</a> <a href="Javascript:showPT('FB3_7_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_1</a> <a href="Javascript:showPT('FB3_7_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_2</a> <a href="Javascript:showPT('FB3_7_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_3</a> <a href="Javascript:showPT('FB3_7_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_4</a> <a href="Javascript:showPT('FB3_7_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_5</a>
<td align="center" width="10%"><a href="Javascript:showEqn('cntLTimer6_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;6&gt;</a></td>
<td align="center" width="10%">2</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB3_7_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_1</a> <a href="Javascript:showPT('FB3_7_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_2</a>
</td>
<td align="center" width="10%">MC7</td>
<td align="center" width="10%">STD</td>
@ -103,9 +104,9 @@
<td align="center" width="10%">(b)</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('OpTxOpTxFX_DC47_INV153_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">$OpTx$$OpTx$FX_DC$47_INV$153</a></td>
<td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB3_8_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_1</a>
<td align="center" width="10%"><a href="Javascript:showEqn('cntLTimer5_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;5&gt;</a></td>
<td align="center" width="10%">2</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB3_8_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_1</a> <a href="Javascript:showPT('FB3_8_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_2</a>
</td>
<td align="center" width="10%">MC8</td>
<td align="center" width="10%">STD</td>
@ -115,8 +116,8 @@
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('nDTACK_FSB')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nDTACK_FSB</a></td>
<td align="center" width="10%">17</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB3_10_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_1</a> <a href="Javascript:showPT('FB3_10_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_2</a> <a href="Javascript:showPT('FB3_10_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_3</a> <a href="Javascript:showPT('FB3_10_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_4</a> <a href="Javascript:showPT('FB3_10_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_5</a> <a href="Javascript:showPT('FB3_11_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">11_3</a> <a href="Javascript:showPT('FB3_11_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">11_4</a> <a href="Javascript:showPT('FB3_11_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">11_5</a> <a href="Javascript:showPT('FB3_8_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_2</a> <a href="Javascript:showPT('FB3_8_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_3</a> <a href="Javascript:showPT('FB3_8_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_4</a> <a href="Javascript:showPT('FB3_8_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_5</a> <a href="Javascript:showPT('FB3_9_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_1</a> <a href="Javascript:showPT('FB3_9_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_2</a> <a href="Javascript:showPT('FB3_9_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_3</a> <a href="Javascript:showPT('FB3_9_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_4</a> <a href="Javascript:showPT('FB3_9_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_5</a>
<td align="center" width="10%">8</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB3_10_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_3</a> <a href="Javascript:showPT('FB3_8_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_3</a> <a href="Javascript:showPT('FB3_8_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_4</a> <a href="Javascript:showPT('FB3_9_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_1</a> <a href="Javascript:showPT('FB3_9_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_2</a> <a href="Javascript:showPT('FB3_9_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_3</a> <a href="Javascript:showPT('FB3_9_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_4</a> <a href="Javascript:showPT('FB3_9_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_5</a>
</td>
<td align="center" width="10%">MC9</td>
<td align="center" width="10%">STD</td>
@ -125,17 +126,18 @@
<td align="center" width="10%">O</td>
</tr>
<tr>
<td align="center" width="10%">(unused)</td>
<td align="center" width="10%">0</td>
<td align="center" width="30%"> </td>
<td align="center" width="10%"><a href="Javascript:showEqn('cntLTimer4_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;4&gt;</a></td>
<td align="center" width="10%">2</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB3_10_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_1</a> <a href="Javascript:showPT('FB3_10_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_2</a>
</td>
<td align="center" width="10%">MC10</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">(b)</td>
<td align="center" width="10%">(b)</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('fsbReady0r_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">fsb/Ready0r</a></td>
<td align="center" width="10%"><a href="Javascript:showEqn('cntLTimer3_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;3&gt;</a></td>
<td align="center" width="10%">2</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB3_11_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">11_1</a> <a href="Javascript:showPT('FB3_11_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">11_2</a>
</td>
@ -146,7 +148,7 @@
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'nWE_FSB'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('csODCSr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cs/ODCSr</a></td>
<td align="center" width="10%"><a href="Javascript:showEqn('cntLTimer2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;2&gt;</a></td>
<td align="center" width="10%">2</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB3_12_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_1</a> <a href="Javascript:showPT('FB3_12_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_2</a>
</td>
@ -157,9 +159,9 @@
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'nLDS_FSB'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('iobsSent_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/Sent</a></td>
<td align="center" width="10%">13</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB3_12_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_3</a> <a href="Javascript:showPT('FB3_12_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_4</a> <a href="Javascript:showPT('FB3_12_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_5</a> <a href="Javascript:showPT('FB3_13_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_1</a> <a href="Javascript:showPT('FB3_13_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_2</a> <a href="Javascript:showPT('FB3_13_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_3</a> <a href="Javascript:showPT('FB3_13_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_4</a> <a href="Javascript:showPT('FB3_13_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_5</a> <a href="Javascript:showPT('FB3_14_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_1</a> <a href="Javascript:showPT('FB3_14_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_2</a> <a href="Javascript:showPT('FB3_14_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_3</a> <a href="Javascript:showPT('FB3_14_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_4</a> <a href="Javascript:showPT('FB3_14_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_5</a>
<td align="center" width="10%"><a href="Javascript:showEqn('cntLTimer1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;1&gt;</a></td>
<td align="center" width="10%">2</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB3_13_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_1</a> <a href="Javascript:showPT('FB3_13_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_2</a>
</td>
<td align="center" width="10%">MC13</td>
<td align="center" width="10%">STD</td>
@ -168,29 +170,31 @@
<td align="center" width="10%">(b)</td>
</tr>
<tr>
<td align="center" width="10%">(unused)</td>
<td align="center" width="10%">0</td>
<td align="center" width="30%"> </td>
<td align="center" width="10%"><a href="Javascript:showEqn('cntLTimer12_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;12&gt;</a></td>
<td align="center" width="10%">2</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB3_14_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_1</a> <a href="Javascript:showPT('FB3_14_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_2</a>
</td>
<td align="center" width="10%">MC14</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%">32</td>
<td width="8%" align="center">I/O</td>
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'nAS_FSB'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
</tr>
<tr>
<td align="center" width="10%">(unused)</td>
<td align="center" width="10%">0</td>
<td align="center" width="30%"> </td>
<td align="center" width="10%"><a href="Javascript:showEqn('cntLTimer11_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;11&gt;</a></td>
<td align="center" width="10%">2</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB3_15_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_1</a> <a href="Javascript:showPT('FB3_15_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_2</a>
</td>
<td align="center" width="10%">MC15</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%">33</td>
<td width="8%" align="center">I/O</td>
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'nUDS_FSB'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('fsbReady1r_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">fsb/Ready1r</a></td>
<td align="center" width="10%">13</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB3_15_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_1</a> <a href="Javascript:showPT('FB3_15_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_2</a> <a href="Javascript:showPT('FB3_15_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_3</a> <a href="Javascript:showPT('FB3_15_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_4</a> <a href="Javascript:showPT('FB3_15_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_5</a> <a href="Javascript:showPT('FB3_16_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_1</a> <a href="Javascript:showPT('FB3_16_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_2</a> <a href="Javascript:showPT('FB3_16_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_3</a> <a href="Javascript:showPT('FB3_16_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_4</a> <a href="Javascript:showPT('FB3_16_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_5</a> <a href="Javascript:showPT('FB3_17_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_2</a> <a href="Javascript:showPT('FB3_17_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_3</a> <a href="Javascript:showPT('FB3_17_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_4</a>
<td align="center" width="10%"><a href="Javascript:showEqn('cntLTimer10_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;10&gt;</a></td>
<td align="center" width="10%">2</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB3_16_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_1</a> <a href="Javascript:showPT('FB3_16_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_2</a>
</td>
<td align="center" width="10%">MC16</td>
<td align="center" width="10%">STD</td>
@ -210,9 +214,9 @@
<td align="center" width="10%">O</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('ramBACTr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/BACTr</a></td>
<td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB3_18_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_1</a>
<td align="center" width="10%"><a href="Javascript:showEqn('IOPWReady')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">IOPWReady</a></td>
<td align="center" width="10%">2</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB3_18_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_1</a> <a href="Javascript:showPT('FB3_18_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_2</a>
</td>
<td align="center" width="10%">MC18</td>
<td align="center" width="10%">STD</td>
@ -223,13 +227,8 @@
</table></span></div>
<div id="tipBox"></div>
<br><span id="fbsiguse" class="pgRef"><b>Signals Used By Logic in Function Block</b><br><ol>
<li><a href="Javascript:showEqn('OpTxOpTxFX_DC47_INV153_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">$OpTx$$OpTx$FX_DC$47_INV$153</a></li>
<li>A_FSB&lt;10&gt;</li>
<li>A_FSB&lt;11&gt;</li>
<li>A_FSB&lt;12&gt;</li>
<li>A_FSB&lt;13&gt;</li>
<li>A_FSB&lt;14&gt;</li>
<li>A_FSB&lt;15&gt;</li>
<li>A_FSB&lt;16&gt;</li>
<li>A_FSB&lt;17&gt;</li>
<li>A_FSB&lt;18&gt;</li>
@ -238,24 +237,31 @@
<li>A_FSB&lt;21&gt;</li>
<li>A_FSB&lt;22&gt;</li>
<li>A_FSB&lt;23&gt;</li>
<li>A_FSB&lt;8&gt;</li>
<li>A_FSB&lt;9&gt;</li>
<li><a href="Javascript:showEqn('IOACT')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">IOACT</a></li>
<li><a href="Javascript:showEqn('IONPReady')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">IONPReady</a></li>
<li><a href="Javascript:showEqn('IOPWReady')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">IOPWReady</a></li>
<li><a href="Javascript:showEqn('RAMReady')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">RAMReady</a></li>
<li><a href="Javascript:showEqn('cntEr0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/Er&lt;0&gt;</a></li>
<li><a href="Javascript:showEqn('cntEr1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/Er&lt;1&gt;</a></li>
<li><a href="Javascript:showEqn('cntLTimer0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;0&gt;</a></li>
<li><a href="Javascript:showEqn('cntLTimer10_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;10&gt;</a></li>
<li><a href="Javascript:showEqn('cntLTimer11_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;11&gt;</a></li>
<li><a href="Javascript:showEqn('cntLTimer12_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;12&gt;</a></li>
<li><a href="Javascript:showEqn('cntLTimer1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;1&gt;</a></li>
<li><a href="Javascript:showEqn('cntLTimer2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;2&gt;</a></li>
<li><a href="Javascript:showEqn('cntLTimer3_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;3&gt;</a></li>
<li><a href="Javascript:showEqn('cntLTimer4_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;4&gt;</a></li>
<li><a href="Javascript:showEqn('cntLTimer5_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;5&gt;</a></li>
<li><a href="Javascript:showEqn('cntLTimer6_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;6&gt;</a></li>
<li><a href="Javascript:showEqn('cntLTimer7_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;7&gt;</a></li>
<li><a href="Javascript:showEqn('cntLTimer8_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;8&gt;</a></li>
<li><a href="Javascript:showEqn('cntLTimer9_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;9&gt;</a></li>
<li><a href="Javascript:showEqn('cntTimerTC_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/TimerTC</a></li>
<li><a href="Javascript:showEqn('csnOverlay_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cs/nOverlay</a></li>
<li><a href="Javascript:showEqn('fsbASrf_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">fsb/ASrf</a></li>
<li><a href="Javascript:showEqn('fsbReady0r_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">fsb/Ready0r</a></li>
<li><a href="Javascript:showEqn('fsbReady1r_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">fsb/Ready1r</a></li>
<li><a href="Javascript:showEqn('fsbVPA_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">fsb/VPA</a></li>
<li><a href="Javascript:showEqn('iobsDTACKEN_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/DTACKEN</a></li>
<li><a href="Javascript:showEqn('iobsIODTACKr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/IODTACKr</a></li>
<li><a href="Javascript:showEqn('iobsSent_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/Sent</a></li>
<li><a href="Javascript:showEqn('iobsTS_FSM_FFd1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/TS_FSM_FFd1</a></li>
<li><a href="Javascript:showEqn('iobsTS_FSM_FFd2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/TS_FSM_FFd2</a></li>
<li><a href="Javascript:showEqn('iobsClear1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/Clear1</a></li>
<li><a href="Javascript:showEqn('nADoutLE1')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nADoutLE1</a></li>
<li>nAS_FSB</li>
<li><a href="Javascript:showEqn('nDTACK_FSB')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nDTACK_FSB</a></li>
<li>nWE_FSB</li>
<li><a href="Javascript:showEqn('ramRAMReady_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RAMReady</a></li>
</ol></span><form><span class="pgRef"><table width="90%" align="center"><tr>
<td align="left"><input type="button" onclick="javascript:parent.leftnav.showTop()" onmouseover="window.status='goto top of page'; return true;" onmouseout="window.status=''" value="back to top"></td>
<td align="center"><table align="center" width="90%" border="0" cellpadding="0" cellspacing="0"><tr><td width="100%" align="center">

View File

@ -27,19 +27,20 @@
<th width="10%">Pin Use</th>
</tr>
<tr>
<td align="center" width="10%">(unused)</td>
<td align="center" width="10%">0</td>
<td align="center" width="30%"> </td>
<td align="center" width="10%"><a href="Javascript:showEqn('nRESout')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nRESout</a></td>
<td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB4_1_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_1</a>
</td>
<td align="center" width="10%">MC1</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">(b)</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">(b)</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('nAoutOE')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nAoutOE</a></td>
<td align="center" width="10%">2</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB4_2_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_1</a> <a href="Javascript:showPT('FB4_2_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_2</a>
<td align="center" width="30%"> <a href="Javascript:showPT('FB4_1_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_2</a> <a href="Javascript:showPT('FB4_1_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_3</a>
</td>
<td align="center" width="10%">MC2</td>
<td align="center" width="10%">STD</td>
@ -48,19 +49,20 @@
<td align="center" width="10%">O</td>
</tr>
<tr>
<td align="center" width="10%">(unused)</td>
<td align="center" width="10%">0</td>
<td align="center" width="30%"> </td>
<td align="center" width="10%"><a href="Javascript:showEqn('ramRS_FSM_FFd8_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RS_FSM_FFd8</a></td>
<td align="center" width="10%">11</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB4_2_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_1</a> <a href="Javascript:showPT('FB4_2_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_2</a> <a href="Javascript:showPT('FB4_2_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_3</a> <a href="Javascript:showPT('FB4_2_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_4</a> <a href="Javascript:showPT('FB4_2_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_5</a> <a href="Javascript:showPT('FB4_3_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_1</a> <a href="Javascript:showPT('FB4_3_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_2</a> <a href="Javascript:showPT('FB4_3_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_3</a> <a href="Javascript:showPT('FB4_3_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_4</a> <a href="Javascript:showPT('FB4_3_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_5</a> <a href="Javascript:showPT('FB4_4_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">4_5</a>
</td>
<td align="center" width="10%">MC3</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">(b)</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">(b)</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('ramRegUrgSync_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RegUrgSync</a></td>
<td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB4_4_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">4_1</a>
<td align="center" width="10%"><a href="Javascript:showEqn('iobsIORW1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/IORW1</a></td>
<td align="center" width="10%">8</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB4_4_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">4_1</a> <a href="Javascript:showPT('FB4_4_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">4_2</a> <a href="Javascript:showPT('FB4_4_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">4_3</a> <a href="Javascript:showPT('FB4_4_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">4_4</a> <a href="Javascript:showPT('FB4_5_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">5_2</a> <a href="Javascript:showPT('FB4_5_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">5_3</a> <a href="Javascript:showPT('FB4_5_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">5_4</a> <a href="Javascript:showPT('FB4_5_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">5_5</a>
</td>
<td align="center" width="10%">MC4</td>
<td align="center" width="10%">STD</td>
@ -70,8 +72,8 @@
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('nDoutOE')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nDoutOE</a></td>
<td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB4_5_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">5_1</a>
<td align="center" width="10%">2</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB4_5_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">5_1</a> <a href="Javascript:showPT('FB4_6_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">6_4</a>
</td>
<td align="center" width="10%">MC5</td>
<td align="center" width="10%">STD</td>
@ -91,15 +93,14 @@
<td align="center" width="10%">O</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('nRESout')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nRESout</a></td>
<td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB4_7_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_1</a>
</td>
<td align="center" width="10%">(unused)</td>
<td align="center" width="10%">0</td>
<td align="center" width="30%"> </td>
<td align="center" width="10%">MC7</td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">(b)</td>
<td align="center" width="10%">(b)</td>
<td align="center" width="10%"> </td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('nRES')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nRES</a></td>
@ -113,9 +114,9 @@
<td align="center" width="10%">I/O</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('cntEr1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/Er&lt;1&gt;</a></td>
<td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB4_9_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_1</a>
<td align="center" width="10%"><a href="Javascript:showEqn('IORDREQ')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">IORDREQ</a></td>
<td align="center" width="10%">9</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB4_8_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_2</a> <a href="Javascript:showPT('FB4_8_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_3</a> <a href="Javascript:showPT('FB4_8_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_4</a> <a href="Javascript:showPT('FB4_8_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_5</a> <a href="Javascript:showPT('FB4_9_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_1</a> <a href="Javascript:showPT('FB4_9_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_2</a> <a href="Javascript:showPT('FB4_9_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_3</a> <a href="Javascript:showPT('FB4_9_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_4</a> <a href="Javascript:showPT('FB4_9_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_5</a>
</td>
<td align="center" width="10%">MC9</td>
<td align="center" width="10%">STD</td>
@ -124,7 +125,7 @@
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'nIPL2'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('cntTimerTC_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/TimerTC</a></td>
<td align="center" width="10%"><a href="Javascript:showEqn('csODCSr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cs/ODCSr</a></td>
<td align="center" width="10%">2</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB4_10_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_1</a> <a href="Javascript:showPT('FB4_10_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_2</a>
</td>
@ -136,8 +137,8 @@
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('nVPA_FSB')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nVPA_FSB</a></td>
<td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB4_11_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">11_1</a>
<td align="center" width="10%">3</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB4_11_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">11_1</a> <a href="Javascript:showPT('FB4_11_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">11_2</a> <a href="Javascript:showPT('FB4_11_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">11_3</a>
</td>
<td align="center" width="10%">MC11</td>
<td align="center" width="10%">STD</td>
@ -146,9 +147,9 @@
<td align="center" width="10%">O</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('cntTimer0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/Timer&lt;0&gt;</a></td>
<td align="center" width="10%">2</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB4_12_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_1</a> <a href="Javascript:showPT('FB4_12_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_2</a>
<td align="center" width="10%"><a href="Javascript:showEqn('iobsLoad1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/Load1</a></td>
<td align="center" width="10%">8</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB4_12_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_1</a> <a href="Javascript:showPT('FB4_12_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_2</a> <a href="Javascript:showPT('FB4_12_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_3</a> <a href="Javascript:showPT('FB4_12_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_4</a> <a href="Javascript:showPT('FB4_12_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_5</a> <a href="Javascript:showPT('FB4_13_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_3</a> <a href="Javascript:showPT('FB4_13_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_4</a> <a href="Javascript:showPT('FB4_13_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_5</a>
</td>
<td align="center" width="10%">MC12</td>
<td align="center" width="10%">STD</td>
@ -157,7 +158,7 @@
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB&lt;1&gt;'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('cntLTimerTC_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimerTC</a></td>
<td align="center" width="10%"><a href="Javascript:showEqn('iobsTS_FSM_FFd1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/TS_FSM_FFd1</a></td>
<td align="center" width="10%">2</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB4_13_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_1</a> <a href="Javascript:showPT('FB4_13_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_2</a>
</td>
@ -168,20 +169,19 @@
<td align="center" width="10%">(b)</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('cntLTimer12_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;12&gt;</a></td>
<td align="center" width="10%">2</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB4_14_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_1</a> <a href="Javascript:showPT('FB4_14_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_2</a>
</td>
<td align="center" width="10%">(unused)</td>
<td align="center" width="10%">0</td>
<td align="center" width="30%"> </td>
<td align="center" width="10%">MC14</td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">95</td>
<td width="8%" align="center">I/O</td>
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB&lt;2&gt;'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('RefReq')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">RefReq</a></td>
<td align="center" width="10%">2</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB4_15_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_1</a> <a href="Javascript:showPT('FB4_15_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_2</a>
<td align="center" width="10%"><a href="Javascript:showEqn('RAMReady')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">RAMReady</a></td>
<td align="center" width="10%">10</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB4_14_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_1</a> <a href="Javascript:showPT('FB4_14_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_2</a> <a href="Javascript:showPT('FB4_14_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_3</a> <a href="Javascript:showPT('FB4_14_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_4</a> <a href="Javascript:showPT('FB4_14_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_5</a> <a href="Javascript:showPT('FB4_15_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_1</a> <a href="Javascript:showPT('FB4_15_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_2</a> <a href="Javascript:showPT('FB4_15_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_3</a> <a href="Javascript:showPT('FB4_15_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_4</a> <a href="Javascript:showPT('FB4_15_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_5</a>
</td>
<td align="center" width="10%">MC15</td>
<td align="center" width="10%">STD</td>
@ -190,9 +190,9 @@
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB&lt;3&gt;'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('cntTimer1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/Timer&lt;1&gt;</a></td>
<td align="center" width="10%">4</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB4_16_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_1</a> <a href="Javascript:showPT('FB4_16_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_2</a> <a href="Javascript:showPT('FB4_16_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_3</a> <a href="Javascript:showPT('FB4_16_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_4</a>
<td align="center" width="10%"><a href="Javascript:showEqn('ramRS_FSM_FFd7_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RS_FSM_FFd7</a></td>
<td align="center" width="10%">2</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB4_16_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_1</a> <a href="Javascript:showPT('FB4_16_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_2</a>
</td>
<td align="center" width="10%">MC16</td>
<td align="center" width="10%">STD</td>
@ -201,9 +201,9 @@
<td align="center" width="10%">(b)</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('cntTimer2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/Timer&lt;2&gt;</a></td>
<td align="center" width="10%">5</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB4_17_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_1</a> <a href="Javascript:showPT('FB4_17_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_2</a> <a href="Javascript:showPT('FB4_17_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_3</a> <a href="Javascript:showPT('FB4_17_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_4</a> <a href="Javascript:showPT('FB4_17_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_5</a>
<td align="center" width="10%"><a href="Javascript:showEqn('iobsSent_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/Sent</a></td>
<td align="center" width="10%">13</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB4_16_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_3</a> <a href="Javascript:showPT('FB4_16_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_4</a> <a href="Javascript:showPT('FB4_16_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_5</a> <a href="Javascript:showPT('FB4_17_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_1</a> <a href="Javascript:showPT('FB4_17_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_2</a> <a href="Javascript:showPT('FB4_17_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_3</a> <a href="Javascript:showPT('FB4_17_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_4</a> <a href="Javascript:showPT('FB4_17_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_5</a> <a href="Javascript:showPT('FB4_18_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_1</a> <a href="Javascript:showPT('FB4_18_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_2</a> <a href="Javascript:showPT('FB4_18_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_3</a> <a href="Javascript:showPT('FB4_18_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_4</a> <a href="Javascript:showPT('FB4_18_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_5</a>
</td>
<td align="center" width="10%">MC17</td>
<td align="center" width="10%">STD</td>
@ -212,12 +212,11 @@
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB&lt;4&gt;'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('RefUrg')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">RefUrg</a></td>
<td align="center" width="10%">5</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB4_18_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_1</a> <a href="Javascript:showPT('FB4_18_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_2</a> <a href="Javascript:showPT('FB4_18_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_3</a> <a href="Javascript:showPT('FB4_18_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_4</a> <a href="Javascript:showPT('FB4_18_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_5</a>
</td>
<td align="center" width="10%">(unused)</td>
<td align="center" width="10%">0</td>
<td align="center" width="30%"> </td>
<td align="center" width="10%">MC18</td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">(b)</td>
<td align="center" width="10%">(b)</td>
@ -225,39 +224,45 @@
</table></span></div>
<div id="tipBox"></div>
<br><span id="fbsiguse" class="pgRef"><b>Signals Used By Logic in Function Block</b><br><ol>
<li>A_FSB&lt;13&gt;</li>
<li>A_FSB&lt;14&gt;</li>
<li>A_FSB&lt;16&gt;</li>
<li>A_FSB&lt;17&gt;</li>
<li>A_FSB&lt;18&gt;</li>
<li>A_FSB&lt;19&gt;</li>
<li>A_FSB&lt;20&gt;</li>
<li>A_FSB&lt;21&gt;</li>
<li>A_FSB&lt;22&gt;</li>
<li>A_FSB&lt;23&gt;</li>
<li><a href="Javascript:showEqn('IONPReady')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">IONPReady</a></li>
<li><a href="Javascript:showEqn('IORDREQ')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">IORDREQ</a></li>
<li><a href="Javascript:showEqn('RAMReady')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">RAMReady</a></li>
<li><a href="Javascript:showEqn('RefReq')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">RefReq</a></li>
<li><a href="Javascript:showEqn('RefUrg')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">RefUrg</a></li>
<li><a href="Javascript:showEqn('cntEr0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/Er&lt;0&gt;</a></li>
<li><a href="Javascript:showEqn('cntEr1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/Er&lt;1&gt;</a></li>
<li><a href="Javascript:showEqn('cntINITS_FSM_FFd1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/INITS_FSM_FFd1</a></li>
<li><a href="Javascript:showEqn('cntINITS_FSM_FFd2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/INITS_FSM_FFd2</a></li>
<li><a href="Javascript:showEqn('cntLTimer0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;0&gt;</a></li>
<li><a href="Javascript:showEqn('cntLTimer10_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;10&gt;</a></li>
<li><a href="Javascript:showEqn('cntLTimer11_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;11&gt;</a></li>
<li><a href="Javascript:showEqn('cntLTimer12_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;12&gt;</a></li>
<li><a href="Javascript:showEqn('cntLTimer1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;1&gt;</a></li>
<li><a href="Javascript:showEqn('cntLTimer2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;2&gt;</a></li>
<li><a href="Javascript:showEqn('cntLTimer3_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;3&gt;</a></li>
<li><a href="Javascript:showEqn('cntLTimer4_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;4&gt;</a></li>
<li><a href="Javascript:showEqn('cntLTimer5_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;5&gt;</a></li>
<li><a href="Javascript:showEqn('cntLTimer6_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;6&gt;</a></li>
<li><a href="Javascript:showEqn('cntLTimer7_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;7&gt;</a></li>
<li><a href="Javascript:showEqn('cntLTimer8_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;8&gt;</a></li>
<li><a href="Javascript:showEqn('cntLTimer9_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;9&gt;</a></li>
<li><a href="Javascript:showEqn('cntTimer0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/Timer&lt;0&gt;</a></li>
<li><a href="Javascript:showEqn('cntTimer1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/Timer&lt;1&gt;</a></li>
<li><a href="Javascript:showEqn('cntTimer2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/Timer&lt;2&gt;</a></li>
<li><a href="Javascript:showEqn('cntTimerTC_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/TimerTC</a></li>
<li><a href="Javascript:showEqn('fsbVPA_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">fsb/VPA</a></li>
<li><a href="Javascript:showEqn('csnOverlay_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cs/nOverlay</a></li>
<li><a href="Javascript:showEqn('fsbASrf_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">fsb/ASrf</a></li>
<li><a href="Javascript:showEqn('iobmDoutOE_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/DoutOE</a></li>
<li><a href="Javascript:showEqn('iobmIORDREQr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IORDREQr</a></li>
<li><a href="Javascript:showEqn('iobmIOS0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOS0</a></li>
<li><a href="Javascript:showEqn('iobmIOWRREQr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOWRREQr</a></li>
<li><a href="Javascript:showEqn('iobsIOACTr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/IOACTr</a></li>
<li><a href="Javascript:showEqn('iobsIORW1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/IORW1</a></li>
<li><a href="Javascript:showEqn('iobsSent_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/Sent</a></li>
<li><a href="Javascript:showEqn('iobsTS_FSM_FFd1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/TS_FSM_FFd1</a></li>
<li><a href="Javascript:showEqn('iobsTS_FSM_FFd2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/TS_FSM_FFd2</a></li>
<li><a href="Javascript:showEqn('nADoutLE1')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nADoutLE1</a></li>
<li>nAS_FSB</li>
<li><a href="Javascript:showEqn('nAoutOE')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nAoutOE</a></li>
<li><a href="Javascript:showEqn('nBR_IOB')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nBR_IOB</a></li>
<li><a href="Javascript:showEqn('nRESout')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nRESout</a></li>
<li>nWE_FSB</li>
<li><a href="Javascript:showEqn('ramBACTr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/BACTr</a></li>
<li><a href="Javascript:showEqn('ramRAMEN_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RAMEN</a></li>
<li><a href="Javascript:showEqn('ramRS_FSM_FFd4_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RS_FSM_FFd4</a></li>
<li><a href="Javascript:showEqn('ramRS_FSM_FFd8_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RS_FSM_FFd8</a></li>
<li><a href="Javascript:showEqn('ramRefDone_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RefDone</a></li>
</ol></span><form><span class="pgRef"><table width="90%" align="center"><tr>
<td align="left"><input type="button" onclick="javascript:parent.leftnav.showTop()" onmouseover="window.status='goto top of page'; return true;" onmouseout="window.status=''" value="back to top"></td>
<td align="center"><table align="center" width="90%" border="0" cellpadding="0" cellspacing="0"><tr><td width="100%" align="center">

View File

@ -27,12 +27,11 @@
<th width="10%">Pin Use</th>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('IOL0')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">IOL0</a></td>
<td align="center" width="10%">3</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB5_1_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_1</a> <a href="Javascript:showPT('FB5_1_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_2</a> <a href="Javascript:showPT('FB5_2_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_3</a>
</td>
<td align="center" width="10%">(unused)</td>
<td align="center" width="10%">0</td>
<td align="center" width="30%"> </td>
<td align="center" width="10%">MC1</td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">(b)</td>
<td align="center" width="10%">(b)</td>
@ -49,9 +48,9 @@
<td align="center" width="10%">O</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('iobsIORW1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/IORW1</a></td>
<td align="center" width="10%">8</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB5_3_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_1</a> <a href="Javascript:showPT('FB5_3_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_2</a> <a href="Javascript:showPT('FB5_3_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_3</a> <a href="Javascript:showPT('FB5_3_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_4</a> <a href="Javascript:showPT('FB5_3_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_5</a> <a href="Javascript:showPT('FB5_4_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">4_1</a> <a href="Javascript:showPT('FB5_4_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">4_2</a> <a href="Javascript:showPT('FB5_4_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">4_3</a>
<td align="center" width="10%"><a href="Javascript:showEqn('ramRAMEN_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RAMEN</a></td>
<td align="center" width="10%">12</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB5_2_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_3</a> <a href="Javascript:showPT('FB5_2_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_4</a> <a href="Javascript:showPT('FB5_2_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_5</a> <a href="Javascript:showPT('FB5_3_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_1</a> <a href="Javascript:showPT('FB5_3_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_2</a> <a href="Javascript:showPT('FB5_3_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_3</a> <a href="Javascript:showPT('FB5_3_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_4</a> <a href="Javascript:showPT('FB5_3_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_5</a> <a href="Javascript:showPT('FB5_4_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">4_2</a> <a href="Javascript:showPT('FB5_4_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">4_3</a> <a href="Javascript:showPT('FB5_4_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">4_4</a> <a href="Javascript:showPT('FB5_4_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">4_5</a>
</td>
<td align="center" width="10%">MC3</td>
<td align="center" width="10%">STD</td>
@ -60,11 +59,12 @@
<td align="center" width="10%">(b)</td>
</tr>
<tr>
<td align="center" width="10%">(unused)</td>
<td align="center" width="10%">0</td>
<td align="center" width="30%"> </td>
<td align="center" width="10%"><a href="Javascript:showEqn('ramRASrr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RASrr</a></td>
<td align="center" width="10%">4</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB5_4_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">4_1</a> <a href="Javascript:showPT('FB5_5_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">5_2</a> <a href="Javascript:showPT('FB5_5_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">5_3</a> <a href="Javascript:showPT('FB5_5_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">5_4</a>
</td>
<td align="center" width="10%">MC4</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">(b)</td>
<td align="center" width="10%">(b)</td>
@ -92,9 +92,9 @@
<td align="center" width="10%">O</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('iobsTS_FSM_FFd2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/TS_FSM_FFd2</a></td>
<td align="center" width="10%">14</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB5_6_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">6_2</a> <a href="Javascript:showPT('FB5_6_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">6_3</a> <a href="Javascript:showPT('FB5_6_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">6_4</a> <a href="Javascript:showPT('FB5_6_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">6_5</a> <a href="Javascript:showPT('FB5_7_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_1</a> <a href="Javascript:showPT('FB5_7_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_2</a> <a href="Javascript:showPT('FB5_7_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_3</a> <a href="Javascript:showPT('FB5_7_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_4</a> <a href="Javascript:showPT('FB5_7_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_5</a> <a href="Javascript:showPT('FB5_8_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_1</a> <a href="Javascript:showPT('FB5_8_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_2</a> <a href="Javascript:showPT('FB5_8_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_3</a> <a href="Javascript:showPT('FB5_8_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_4</a> <a href="Javascript:showPT('FB5_8_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_5</a>
<td align="center" width="10%"><a href="Javascript:showEqn('ramRS_FSM_FFd6_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RS_FSM_FFd6</a></td>
<td align="center" width="10%">9</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB5_6_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">6_2</a> <a href="Javascript:showPT('FB5_6_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">6_3</a> <a href="Javascript:showPT('FB5_6_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">6_4</a> <a href="Javascript:showPT('FB5_7_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_1</a> <a href="Javascript:showPT('FB5_7_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_2</a> <a href="Javascript:showPT('FB5_7_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_3</a> <a href="Javascript:showPT('FB5_7_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_4</a> <a href="Javascript:showPT('FB5_7_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_5</a> <a href="Javascript:showPT('FB5_8_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_4</a>
</td>
<td align="center" width="10%">MC7</td>
<td align="center" width="10%">STD</td>
@ -103,11 +103,12 @@
<td align="center" width="10%">(b)</td>
</tr>
<tr>
<td align="center" width="10%">(unused)</td>
<td align="center" width="10%">0</td>
<td align="center" width="30%"> </td>
<td align="center" width="10%"><a href="Javascript:showEqn('ramOnce_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/Once</a></td>
<td align="center" width="10%">3</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB5_8_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_1</a> <a href="Javascript:showPT('FB5_8_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_2</a> <a href="Javascript:showPT('FB5_8_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_3</a>
</td>
<td align="center" width="10%">MC8</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%">39</td>
<td width="8%" align="center">I/O</td>
<td align="center" width="10%">(b)</td>
@ -124,9 +125,9 @@
<td align="center" width="10%">O</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('iobsDTACKEN_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/DTACKEN</a></td>
<td align="center" width="10%">6</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB5_10_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_1</a> <a href="Javascript:showPT('FB5_10_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_2</a> <a href="Javascript:showPT('FB5_10_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_3</a> <a href="Javascript:showPT('FB5_10_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_4</a> <a href="Javascript:showPT('FB5_10_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_5</a> <a href="Javascript:showPT('FB5_9_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_3</a>
<td align="center" width="10%"><a href="Javascript:showEqn('ramRASEL_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RASEL</a></td>
<td align="center" width="10%">3</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB5_10_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_1</a> <a href="Javascript:showPT('FB5_10_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_2</a> <a href="Javascript:showPT('FB5_10_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_3</a>
</td>
<td align="center" width="10%">MC10</td>
<td align="center" width="10%">STD</td>
@ -148,7 +149,7 @@
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('RA5_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">RA&lt;5&gt;</a></td>
<td align="center" width="10%">2</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB5_11_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">11_3</a> <a href="Javascript:showPT('FB5_11_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">11_4</a>
<td align="center" width="30%"> <a href="Javascript:showPT('FB5_12_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_1</a> <a href="Javascript:showPT('FB5_12_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_2</a>
</td>
<td align="center" width="10%">MC12</td>
<td align="center" width="10%">STD</td>
@ -157,9 +158,9 @@
<td align="center" width="10%">O</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('IOREQ')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">IOREQ</a></td>
<td align="center" width="10%">14</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB5_12_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_1</a> <a href="Javascript:showPT('FB5_12_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_2</a> <a href="Javascript:showPT('FB5_12_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_3</a> <a href="Javascript:showPT('FB5_12_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_4</a> <a href="Javascript:showPT('FB5_12_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_5</a> <a href="Javascript:showPT('FB5_13_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_1</a> <a href="Javascript:showPT('FB5_13_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_2</a> <a href="Javascript:showPT('FB5_13_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_3</a> <a href="Javascript:showPT('FB5_13_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_4</a> <a href="Javascript:showPT('FB5_13_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_5</a> <a href="Javascript:showPT('FB5_14_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_2</a> <a href="Javascript:showPT('FB5_14_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_3</a> <a href="Javascript:showPT('FB5_14_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_4</a> <a href="Javascript:showPT('FB5_14_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_5</a>
<td align="center" width="10%"><a href="Javascript:showEqn('csnOverlay_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cs/nOverlay</a></td>
<td align="center" width="10%">2</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB5_13_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_1</a> <a href="Javascript:showPT('FB5_13_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_2</a>
</td>
<td align="center" width="10%">MC13</td>
<td align="center" width="10%">STD</td>
@ -170,7 +171,7 @@
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('RA2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">RA&lt;2&gt;</a></td>
<td align="center" width="10%">2</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB5_14_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_1</a> <a href="Javascript:showPT('FB5_15_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_3</a>
<td align="center" width="30%"> <a href="Javascript:showPT('FB5_14_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_1</a> <a href="Javascript:showPT('FB5_14_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_2</a>
</td>
<td align="center" width="10%">MC14</td>
<td align="center" width="10%">STD</td>
@ -190,19 +191,20 @@
<td align="center" width="10%">O</td>
</tr>
<tr>
<td align="center" width="10%">(unused)</td>
<td align="center" width="10%">0</td>
<td align="center" width="30%"> </td>
<td align="center" width="10%"><a href="Javascript:showEqn('ramRS_FSM_FFd4_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RS_FSM_FFd4</a></td>
<td align="center" width="10%">2</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB5_16_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_1</a> <a href="Javascript:showPT('FB5_16_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_2</a>
</td>
<td align="center" width="10%">MC16</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">(b)</td>
<td align="center" width="10%">(b)</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('IORW0')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">IORW0</a></td>
<td align="center" width="10%">18</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB5_16_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_1</a> <a href="Javascript:showPT('FB5_16_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_2</a> <a href="Javascript:showPT('FB5_16_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_3</a> <a href="Javascript:showPT('FB5_16_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_4</a> <a href="Javascript:showPT('FB5_16_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_5</a> <a href="Javascript:showPT('FB5_17_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_1</a> <a href="Javascript:showPT('FB5_17_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_2</a> <a href="Javascript:showPT('FB5_17_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_3</a> <a href="Javascript:showPT('FB5_17_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_4</a> <a href="Javascript:showPT('FB5_17_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_5</a> <a href="Javascript:showPT('FB5_18_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_1</a> <a href="Javascript:showPT('FB5_18_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_2</a> <a href="Javascript:showPT('FB5_18_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_3</a> <a href="Javascript:showPT('FB5_18_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_4</a> <a href="Javascript:showPT('FB5_18_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_5</a> <a href="Javascript:showPT('FB5_1_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_3</a> <a href="Javascript:showPT('FB5_1_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_4</a> <a href="Javascript:showPT('FB5_1_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_5</a>
<td align="center" width="10%"><a href="Javascript:showEqn('ramRefDone_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RefDone</a></td>
<td align="center" width="10%">2</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB5_17_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_1</a> <a href="Javascript:showPT('FB5_17_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_2</a>
</td>
<td align="center" width="10%">MC17</td>
<td align="center" width="10%">STD</td>
@ -211,11 +213,12 @@
<td align="center" width="10%">(b)</td>
</tr>
<tr>
<td align="center" width="10%">(unused)</td>
<td align="center" width="10%">0</td>
<td align="center" width="30%"> </td>
<td align="center" width="10%"><a href="Javascript:showEqn('ramCAS_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/CAS</a></td>
<td align="center" width="10%">13</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB5_17_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_3</a> <a href="Javascript:showPT('FB5_17_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_4</a> <a href="Javascript:showPT('FB5_17_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_5</a> <a href="Javascript:showPT('FB5_18_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_1</a> <a href="Javascript:showPT('FB5_18_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_2</a> <a href="Javascript:showPT('FB5_18_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_3</a> <a href="Javascript:showPT('FB5_18_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_4</a> <a href="Javascript:showPT('FB5_18_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_5</a> <a href="Javascript:showPT('FB5_1_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_1</a> <a href="Javascript:showPT('FB5_1_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_2</a> <a href="Javascript:showPT('FB5_1_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_3</a> <a href="Javascript:showPT('FB5_1_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_4</a> <a href="Javascript:showPT('FB5_1_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_5</a>
</td>
<td align="center" width="10%">MC18</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">(b)</td>
<td align="center" width="10%">(b)</td>
@ -223,13 +226,10 @@
</table></span></div>
<div id="tipBox"></div>
<br><span id="fbsiguse" class="pgRef"><b>Signals Used By Logic in Function Block</b><br><ol>
<li>A_FSB&lt;11&gt;</li>
<li>A_FSB&lt;12&gt;</li>
<li>A_FSB&lt;13&gt;</li>
<li>A_FSB&lt;14&gt;</li>
<li>A_FSB&lt;15&gt;</li>
<li>A_FSB&lt;16&gt;</li>
<li>A_FSB&lt;17&gt;</li>
<li>A_FSB&lt;18&gt;</li>
<li>A_FSB&lt;19&gt;</li>
<li>A_FSB&lt;20&gt;</li>
<li>A_FSB&lt;21&gt;</li>
@ -238,23 +238,29 @@
<li>A_FSB&lt;3&gt;</li>
<li>A_FSB&lt;4&gt;</li>
<li>A_FSB&lt;5&gt;</li>
<li>A_FSB&lt;6&gt;</li>
<li>A_FSB&lt;7&gt;</li>
<li><a href="Javascript:showEqn('IORW0')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">IORW0</a></li>
<li>nRES.PIN</li>
<li><a href="Javascript:showEqn('RefReq')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">RefReq</a></li>
<li><a href="Javascript:showEqn('RefUrg')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">RefUrg</a></li>
<li><a href="Javascript:showEqn('csODCSr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cs/ODCSr</a></li>
<li><a href="Javascript:showEqn('csnOverlay_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cs/nOverlay</a></li>
<li><a href="Javascript:showEqn('fsbASrf_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">fsb/ASrf</a></li>
<li><a href="Javascript:showEqn('iobsDTACKEN_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/DTACKEN</a></li>
<li><a href="Javascript:showEqn('iobsIOACTr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/IOACTr</a></li>
<li><a href="Javascript:showEqn('iobsIOL1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/IOL1</a></li>
<li><a href="Javascript:showEqn('iobsIORW1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/IORW1</a></li>
<li><a href="Javascript:showEqn('iobsSent_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/Sent</a></li>
<li><a href="Javascript:showEqn('iobsTS_FSM_FFd1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/TS_FSM_FFd1</a></li>
<li><a href="Javascript:showEqn('iobsTS_FSM_FFd2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/TS_FSM_FFd2</a></li>
<li><a href="Javascript:showEqn('nADoutLE1')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nADoutLE1</a></li>
<li>nAS_FSB</li>
<li>nLDS_FSB</li>
<li>nWE_FSB</li>
<li><a href="Javascript:showEqn('ramBACTr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/BACTr</a></li>
<li><a href="Javascript:showEqn('ramCAS_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/CAS</a></li>
<li><a href="Javascript:showEqn('ramOnce_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/Once</a></li>
<li><a href="Javascript:showEqn('ramRAMEN_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RAMEN</a></li>
<li><a href="Javascript:showEqn('ramRASEL_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RASEL</a></li>
<li><a href="Javascript:showEqn('ramRS_FSM_FFd1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RS_FSM_FFd1</a></li>
<li><a href="Javascript:showEqn('ramRS_FSM_FFd2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RS_FSM_FFd2</a></li>
<li><a href="Javascript:showEqn('ramRS_FSM_FFd3_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RS_FSM_FFd3</a></li>
<li><a href="Javascript:showEqn('ramRS_FSM_FFd4_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RS_FSM_FFd4</a></li>
<li><a href="Javascript:showEqn('ramRS_FSM_FFd5_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RS_FSM_FFd5</a></li>
<li><a href="Javascript:showEqn('ramRS_FSM_FFd6_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RS_FSM_FFd6</a></li>
<li><a href="Javascript:showEqn('ramRS_FSM_FFd7_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RS_FSM_FFd7</a></li>
<li><a href="Javascript:showEqn('ramRS_FSM_FFd8_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RS_FSM_FFd8</a></li>
<li><a href="Javascript:showEqn('ramRefDone_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RefDone</a></li>
</ol></span><form><span class="pgRef"><table width="90%" align="center"><tr>
<td align="left"><input type="button" onclick="javascript:parent.leftnav.showTop()" onmouseover="window.status='goto top of page'; return true;" onmouseout="window.status=''" value="back to top"></td>
<td align="center"><table align="center" width="90%" border="0" cellpadding="0" cellspacing="0"><tr><td width="100%" align="center">

View File

@ -27,9 +27,9 @@
<th width="10%">Pin Use</th>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('iobmETACK_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/ETACK</a></td>
<td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB6_1_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_1</a>
<td align="center" width="10%"><a href="Javascript:showEqn('iobmIOS_FSM_FFd6_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOS_FSM_FFd6</a></td>
<td align="center" width="10%">2</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB6_1_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_1</a> <a href="Javascript:showPT('FB6_1_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_2</a>
</td>
<td align="center" width="10%">MC1</td>
<td align="center" width="10%">STD</td>
@ -49,9 +49,9 @@
<td align="center" width="10%">O</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('ALE0M')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ALE0M</a></td>
<td align="center" width="10%">2</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB6_3_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_1</a> <a href="Javascript:showPT('FB6_3_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_2</a>
<td align="center" width="10%"><a href="Javascript:showEqn('iobmIOS_FSM_FFd7_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOS_FSM_FFd7</a></td>
<td align="center" width="10%">3</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB6_3_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_1</a> <a href="Javascript:showPT('FB6_3_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_2</a> <a href="Javascript:showPT('FB6_3_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_3</a>
</td>
<td align="center" width="10%">MC3</td>
<td align="center" width="10%">STD</td>
@ -71,7 +71,7 @@
<td align="center" width="10%">(b)</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('iobmES3_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/ES&lt;3&gt;</a></td>
<td align="center" width="10%"><a href="Javascript:showEqn('iobmES0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/ES&lt;0&gt;</a></td>
<td align="center" width="10%">3</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB6_5_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">5_1</a> <a href="Javascript:showPT('FB6_5_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">5_2</a> <a href="Javascript:showPT('FB6_5_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">5_3</a>
</td>
@ -82,9 +82,9 @@
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'nBERR_IOB'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('iobmES1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/ES&lt;1&gt;</a></td>
<td align="center" width="10%">3</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB6_6_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">6_1</a> <a href="Javascript:showPT('FB6_6_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">6_2</a> <a href="Javascript:showPT('FB6_6_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">6_3</a>
<td align="center" width="10%"><a href="Javascript:showEqn('iobmES3_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/ES&lt;3&gt;</a></td>
<td align="center" width="10%">4</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB6_6_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">6_1</a> <a href="Javascript:showPT('FB6_6_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">6_2</a> <a href="Javascript:showPT('FB6_6_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">6_3</a> <a href="Javascript:showPT('FB6_6_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">6_4</a>
</td>
<td align="center" width="10%">MC6</td>
<td align="center" width="10%">STD</td>
@ -93,9 +93,9 @@
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'nVPA_IOB'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('iobmES0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/ES&lt;0&gt;</a></td>
<td align="center" width="10%">3</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB6_7_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_1</a> <a href="Javascript:showPT('FB6_7_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_2</a> <a href="Javascript:showPT('FB6_7_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_3</a>
<td align="center" width="10%"><a href="Javascript:showEqn('iobmES1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/ES&lt;1&gt;</a></td>
<td align="center" width="10%">4</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB6_7_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_1</a> <a href="Javascript:showPT('FB6_7_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_2</a> <a href="Javascript:showPT('FB6_7_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_3</a> <a href="Javascript:showPT('FB6_7_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_4</a>
</td>
<td align="center" width="10%">MC7</td>
<td align="center" width="10%">STD</td>
@ -105,8 +105,8 @@
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('iobmDoutOE_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/DoutOE</a></td>
<td align="center" width="10%">3</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB6_8_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_1</a> <a href="Javascript:showPT('FB6_8_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_2</a> <a href="Javascript:showPT('FB6_8_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_3</a>
<td align="center" width="10%">4</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB6_8_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_1</a> <a href="Javascript:showPT('FB6_8_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_2</a> <a href="Javascript:showPT('FB6_8_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_3</a> <a href="Javascript:showPT('FB6_8_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_4</a>
</td>
<td align="center" width="10%">MC8</td>
<td align="center" width="10%">STD</td>
@ -116,8 +116,8 @@
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('nLDS_IOB')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nLDS_IOB</a></td>
<td align="center" width="10%">4</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB6_9_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_1</a> <a href="Javascript:showPT('FB6_9_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_2</a> <a href="Javascript:showPT('FB6_9_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_3</a> <a href="Javascript:showPT('FB6_9_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_4</a>
<td align="center" width="10%">6</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB6_8_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_5</a> <a href="Javascript:showPT('FB6_9_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_1</a> <a href="Javascript:showPT('FB6_9_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_2</a> <a href="Javascript:showPT('FB6_9_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_3</a> <a href="Javascript:showPT('FB6_9_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_4</a> <a href="Javascript:showPT('FB6_9_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_5</a>
</td>
<td align="center" width="10%">MC9</td>
<td align="center" width="10%">STD</td>
@ -126,7 +126,7 @@
<td align="center" width="10%">O</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('iobmIOS_FSM_FFd2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOS_FSM_FFd2</a></td>
<td align="center" width="10%"><a href="Javascript:showEqn('IODONE')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">IODONE</a></td>
<td align="center" width="10%">4</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB6_10_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_1</a> <a href="Javascript:showPT('FB6_10_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_2</a> <a href="Javascript:showPT('FB6_10_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_3</a> <a href="Javascript:showPT('FB6_10_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_4</a>
</td>
@ -138,8 +138,8 @@
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('nUDS_IOB')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nUDS_IOB</a></td>
<td align="center" width="10%">4</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB6_11_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">11_1</a> <a href="Javascript:showPT('FB6_11_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">11_2</a> <a href="Javascript:showPT('FB6_11_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">11_3</a> <a href="Javascript:showPT('FB6_11_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">11_4</a>
<td align="center" width="10%">6</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB6_10_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_5</a> <a href="Javascript:showPT('FB6_11_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">11_1</a> <a href="Javascript:showPT('FB6_11_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">11_2</a> <a href="Javascript:showPT('FB6_11_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">11_3</a> <a href="Javascript:showPT('FB6_11_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">11_4</a> <a href="Javascript:showPT('FB6_11_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">11_5</a>
</td>
<td align="center" width="10%">MC11</td>
<td align="center" width="10%">STD</td>
@ -149,8 +149,8 @@
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('nAS_IOB')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nAS_IOB</a></td>
<td align="center" width="10%">3</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB6_12_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_1</a> <a href="Javascript:showPT('FB6_12_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_2</a> <a href="Javascript:showPT('FB6_12_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_3</a>
<td align="center" width="10%">4</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB6_12_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_1</a> <a href="Javascript:showPT('FB6_12_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_2</a> <a href="Javascript:showPT('FB6_12_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_3</a> <a href="Javascript:showPT('FB6_12_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_4</a>
</td>
<td align="center" width="10%">MC12</td>
<td align="center" width="10%">STD</td>
@ -159,9 +159,9 @@
<td align="center" width="10%">O</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('iobmES4_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/ES&lt;4&gt;</a></td>
<td align="center" width="10%">4</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB6_13_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_1</a> <a href="Javascript:showPT('FB6_13_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_2</a> <a href="Javascript:showPT('FB6_13_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_3</a> <a href="Javascript:showPT('FB6_13_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_4</a>
<td align="center" width="10%"><a href="Javascript:showEqn('iobmIOS0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOS0</a></td>
<td align="center" width="10%">5</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB6_13_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_1</a> <a href="Javascript:showPT('FB6_13_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_2</a> <a href="Javascript:showPT('FB6_13_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_3</a> <a href="Javascript:showPT('FB6_13_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_4</a> <a href="Javascript:showPT('FB6_13_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_5</a>
</td>
<td align="center" width="10%">MC13</td>
<td align="center" width="10%">STD</td>
@ -192,7 +192,7 @@
<td align="center" width="10%">O</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('iobmES2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/ES&lt;2&gt;</a></td>
<td align="center" width="10%"><a href="Javascript:showEqn('ALE0M')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ALE0M</a></td>
<td align="center" width="10%">5</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB6_16_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_1</a> <a href="Javascript:showPT('FB6_16_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_2</a> <a href="Javascript:showPT('FB6_16_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_3</a> <a href="Javascript:showPT('FB6_16_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_4</a> <a href="Javascript:showPT('FB6_16_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_5</a>
</td>
@ -215,8 +215,8 @@
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('IOACT')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">IOACT</a></td>
<td align="center" width="10%">6</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB6_17_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_2</a> <a href="Javascript:showPT('FB6_18_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_1</a> <a href="Javascript:showPT('FB6_18_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_2</a> <a href="Javascript:showPT('FB6_18_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_3</a> <a href="Javascript:showPT('FB6_18_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_4</a> <a href="Javascript:showPT('FB6_18_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_5</a>
<td align="center" width="10%">8</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB6_17_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_2</a> <a href="Javascript:showPT('FB6_17_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_3</a> <a href="Javascript:showPT('FB6_17_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_4</a> <a href="Javascript:showPT('FB6_18_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_1</a> <a href="Javascript:showPT('FB6_18_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_2</a> <a href="Javascript:showPT('FB6_18_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_3</a> <a href="Javascript:showPT('FB6_18_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_4</a> <a href="Javascript:showPT('FB6_18_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_5</a>
</td>
<td align="center" width="10%">MC18</td>
<td align="center" width="10%">STD</td>
@ -229,31 +229,39 @@
<br><span id="fbsiguse" class="pgRef"><b>Signals Used By Logic in Function Block</b><br><ol>
<li><a href="Javascript:showEqn('ALE0M')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ALE0M</a></li>
<li><a href="Javascript:showEqn('ALE0S')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ALE0S</a></li>
<li>C8M</li>
<li>E</li>
<li><a href="Javascript:showEqn('IOACT')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">IOACT</a></li>
<li><a href="Javascript:showEqn('IOBERR')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">IOBERR</a></li>
<li><a href="Javascript:showEqn('IODONE')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">IODONE</a></li>
<li><a href="Javascript:showEqn('IOL0')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">IOL0</a></li>
<li><a href="Javascript:showEqn('IORW0')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">IORW0</a></li>
<li><a href="Javascript:showEqn('IOU0')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">IOU0</a></li>
<li><a href="Javascript:showEqn('iobmBERRrf_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/BERRrf</a></li>
<li><a href="Javascript:showEqn('iobmDTACKrf_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/DTACKrf</a></li>
<li>nRES.PIN</li>
<li><a href="Javascript:showEqn('iobmC8Mr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/C8Mr</a></li>
<li><a href="Javascript:showEqn('iobmDoutOE_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/DoutOE</a></li>
<li><a href="Javascript:showEqn('iobmES0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/ES&lt;0&gt;</a></li>
<li><a href="Javascript:showEqn('iobmES1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/ES&lt;1&gt;</a></li>
<li><a href="Javascript:showEqn('iobmES2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/ES&lt;2&gt;</a></li>
<li><a href="Javascript:showEqn('iobmES3_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/ES&lt;3&gt;</a></li>
<li><a href="Javascript:showEqn('iobmES4_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/ES&lt;4&gt;</a></li>
<li><a href="Javascript:showEqn('iobmETACK_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/ETACK</a></li>
<li><a href="Javascript:showEqn('iobmEr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/Er</a></li>
<li><a href="Javascript:showEqn('iobmEr2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/Er2</a></li>
<li><a href="Javascript:showEqn('iobmIOREQr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOREQr</a></li>
<li><a href="Javascript:showEqn('iobmIORDREQr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IORDREQr</a></li>
<li><a href="Javascript:showEqn('iobmIOS0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOS0</a></li>
<li><a href="Javascript:showEqn('iobmIOS_FSM_FFd1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOS_FSM_FFd1</a></li>
<li><a href="Javascript:showEqn('iobmIOS_FSM_FFd2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOS_FSM_FFd2</a></li>
<li><a href="Javascript:showEqn('iobmIOS_FSM_FFd3_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOS_FSM_FFd3</a></li>
<li><a href="Javascript:showEqn('iobmRESrf_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/RESrf</a></li>
<li><a href="Javascript:showEqn('iobmIOS_FSM_FFd4_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOS_FSM_FFd4</a></li>
<li><a href="Javascript:showEqn('iobmIOS_FSM_FFd5_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOS_FSM_FFd5</a></li>
<li><a href="Javascript:showEqn('iobmIOS_FSM_FFd6_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOS_FSM_FFd6</a></li>
<li><a href="Javascript:showEqn('iobmIOS_FSM_FFd7_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOS_FSM_FFd7</a></li>
<li><a href="Javascript:showEqn('iobmIOWRREQr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOWRREQr</a></li>
<li><a href="Javascript:showEqn('iobmVPAr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/VPAr</a></li>
<li><a href="Javascript:showEqn('iobsClear1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/Clear1</a></li>
<li><a href="Javascript:showEqn('iobsLoad1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/Load1</a></li>
<li><a href="Javascript:showEqn('nADoutLE1')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nADoutLE1</a></li>
<li><a href="Javascript:showEqn('nAS_IOB')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nAS_IOB</a></li>
<li><a href="Javascript:showEqn('nAoutOE')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nAoutOE</a></li>
<li>nDTACK_IOB</li>
<li><a href="Javascript:showEqn('nLDS_IOB')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nLDS_IOB</a></li>
<li><a href="Javascript:showEqn('nUDS_IOB')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nUDS_IOB</a></li>
<li><a href="Javascript:showEqn('nVMA_IOB')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nVMA_IOB</a></li>
</ol></span><form><span class="pgRef"><table width="90%" align="center"><tr>
<td align="left"><input type="button" onclick="javascript:parent.leftnav.showTop()" onmouseover="window.status='goto top of page'; return true;" onmouseout="window.status=''" value="back to top"></td>

View File

@ -49,9 +49,9 @@
<td align="center" width="10%">O</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('csnOverlay_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cs/nOverlay</a></td>
<td align="center" width="10%">2</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB7_3_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_1</a> <a href="Javascript:showPT('FB7_3_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_2</a>
<td align="center" width="10%"><a href="Javascript:showEqn('cntINITS_FSM_FFd1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/INITS_FSM_FFd1</a></td>
<td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB7_3_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_1</a>
</td>
<td align="center" width="10%">MC3</td>
<td align="center" width="10%">STD</td>
@ -60,9 +60,9 @@
<td align="center" width="10%">(b)</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('cntLTimer9_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;9&gt;</a></td>
<td align="center" width="10%">2</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB7_4_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">4_1</a> <a href="Javascript:showPT('FB7_4_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">4_2</a>
<td align="center" width="10%"><a href="Javascript:showEqn('cntEr1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/Er&lt;1&gt;</a></td>
<td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB7_4_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">4_1</a>
</td>
<td align="center" width="10%">MC4</td>
<td align="center" width="10%">STD</td>
@ -93,7 +93,7 @@
<td align="center" width="10%">O</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('cntLTimer8_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;8&gt;</a></td>
<td align="center" width="10%"><a href="Javascript:showEqn('cntTimerTC_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/TimerTC</a></td>
<td align="center" width="10%">2</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB7_7_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_1</a> <a href="Javascript:showPT('FB7_7_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_2</a>
</td>
@ -105,8 +105,8 @@
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('RA8_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">RA&lt;8&gt;</a></td>
<td align="center" width="10%">5</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB7_8_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_1</a> <a href="Javascript:showPT('FB7_8_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_2</a> <a href="Javascript:showPT('FB7_8_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_3</a> <a href="Javascript:showPT('FB7_8_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_4</a> <a href="Javascript:showPT('FB7_8_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_5</a>
<td align="center" width="10%">2</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB7_8_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_1</a> <a href="Javascript:showPT('FB7_8_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_2</a>
</td>
<td align="center" width="10%">MC8</td>
<td align="center" width="10%">STD</td>
@ -116,8 +116,8 @@
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('RA10_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">RA&lt;10&gt;</a></td>
<td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB7_9_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_1</a>
<td align="center" width="10%">2</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB7_9_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_1</a> <a href="Javascript:showPT('FB7_9_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_2</a>
</td>
<td align="center" width="10%">MC9</td>
<td align="center" width="10%">STD</td>
@ -126,7 +126,7 @@
<td align="center" width="10%">O</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('cntLTimer7_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;7&gt;</a></td>
<td align="center" width="10%"><a href="Javascript:showEqn('cntTimer0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/Timer&lt;0&gt;</a></td>
<td align="center" width="10%">2</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB7_10_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_1</a> <a href="Javascript:showPT('FB7_10_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_2</a>
</td>
@ -159,7 +159,7 @@
<td align="center" width="10%">O</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('cntLTimer3_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;3&gt;</a></td>
<td align="center" width="10%"><a href="Javascript:showEqn('cntINITS_FSM_FFd2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/INITS_FSM_FFd2</a></td>
<td align="center" width="10%">2</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB7_13_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_1</a> <a href="Javascript:showPT('FB7_13_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_2</a>
</td>
@ -172,7 +172,7 @@
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('C20MEN')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">C20MEN</a></td>
<td align="center" width="10%">0</td>
<td align="center" width="30%"> <a href="Javascript:showPT('VCC')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''"></a>
<td align="center" width="30%"> <a href="Javascript:showPT('GND')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''"></a>
</td>
<td align="center" width="10%">MC14</td>
<td align="center" width="10%">STD</td>
@ -181,7 +181,7 @@
<td align="center" width="10%">O</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('cntLTimer2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;2&gt;</a></td>
<td align="center" width="10%"><a href="Javascript:showEqn('RefReq')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">RefReq</a></td>
<td align="center" width="10%">2</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB7_15_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_1</a> <a href="Javascript:showPT('FB7_15_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_2</a>
</td>
@ -192,9 +192,9 @@
<td align="center" width="10%">(b)</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('cntLTimer1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;1&gt;</a></td>
<td align="center" width="10%">2</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB7_16_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_1</a> <a href="Javascript:showPT('FB7_16_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_2</a>
<td align="center" width="10%"><a href="Javascript:showEqn('cntTimer1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/Timer&lt;1&gt;</a></td>
<td align="center" width="10%">4</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB7_16_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_1</a> <a href="Javascript:showPT('FB7_16_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_2</a> <a href="Javascript:showPT('FB7_16_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_3</a> <a href="Javascript:showPT('FB7_16_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_4</a>
</td>
<td align="center" width="10%">MC16</td>
<td align="center" width="10%">STD</td>
@ -203,9 +203,9 @@
<td align="center" width="10%">(b)</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('cntLTimer11_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;11&gt;</a></td>
<td align="center" width="10%">2</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB7_17_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_1</a> <a href="Javascript:showPT('FB7_17_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_2</a>
<td align="center" width="10%"><a href="Javascript:showEqn('cntTimer2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/Timer&lt;2&gt;</a></td>
<td align="center" width="10%">5</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB7_17_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_1</a> <a href="Javascript:showPT('FB7_17_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_2</a> <a href="Javascript:showPT('FB7_17_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_3</a> <a href="Javascript:showPT('FB7_17_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_4</a> <a href="Javascript:showPT('FB7_17_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_5</a>
</td>
<td align="center" width="10%">MC17</td>
<td align="center" width="10%">STD</td>
@ -214,9 +214,9 @@
<td align="center" width="10%">(b)</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('cntLTimer10_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;10&gt;</a></td>
<td align="center" width="10%">2</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB7_18_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_1</a> <a href="Javascript:showPT('FB7_18_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_2</a>
<td align="center" width="10%"><a href="Javascript:showEqn('RefUrg')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">RefUrg</a></td>
<td align="center" width="10%">5</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB7_18_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_1</a> <a href="Javascript:showPT('FB7_18_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_2</a> <a href="Javascript:showPT('FB7_18_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_3</a> <a href="Javascript:showPT('FB7_18_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_4</a> <a href="Javascript:showPT('FB7_18_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_5</a>
</td>
<td align="center" width="10%">MC18</td>
<td align="center" width="10%">STD</td>
@ -228,37 +228,28 @@
<div id="tipBox"></div>
<br><span id="fbsiguse" class="pgRef"><b>Signals Used By Logic in Function Block</b><br><ol>
<li>A_FSB&lt;10&gt;</li>
<li>A_FSB&lt;11&gt;</li>
<li>A_FSB&lt;14&gt;</li>
<li>A_FSB&lt;15&gt;</li>
<li>A_FSB&lt;17&gt;</li>
<li>A_FSB&lt;18&gt;</li>
<li>A_FSB&lt;19&gt;</li>
<li>A_FSB&lt;1&gt;</li>
<li>A_FSB&lt;20&gt;</li>
<li>A_FSB&lt;21&gt;</li>
<li>A_FSB&lt;22&gt;</li>
<li>A_FSB&lt;23&gt;</li>
<li>A_FSB&lt;2&gt;</li>
<li>A_FSB&lt;6&gt;</li>
<li>A_FSB&lt;7&gt;</li>
<li>A_FSB&lt;8&gt;</li>
<li>A_FSB&lt;9&gt;</li>
<li>nRES.PIN</li>
<li><a href="Javascript:showEqn('RefUrg')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">RefUrg</a></li>
<li><a href="Javascript:showEqn('cntEr0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/Er&lt;0&gt;</a></li>
<li><a href="Javascript:showEqn('cntEr1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/Er&lt;1&gt;</a></li>
<li><a href="Javascript:showEqn('cntLTimer0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;0&gt;</a></li>
<li><a href="Javascript:showEqn('cntLTimer10_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;10&gt;</a></li>
<li><a href="Javascript:showEqn('cntLTimer1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;1&gt;</a></li>
<li><a href="Javascript:showEqn('cntLTimer2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;2&gt;</a></li>
<li><a href="Javascript:showEqn('cntLTimer3_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;3&gt;</a></li>
<li><a href="Javascript:showEqn('cntLTimer4_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;4&gt;</a></li>
<li><a href="Javascript:showEqn('cntLTimer5_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;5&gt;</a></li>
<li><a href="Javascript:showEqn('cntLTimer6_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;6&gt;</a></li>
<li><a href="Javascript:showEqn('cntLTimer7_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;7&gt;</a></li>
<li><a href="Javascript:showEqn('cntLTimer8_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;8&gt;</a></li>
<li><a href="Javascript:showEqn('cntLTimer9_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;9&gt;</a></li>
<li><a href="Javascript:showEqn('cntINITS_FSM_FFd1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/INITS_FSM_FFd1</a></li>
<li><a href="Javascript:showEqn('cntINITS_FSM_FFd2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/INITS_FSM_FFd2</a></li>
<li><a href="Javascript:showEqn('cntLTimerTC_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimerTC</a></li>
<li><a href="Javascript:showEqn('cntTimer0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/Timer&lt;0&gt;</a></li>
<li><a href="Javascript:showEqn('cntTimer1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/Timer&lt;1&gt;</a></li>
<li><a href="Javascript:showEqn('cntTimer2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/Timer&lt;2&gt;</a></li>
<li><a href="Javascript:showEqn('cntTimerTC_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/TimerTC</a></li>
<li><a href="Javascript:showEqn('csODCSr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cs/ODCSr</a></li>
<li><a href="Javascript:showEqn('csnOverlay_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cs/nOverlay</a></li>
<li><a href="Javascript:showEqn('fsbASrf_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">fsb/ASrf</a></li>
<li>nAS_FSB</li>
<li><a href="Javascript:showEqn('cntnIPL2r_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/nIPL2r</a></li>
<li><a href="Javascript:showEqn('ramRASEL_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RASEL</a></li>
</ol></span><form><span class="pgRef"><table width="90%" align="center"><tr>
<td align="left"><input type="button" onclick="javascript:parent.leftnav.showTop()" onmouseover="window.status='goto top of page'; return true;" onmouseout="window.status=''" value="back to top"></td>

View File

@ -38,8 +38,8 @@
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('RA11_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">RA&lt;11&gt;</a></td>
<td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB8_2_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_1</a>
<td align="center" width="10%">2</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB8_2_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_1</a> <a href="Javascript:showPT('FB8_2_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_2</a>
</td>
<td align="center" width="10%">MC2</td>
<td align="center" width="10%">STD</td>
@ -48,20 +48,19 @@
<td align="center" width="10%">O</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('ramRAMEN_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RAMEN</a></td>
<td align="center" width="10%">9</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB8_2_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_2</a> <a href="Javascript:showPT('FB8_2_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_3</a> <a href="Javascript:showPT('FB8_2_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_4</a> <a href="Javascript:showPT('FB8_2_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_5</a> <a href="Javascript:showPT('FB8_3_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_1</a> <a href="Javascript:showPT('FB8_3_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_2</a> <a href="Javascript:showPT('FB8_3_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_3</a> <a href="Javascript:showPT('FB8_3_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_4</a> <a href="Javascript:showPT('FB8_3_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_5</a>
</td>
<td align="center" width="10%">(unused)</td>
<td align="center" width="10%">0</td>
<td align="center" width="30%"> </td>
<td align="center" width="10%">MC3</td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">(b)</td>
<td align="center" width="10%">(b)</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('ramRAMReady_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RAMReady</a></td>
<td align="center" width="10%">9</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB8_4_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">4_1</a> <a href="Javascript:showPT('FB8_4_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">4_2</a> <a href="Javascript:showPT('FB8_4_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">4_3</a> <a href="Javascript:showPT('FB8_4_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">4_4</a> <a href="Javascript:showPT('FB8_4_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">4_5</a> <a href="Javascript:showPT('FB8_5_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">5_2</a> <a href="Javascript:showPT('FB8_5_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">5_3</a> <a href="Javascript:showPT('FB8_5_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">5_4</a> <a href="Javascript:showPT('FB8_5_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">5_5</a>
<td align="center" width="10%"><a href="Javascript:showEqn('iobsTS_FSM_FFd2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/TS_FSM_FFd2</a></td>
<td align="center" width="10%">14</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB8_3_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_1</a> <a href="Javascript:showPT('FB8_3_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_2</a> <a href="Javascript:showPT('FB8_3_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_3</a> <a href="Javascript:showPT('FB8_3_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_4</a> <a href="Javascript:showPT('FB8_3_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_5</a> <a href="Javascript:showPT('FB8_4_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">4_1</a> <a href="Javascript:showPT('FB8_4_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">4_2</a> <a href="Javascript:showPT('FB8_4_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">4_3</a> <a href="Javascript:showPT('FB8_4_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">4_4</a> <a href="Javascript:showPT('FB8_4_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">4_5</a> <a href="Javascript:showPT('FB8_5_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">5_2</a> <a href="Javascript:showPT('FB8_5_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">5_3</a> <a href="Javascript:showPT('FB8_5_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">5_4</a> <a href="Javascript:showPT('FB8_5_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">5_5</a>
</td>
<td align="center" width="10%">MC4</td>
<td align="center" width="10%">STD</td>
@ -71,8 +70,8 @@
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('nRAS')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nRAS</a></td>
<td align="center" width="10%">2</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB8_5_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">5_1</a> <a href="Javascript:showPT('FB8_6_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">6_2</a>
<td align="center" width="10%">3</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB8_5_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">5_1</a> <a href="Javascript:showPT('FB8_6_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">6_2</a> <a href="Javascript:showPT('FB8_6_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">6_3</a>
</td>
<td align="center" width="10%">MC5</td>
<td align="center" width="10%">STD</td>
@ -92,12 +91,11 @@
<td align="center" width="10%">O</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('ramRS_FSM_FFd2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RS_FSM_FFd2</a></td>
<td align="center" width="10%">7</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB8_7_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_1</a> <a href="Javascript:showPT('FB8_7_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_2</a> <a href="Javascript:showPT('FB8_7_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_3</a> <a href="Javascript:showPT('FB8_7_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_4</a> <a href="Javascript:showPT('FB8_7_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_5</a> <a href="Javascript:showPT('FB8_8_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_2</a> <a href="Javascript:showPT('FB8_8_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_3</a>
</td>
<td align="center" width="10%">(unused)</td>
<td align="center" width="10%">0</td>
<td align="center" width="30%"> </td>
<td align="center" width="10%">MC7</td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">(b)</td>
<td align="center" width="10%">(b)</td>
@ -105,7 +103,7 @@
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('nRAMUWE')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nRAMUWE</a></td>
<td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB8_8_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_1</a>
<td align="center" width="30%"> <a href="Javascript:showPT('FB8_7_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_1</a>
</td>
<td align="center" width="10%">MC8</td>
<td align="center" width="10%">STD</td>
@ -114,9 +112,9 @@
<td align="center" width="10%">O</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('ramRS_FSM_FFd3_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RS_FSM_FFd3</a></td>
<td align="center" width="10%">7</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB8_10_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_4</a> <a href="Javascript:showPT('FB8_10_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_5</a> <a href="Javascript:showPT('FB8_9_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_1</a> <a href="Javascript:showPT('FB8_9_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_2</a> <a href="Javascript:showPT('FB8_9_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_3</a> <a href="Javascript:showPT('FB8_9_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_4</a> <a href="Javascript:showPT('FB8_9_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_5</a>
<td align="center" width="10%"><a href="Javascript:showEqn('IOWRREQ')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">IOWRREQ</a></td>
<td align="center" width="10%">15</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB8_10_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_1</a> <a href="Javascript:showPT('FB8_10_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_2</a> <a href="Javascript:showPT('FB8_10_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_3</a> <a href="Javascript:showPT('FB8_10_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_4</a> <a href="Javascript:showPT('FB8_10_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_5</a> <a href="Javascript:showPT('FB8_8_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_1</a> <a href="Javascript:showPT('FB8_8_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_2</a> <a href="Javascript:showPT('FB8_8_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_3</a> <a href="Javascript:showPT('FB8_8_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_4</a> <a href="Javascript:showPT('FB8_8_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_5</a> <a href="Javascript:showPT('FB8_9_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_1</a> <a href="Javascript:showPT('FB8_9_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_2</a> <a href="Javascript:showPT('FB8_9_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_3</a> <a href="Javascript:showPT('FB8_9_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_4</a> <a href="Javascript:showPT('FB8_9_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_5</a>
</td>
<td align="center" width="10%">MC9</td>
<td align="center" width="10%">STD</td>
@ -125,31 +123,29 @@
<td align="center" width="10%">(b)</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('ramRS_FSM_FFd1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RS_FSM_FFd1</a></td>
<td align="center" width="10%">3</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB8_10_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_1</a> <a href="Javascript:showPT('FB8_10_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_2</a> <a href="Javascript:showPT('FB8_10_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_3</a>
</td>
<td align="center" width="10%">(unused)</td>
<td align="center" width="10%">0</td>
<td align="center" width="30%"> </td>
<td align="center" width="10%">MC10</td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">(b)</td>
<td align="center" width="10%">(b)</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('cntINITS_FSM_FFd2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/INITS_FSM_FFd2</a></td>
<td align="center" width="10%">2</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB8_11_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">11_1</a> <a href="Javascript:showPT('FB8_11_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">11_2</a>
</td>
<td align="center" width="10%">(unused)</td>
<td align="center" width="10%">0</td>
<td align="center" width="30%"> </td>
<td align="center" width="10%">MC11</td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">68</td>
<td width="8%" align="center">I/O</td>
<td align="center" width="10%">(b)</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('nBERR_FSB')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nBERR_FSB</a></td>
<td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB8_12_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_1</a>
<td align="center" width="10%">3</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB8_11_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">11_1</a> <a href="Javascript:showPT('FB8_11_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">11_2</a> <a href="Javascript:showPT('FB8_12_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_1</a>
</td>
<td align="center" width="10%">MC12</td>
<td align="center" width="10%">STD</td>
@ -158,9 +154,9 @@
<td align="center" width="10%">O</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('cntLTimer4_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;4&gt;</a></td>
<td align="center" width="10%">2</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB8_13_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_1</a> <a href="Javascript:showPT('FB8_13_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_2</a>
<td align="center" width="10%"><a href="Javascript:showEqn('IOU0')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">IOU0</a></td>
<td align="center" width="10%">17</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB8_12_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_2</a> <a href="Javascript:showPT('FB8_12_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_3</a> <a href="Javascript:showPT('FB8_12_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_4</a> <a href="Javascript:showPT('FB8_12_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_5</a> <a href="Javascript:showPT('FB8_13_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_1</a> <a href="Javascript:showPT('FB8_13_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_2</a> <a href="Javascript:showPT('FB8_13_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_3</a> <a href="Javascript:showPT('FB8_13_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_4</a> <a href="Javascript:showPT('FB8_13_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_5</a> <a href="Javascript:showPT('FB8_14_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_1</a> <a href="Javascript:showPT('FB8_14_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_2</a> <a href="Javascript:showPT('FB8_14_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_3</a> <a href="Javascript:showPT('FB8_14_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_4</a> <a href="Javascript:showPT('FB8_14_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_5</a> <a href="Javascript:showPT('FB8_15_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_3</a> <a href="Javascript:showPT('FB8_15_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_4</a> <a href="Javascript:showPT('FB8_15_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_5</a>
</td>
<td align="center" width="10%">MC13</td>
<td align="center" width="10%">STD</td>
@ -169,12 +165,11 @@
<td align="center" width="10%">(b)</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('cntLTimer5_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;5&gt;</a></td>
<td align="center" width="10%">2</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB8_14_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_1</a> <a href="Javascript:showPT('FB8_14_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_2</a>
</td>
<td align="center" width="10%">(unused)</td>
<td align="center" width="10%">0</td>
<td align="center" width="30%"> </td>
<td align="center" width="10%">MC14</td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">71</td>
<td width="8%" align="center">I/O</td>
<td align="center" width="10%">(b)</td>
@ -191,9 +186,9 @@
<td align="center" width="10%">O</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('cntLTimer6_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;6&gt;</a></td>
<td align="center" width="10%">2</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB8_16_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_1</a> <a href="Javascript:showPT('FB8_16_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_2</a>
<td align="center" width="10%"><a href="Javascript:showEqn('iobsClear1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/Clear1</a></td>
<td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB8_16_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_1</a>
</td>
<td align="center" width="10%">MC16</td>
<td align="center" width="10%">STD</td>
@ -202,9 +197,9 @@
<td align="center" width="10%">(b)</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('cntINITS_FSM_FFd1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/INITS_FSM_FFd1</a></td>
<td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB8_17_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_1</a>
<td align="center" width="10%"><a href="Javascript:showEqn('IONPReady')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">IONPReady</a></td>
<td align="center" width="10%">5</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB8_16_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_2</a> <a href="Javascript:showPT('FB8_16_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_3</a> <a href="Javascript:showPT('FB8_16_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_4</a> <a href="Javascript:showPT('FB8_16_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_5</a> <a href="Javascript:showPT('FB8_17_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_1</a>
</td>
<td align="center" width="10%">MC17</td>
<td align="center" width="10%">STD</td>
@ -213,9 +208,9 @@
<td align="center" width="10%">(b)</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('ramRASEL_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RASEL</a></td>
<td align="center" width="10%">14</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB8_17_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_2</a> <a href="Javascript:showPT('FB8_17_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_3</a> <a href="Javascript:showPT('FB8_17_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_4</a> <a href="Javascript:showPT('FB8_17_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_5</a> <a href="Javascript:showPT('FB8_18_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_1</a> <a href="Javascript:showPT('FB8_18_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_2</a> <a href="Javascript:showPT('FB8_18_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_3</a> <a href="Javascript:showPT('FB8_18_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_4</a> <a href="Javascript:showPT('FB8_18_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_5</a> <a href="Javascript:showPT('FB8_1_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_1</a> <a href="Javascript:showPT('FB8_1_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_2</a> <a href="Javascript:showPT('FB8_1_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_3</a> <a href="Javascript:showPT('FB8_1_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_4</a> <a href="Javascript:showPT('FB8_1_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_5</a>
<td align="center" width="10%"><a href="Javascript:showEqn('IOL0')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">IOL0</a></td>
<td align="center" width="10%">17</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB8_17_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_2</a> <a href="Javascript:showPT('FB8_17_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_3</a> <a href="Javascript:showPT('FB8_17_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_4</a> <a href="Javascript:showPT('FB8_17_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_5</a> <a href="Javascript:showPT('FB8_18_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_1</a> <a href="Javascript:showPT('FB8_18_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_2</a> <a href="Javascript:showPT('FB8_18_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_3</a> <a href="Javascript:showPT('FB8_18_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_4</a> <a href="Javascript:showPT('FB8_18_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_5</a> <a href="Javascript:showPT('FB8_1_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_1</a> <a href="Javascript:showPT('FB8_1_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_2</a> <a href="Javascript:showPT('FB8_1_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_3</a> <a href="Javascript:showPT('FB8_1_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_4</a> <a href="Javascript:showPT('FB8_1_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_5</a> <a href="Javascript:showPT('FB8_2_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_3</a> <a href="Javascript:showPT('FB8_2_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_4</a> <a href="Javascript:showPT('FB8_2_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_5</a>
</td>
<td align="center" width="10%">MC18</td>
<td align="center" width="10%">STD</td>
@ -226,39 +221,45 @@
</table></span></div>
<div id="tipBox"></div>
<br><span id="fbsiguse" class="pgRef"><b>Signals Used By Logic in Function Block</b><br><ol>
<li>A_FSB&lt;13&gt;</li>
<li>A_FSB&lt;14&gt;</li>
<li>A_FSB&lt;16&gt;</li>
<li>A_FSB&lt;17&gt;</li>
<li>A_FSB&lt;18&gt;</li>
<li>A_FSB&lt;19&gt;</li>
<li>A_FSB&lt;20&gt;</li>
<li>A_FSB&lt;21&gt;</li>
<li>A_FSB&lt;22&gt;</li>
<li>A_FSB&lt;23&gt;</li>
<li><a href="Javascript:showEqn('cntEr0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/Er&lt;0&gt;</a></li>
<li><a href="Javascript:showEqn('cntEr1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/Er&lt;1&gt;</a></li>
<li><a href="Javascript:showEqn('IOBERR')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">IOBERR</a></li>
<li><a href="Javascript:showEqn('IOL0')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">IOL0</a></li>
<li><a href="Javascript:showEqn('IONPReady')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">IONPReady</a></li>
<li><a href="Javascript:showEqn('IOU0')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">IOU0</a></li>
<li><a href="Javascript:showEqn('IOWRREQ')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">IOWRREQ</a></li>
<li><a href="Javascript:showEqn('cntINITS_FSM_FFd1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/INITS_FSM_FFd1</a></li>
<li><a href="Javascript:showEqn('cntINITS_FSM_FFd2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/INITS_FSM_FFd2</a></li>
<li><a href="Javascript:showEqn('cntLTimer0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;0&gt;</a></li>
<li><a href="Javascript:showEqn('cntLTimer1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;1&gt;</a></li>
<li><a href="Javascript:showEqn('cntLTimer2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;2&gt;</a></li>
<li><a href="Javascript:showEqn('cntLTimer3_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;3&gt;</a></li>
<li><a href="Javascript:showEqn('cntLTimer4_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;4&gt;</a></li>
<li><a href="Javascript:showEqn('cntLTimer5_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;5&gt;</a></li>
<li><a href="Javascript:showEqn('cntLTimerTC_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimerTC</a></li>
<li><a href="Javascript:showEqn('cntTimerTC_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/TimerTC</a></li>
<li><a href="Javascript:showEqn('cntnIPL2r_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/nIPL2r</a></li>
<li><a href="Javascript:showEqn('csnOverlay_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cs/nOverlay</a></li>
<li><a href="Javascript:showEqn('fsbASrf_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">fsb/ASrf</a></li>
<li><a href="Javascript:showEqn('iobsDTACKEN_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/DTACKEN</a></li>
<li><a href="Javascript:showEqn('iobsIOACTr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/IOACTr</a></li>
<li><a href="Javascript:showEqn('iobsIODONEr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/IODONEr</a></li>
<li><a href="Javascript:showEqn('iobsIOL1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/IOL1</a></li>
<li><a href="Javascript:showEqn('iobsIORW1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/IORW1</a></li>
<li><a href="Javascript:showEqn('iobsIOU1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/IOU1</a></li>
<li><a href="Javascript:showEqn('iobsSent_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/Sent</a></li>
<li><a href="Javascript:showEqn('iobsTS_FSM_FFd1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/TS_FSM_FFd1</a></li>
<li><a href="Javascript:showEqn('iobsTS_FSM_FFd2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/TS_FSM_FFd2</a></li>
<li><a href="Javascript:showEqn('nADoutLE1')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nADoutLE1</a></li>
<li>nAS_FSB</li>
<li>nBERR_IOB</li>
<li><a href="Javascript:showEqn('nBERR_FSB')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nBERR_FSB</a></li>
<li><a href="Javascript:showEqn('nBR_IOB')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nBR_IOB</a></li>
<li>nLDS_FSB</li>
<li>nUDS_FSB</li>
<li>nWE_FSB</li>
<li><a href="Javascript:showEqn('ramBACTr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/BACTr</a></li>
<li><a href="Javascript:showEqn('ramRAMEN_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RAMEN</a></li>
<li><a href="Javascript:showEqn('ramRS_FSM_FFd1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RS_FSM_FFd1</a></li>
<li><a href="Javascript:showEqn('ramRS_FSM_FFd2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RS_FSM_FFd2</a></li>
<li><a href="Javascript:showEqn('ramRS_FSM_FFd3_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RS_FSM_FFd3</a></li>
<li><a href="Javascript:showEqn('ramRefRAS_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RefRAS</a></li>
<li><a href="Javascript:showEqn('ramRefReq_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RefReq</a></li>
<li><a href="Javascript:showEqn('ramRefUrg_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RefUrg</a></li>
<li><a href="Javascript:showEqn('ramRASEL_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RASEL</a></li>
<li><a href="Javascript:showEqn('ramRASrf_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RASrf</a></li>
<li><a href="Javascript:showEqn('ramRASrr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RASrr</a></li>
</ol></span><form><span class="pgRef"><table width="90%" align="center"><tr>
<td align="left"><input type="button" onclick="javascript:parent.leftnav.showTop()" onmouseover="window.status='goto top of page'; return true;" onmouseout="window.status=''" value="back to top"></td>
<td align="center"><table align="center" width="90%" border="0" cellpadding="0" cellspacing="0"><tr><td width="100%" align="center"><input type="button" onclick="javascript:showFB('FB7')" onmouseover="window.status='show previous Function Block'; return true;" onmouseout="window.status=''" value="prev"></td></tr></table></td>

File diff suppressed because it is too large Load Diff

File diff suppressed because it is too large Load Diff

File diff suppressed because it is too large Load Diff

View File

@ -30,7 +30,7 @@
<tr>
<td width="40%"> <b>Date</b>
</td>
<td width="60%">  4- 1-2023, 8:21AM</td>
<td width="60%">  4- 7-2023, 2:26AM</td>
</tr>
</table></span><br><span id="sumres" class="pgRef"><h5 align="center">RESOURCES SUMMARY</h5>
<table align="center" width="90%" border="1" cellspacing="0" cellpadding="0">
@ -42,11 +42,11 @@
<th width="20%">Function Block Inputs Used</th>
</tr>
<tr>
<td width="20%" align="center">117/144  (82%)</td>
<td width="20%" align="center">371/720  (52%)</td>
<td width="20%" align="center">90/144  (63%)</td>
<td width="20%" align="center">121/144  (85%)</td>
<td width="20%" align="center">395/720  (55%)</td>
<td width="20%" align="center">97/144  (68%)</td>
<td width="20%" align="center">71/81  (88%)</td>
<td width="20%" align="center">217/432  (51%)</td>
<td width="20%" align="center">236/432  (55%)</td>
</tr>
</table></span><br><span id="pinres" class="pgRef"><h5 align="center">PIN RESOURCES</h5>
<table align="center" width="90%" border="0" cellspacing="0" cellpadding="0"><tr>
@ -133,7 +133,7 @@
<table align="center" width="90%" border="1" cellspacing="0" cellpadding="0">
<tr>
<td width="50%"> Macrocells in high performance mode (MCHP)</td>
<td width="50%"> 117</td>
<td width="50%"> 121</td>
</tr>
<tr>
<td width="50%"> Macrocells in low power mode (MCLP)</td>
@ -141,7 +141,7 @@
</tr>
<tr>
<td width="50%"> Total macrocells used (MC)</td>
<td width="50%"> 117</td>
<td width="50%"> 121</td>
</tr>
</table></span><form><span class="pgRef"><table width="90%" align="center"><tr>
<td align="left"><input type="button" onclick="javascript:parent.leftnav.showTop()" onmouseover="window.status='goto top of page'; return true;" onmouseout="window.status=''" value="back to top"></td>

View File

@ -1,4 +1,3 @@
<html><body>
<pre>
</pre>
No Timing Data Available...
</body></html>

View File

@ -5,10 +5,7 @@
</head>
<frameset rows="94,*" cols="*" frameborder="NO" border="0" framespacing="0">;
<frame name="topFrame" scrolling="NO" noresize src="topnav.htm" marginwidth="0" marginheight="0">
<frameset cols="160,*" frameborder="NO" border="0" framespacing="0" rows="*">
<frame name="leftFrame" noresize scrolling="NO" src="leftnav.htm" marginwidth="0" marginheight="0">
<frame name="mainFrame" src="timing_report.htm" marginwidth="0" marginheight="0">
</frameset>
<frame name="mainFrame" src="timing_report.htm" marginwidth="0" marginheight="0">
</frameset>
<noframes>
<body bgcolor="#FFFFFF" text="#000000">

File diff suppressed because it is too large Load Diff

View File

@ -5,7 +5,7 @@
The structure and the elements are likely to change over the next few releases.
This means code written to parse this file will need to be revisited each subsequent release.-->
<application stringID="NgdBuild" timeStamp="Fri Apr 07 00:28:58 2023">
<application stringID="NgdBuild" timeStamp="Fri Apr 07 02:26:03 2023">
<section stringID="User_Env">
<table stringID="User_EnvVar">
<column stringID="variable"/>
@ -54,7 +54,7 @@
<item DEFAULT="None" label="-intstyle" stringID="NGDBUILD_intstyle" value="ise"/>
<item DEFAULT="None" label="-dd" stringID="NGDBUILD_output_dir" value="_ngo"/>
<item DEFAULT="None" label="-p" stringID="NGDBUILD_partname" value="xc95144xl-TQ100-10"/>
<item DEFAULT="None" label="-uc" stringID="NGDBUILD_ucf_file" value="C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf"/>
<item DEFAULT="None" label="-uc" stringID="NGDBUILD_ucf_file" value="WarpSE-XC95144XL.ucf"/>
</section>
</task>
<task stringID="NGDBUILD_REPORT">
@ -66,35 +66,35 @@
<item dataType="int" stringID="NGDBUILD_NUM_INFOS" value="0"/>
</section>
<section stringID="NGDBUILD_PRE_UNISIM_SUMMARY">
<item dataType="int" stringID="NGDBUILD_NUM_AND2" value="129"/>
<item dataType="int" stringID="NGDBUILD_NUM_AND3" value="20"/>
<item dataType="int" stringID="NGDBUILD_NUM_AND4" value="7"/>
<item dataType="int" stringID="NGDBUILD_NUM_AND2" value="172"/>
<item dataType="int" stringID="NGDBUILD_NUM_AND3" value="25"/>
<item dataType="int" stringID="NGDBUILD_NUM_AND4" value="9"/>
<item dataType="int" stringID="NGDBUILD_NUM_AND5" value="2"/>
<item dataType="int" stringID="NGDBUILD_NUM_FD" value="56"/>
<item dataType="int" stringID="NGDBUILD_NUM_FD" value="61"/>
<item dataType="int" stringID="NGDBUILD_NUM_FDC" value="2"/>
<item dataType="int" stringID="NGDBUILD_NUM_FDCE" value="33"/>
<item dataType="int" stringID="NGDBUILD_NUM_FDP" value="1"/>
<item dataType="int" stringID="NGDBUILD_NUM_GND" value="6"/>
<item dataType="int" stringID="NGDBUILD_NUM_IBUF" value="27"/>
<item dataType="int" stringID="NGDBUILD_NUM_INV" value="190"/>
<item dataType="int" stringID="NGDBUILD_NUM_IBUF" value="35"/>
<item dataType="int" stringID="NGDBUILD_NUM_INV" value="214"/>
<item dataType="int" stringID="NGDBUILD_NUM_OBUF" value="31"/>
<item dataType="int" stringID="NGDBUILD_NUM_OR2" value="69"/>
<item dataType="int" stringID="NGDBUILD_NUM_OR3" value="10"/>
<item dataType="int" stringID="NGDBUILD_NUM_OR2" value="96"/>
<item dataType="int" stringID="NGDBUILD_NUM_OR3" value="11"/>
<item dataType="int" stringID="NGDBUILD_NUM_OR4" value="2"/>
<item dataType="int" stringID="NGDBUILD_NUM_VCC" value="1"/>
<item dataType="int" stringID="NGDBUILD_NUM_XOR2" value="18"/>
</section>
<section stringID="NGDBUILD_POST_UNISIM_SUMMARY">
<item dataType="int" stringID="NGDBUILD_NUM_AND2" value="129"/>
<item dataType="int" stringID="NGDBUILD_NUM_AND3" value="20"/>
<item dataType="int" stringID="NGDBUILD_NUM_AND4" value="7"/>
<item dataType="int" stringID="NGDBUILD_NUM_AND2" value="172"/>
<item dataType="int" stringID="NGDBUILD_NUM_AND3" value="25"/>
<item dataType="int" stringID="NGDBUILD_NUM_AND4" value="9"/>
<item dataType="int" stringID="NGDBUILD_NUM_AND5" value="2"/>
<item dataType="int" stringID="NGDBUILD_NUM_GND" value="65"/>
<item dataType="int" stringID="NGDBUILD_NUM_GND" value="70"/>
<item dataType="int" stringID="NGDBUILD_NUM_IBUF" value="40"/>
<item dataType="int" stringID="NGDBUILD_NUM_INV" value="190"/>
<item dataType="int" stringID="NGDBUILD_NUM_INV" value="214"/>
<item dataType="int" stringID="NGDBUILD_NUM_OBUF" value="31"/>
<item dataType="int" stringID="NGDBUILD_NUM_OR2" value="69"/>
<item dataType="int" stringID="NGDBUILD_NUM_OR3" value="10"/>
<item dataType="int" stringID="NGDBUILD_NUM_OR2" value="96"/>
<item dataType="int" stringID="NGDBUILD_NUM_OR3" value="11"/>
<item dataType="int" stringID="NGDBUILD_NUM_OR4" value="2"/>
<item dataType="int" stringID="NGDBUILD_NUM_VCC" value="1"/>
<item dataType="int" stringID="NGDBUILD_NUM_XOR2" value="18"/>

View File

@ -1,7 +1,7 @@
Release 8.1i - Fit P.20131013
Copyright(c) 1995-2003 Xilinx Inc. All rights reserved
4- 7-2023 0:29AM
4- 7-2023 2:26AM
NOTE: This file is designed to be imported into a spreadsheet program
such as Microsoft Excel for viewing, printing and sorting. The comma ','
@ -19,11 +19,11 @@ Pinout by Pin Number:
-----,-----,-----,-----,-----,-----,-----,-----,-----,-----,
Pin Number,Signal Name,Pin Usage,Pin Name,Direction,IO Standard,IO Bank Number,{blank},Slew Rate,Termination,{blank},Voltage,Constraint,
P1,TIE,,I/O/GTS3,,,,,,,,,,
P2,TIE,,I/O/GTS4,,,,,,,,,,
P3,TIE,,I/O/GTS1,,,,,,,,,,
P4,TIE,,I/O/GTS2,,,,,,,,,,
P2,A_FSB<5>,I,I/O/GTS4,INPUT,,,,,,,,,
P3,A_FSB<6>,I,I/O/GTS1,INPUT,,,,,,,,,
P4,A_FSB<7>,I,I/O/GTS2,INPUT,,,,,,,,,
P5,VCC,,VCCINT,,,,,,,,,,
P6,TIE,,I/O,,,,,,,,,,
P6,A_FSB<8>,I,I/O,INPUT,,,,,,,,,
P7,A_FSB<9>,I,I/O,INPUT,,,,,,,,,
P8,A_FSB<10>,I,I/O,INPUT,,,,,,,,,
P9,A_FSB<11>,I,I/O,INPUT,,,,,,,,,
@ -111,10 +111,10 @@ P90,nDinOE,O,I/O,OUTPUT,,,,,,,,,
P91,nRES,I/O,I/O,BIDIR,,,,,,,,,
P92,nIPL2,I,I/O,INPUT,,,,,,,,,
P93,nVPA_FSB,O,I/O,OUTPUT,,,,,,,,,
P94,TIE,,I/O,,,,,,,,,,
P95,TIE,,I/O,,,,,,,,,,
P96,TIE,,I/O,,,,,,,,,,
P97,TIE,,I/O,,,,,,,,,,
P94,A_FSB<1>,I,I/O,INPUT,,,,,,,,,
P95,A_FSB<2>,I,I/O,INPUT,,,,,,,,,
P96,A_FSB<3>,I,I/O,INPUT,,,,,,,,,
P97,A_FSB<4>,I,I/O,INPUT,,,,,,,,,
P98,VCC,,VCCINT,,,,,,,,,,
P99,TIE,,I/O/GSR,,,,,,,,,,
P100,GND,,GND,,,,,,,,,,

1 Release 8.1i - Fit P.20131013
2 Copyright(c) 1995-2003 Xilinx Inc. All rights reserved
3 4- 7-2023 0:29AM 4- 7-2023 2:26AM
4 NOTE: This file is designed to be imported into a spreadsheet program
5 such as Microsoft Excel for viewing, printing and sorting. The comma ','
6 character is used as the data field separator.
7 This file is also designed to support parsing.
19 P4,TIE,,I/O/GTS2,,,,,,,,,, P4,A_FSB<7>,I,I/O/GTS2,INPUT,,,,,,,,,
20 P5,VCC,,VCCINT,,,,,,,,,,
21 P6,TIE,,I/O,,,,,,,,,, P6,A_FSB<8>,I,I/O,INPUT,,,,,,,,,
22 P7,A_FSB<9>,I,I/O,INPUT,,,,,,,,,
23 P8,A_FSB<10>,I,I/O,INPUT,,,,,,,,,
24 P9,A_FSB<11>,I,I/O,INPUT,,,,,,,,,
25 P10,A_FSB<12>,I,I/O,INPUT,,,,,,,,,
26 P11,A_FSB<13>,I,I/O,INPUT,,,,,,,,,
27 P12,A_FSB<14>,I,I/O,INPUT,,,,,,,,,
28 P13,A_FSB<15>,I,I/O,INPUT,,,,,,,,,
29 P14,A_FSB<16>,I,I/O,INPUT,,,,,,,,,
111 P96,TIE,,I/O,,,,,,,,,, P96,A_FSB<3>,I,I/O,INPUT,,,,,,,,,
112 P97,TIE,,I/O,,,,,,,,,, P97,A_FSB<4>,I,I/O,INPUT,,,,,,,,,
113 P98,VCC,,VCCINT,,,,,,,,,,
114 P99,TIE,,I/O/GSR,,,,,,,,,,
115 P100,GND,,GND,,,,,,,,,,
116 To preserve the pinout above for future design iterations in
117 Project Navigator simply execute the (Lock Pins) process
118 located under the (Implement Design) process in a toolbox named
119 (Optional Implementation Tools) or invoke PIN2UCF from the
120 command line. The location constraints will be written into your

View File

@ -2,7 +2,7 @@
<BODY TEXT='#000000' BGCOLOR='#FFFFFF' LINK='#0000EE' VLINK='#551A8B' ALINK='#FF0000'>
<TABLE BORDER CELLSPACING=0 CELLPADDING=3 WIDTH='100%'>
<TR ALIGN=CENTER BGCOLOR='#99CCFF'>
<TD ALIGN=CENTER COLSPAN='4'><B>WarpSE Project Status (04/02/2023 - 23:02:28)</B></TD></TR>
<TD ALIGN=CENTER COLSPAN='4'><B>WarpSE Project Status (04/07/2023 - 02:26:24)</B></TD></TR>
<TR ALIGN=LEFT>
<TD BGCOLOR='#FFFF99'><B>Project File:</B></TD>
<TD>WarpSE.xise</TD>
@ -25,7 +25,7 @@ No Errors</TD>
<TR ALIGN=LEFT>
<TD BGCOLOR='#FFFF99'><B>Product Version:</B></TD><TD>ISE 14.7</TD>
<TD BGCOLOR='#FFFF99'><UL><LI><B>Warnings:</B></LI></UL></TD>
<TD ALIGN=LEFT><A HREF_DISABLED='C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL\_xmsgs/*.xmsgs?&DataKey=Warning'>22 Warnings (0 new)</A></TD>
<TD ALIGN=LEFT><A HREF_DISABLED='C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL\_xmsgs/*.xmsgs?&DataKey=Warning'>5 Warnings (0 new)</A></TD>
</TR>
<TR ALIGN=LEFT>
<TD BGCOLOR='#FFFF99'><B>Design Goal:</B></dif></TD>
@ -65,9 +65,9 @@ System Settings</A>
<TR ALIGN=CENTER BGCOLOR='#99CCFF'><TD ALIGN=CENTER COLSPAN='6'><B>Detailed Reports</B></TD><TD ALIGN=RIGHT WIDTH='10%'COLSPAN=1> <A HREF_DISABLED="?&ExpandedTable=DetailedReports"><B>[-]</B></a></TD></TR>
<TR BGCOLOR='#FFFF99'><TD><B>Report Name</B></TD><TD><B>Status</B></TD><TD><B>Generated</B></TD>
<TD ALIGN=LEFT><B>Errors</B></TD><TD ALIGN=LEFT><B>Warnings</B></TD><TD ALIGN=LEFT COLSPAN='2'><B>Infos</B></TD></TR>
<TR ALIGN=LEFT><TD><A HREF_DISABLED='C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL\WarpSE.syr'>Synthesis Report</A></TD><TD>Current</TD><TD>Fri Apr 7 00:28:53 2023</TD><TD ALIGN=LEFT>0</TD><TD ALIGN=LEFT><A HREF_DISABLED='C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL\_xmsgs/xst.xmsgs?&DataKey=Warning'>22 Warnings (0 new)</A></TD><TD ALIGN=LEFT COLSPAN='2'>0</TD></TR>
<TR ALIGN=LEFT><TD><A HREF_DISABLED='C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL\WarpSE.bld'>Translation Report</A></TD><TD>Current</TD><TD>Fri Apr 7 00:28:58 2023</TD><TD ALIGN=LEFT>0</TD><TD ALIGN=LEFT>0</TD><TD ALIGN=LEFT COLSPAN='2'>0</TD></TR>
<TR ALIGN=LEFT><TD><A HREF_DISABLED='C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL\WarpSE.rpt'>CPLD Fitter Report (Text)</A></TD><TD>Current</TD><TD>Fri Apr 7 00:29:10 2023</TD><TD ALIGN=LEFT>0</TD><TD ALIGN=LEFT><A HREF_DISABLED='C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL\_xmsgs/cpldfit.xmsgs?&DataKey=Warning'>13 Warnings (1 new)</A></TD><TD ALIGN=LEFT COLSPAN='2'><A HREF_DISABLED='C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL\_xmsgs/cpldfit.xmsgs?&DataKey=Info'>3 Infos (3 new)</A></TD></TR>
<TR ALIGN=LEFT><TD><A HREF_DISABLED='C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL\WarpSE.syr'>Synthesis Report</A></TD><TD>Current</TD><TD>Fri Apr 7 02:25:57 2023</TD><TD ALIGN=LEFT>0</TD><TD ALIGN=LEFT><A HREF_DISABLED='C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL\_xmsgs/xst.xmsgs?&DataKey=Warning'>5 Warnings (0 new)</A></TD><TD ALIGN=LEFT COLSPAN='2'>0</TD></TR>
<TR ALIGN=LEFT><TD><A HREF_DISABLED='C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL\WarpSE.bld'>Translation Report</A></TD><TD>Current</TD><TD>Fri Apr 7 02:26:03 2023</TD><TD ALIGN=LEFT>0</TD><TD ALIGN=LEFT>0</TD><TD ALIGN=LEFT COLSPAN='2'>0</TD></TR>
<TR ALIGN=LEFT><TD><A HREF_DISABLED='C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL\WarpSE.rpt'>CPLD Fitter Report (Text)</A></TD><TD>Current</TD><TD>Fri Apr 7 02:26:16 2023</TD><TD ALIGN=LEFT>0</TD><TD ALIGN=LEFT><A HREF_DISABLED='C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL\_xmsgs/cpldfit.xmsgs?&DataKey=Warning'>5 Warnings (1 new)</A></TD><TD ALIGN=LEFT COLSPAN='2'><A HREF_DISABLED='C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL\_xmsgs/cpldfit.xmsgs?&DataKey=Info'>3 Infos (3 new)</A></TD></TR>
<TR ALIGN=LEFT><TD>Power Report</TD><TD>&nbsp;</TD><TD>&nbsp;</TD><TD>&nbsp;</TD><TD>&nbsp;</TD><TD COLSPAN='2'>&nbsp;</TD></TR>
</TABLE>
&nbsp;<BR><TABLE BORDER CELLSPACING=0 CELLPADDING=3 WIDTH='100%'>
@ -77,5 +77,5 @@ System Settings</A>
</TABLE>
<br><center><b>Date Generated:</b> 04/07/2023 - 00:31:39</center>
<br><center><b>Date Generated:</b> 04/07/2023 - 02:26:24</center>
</BODY></HTML>

View File

@ -5,7 +5,7 @@
The structure and the elements are likely to change over the next few releases.
This means code written to parse this file will need to be revisited each subsequent release.-->
<application stringID="Xst" timeStamp="Fri Apr 07 00:28:48 2023">
<application stringID="Xst" timeStamp="Fri Apr 07 02:25:52 2023">
<section stringID="User_Env">
<table stringID="User_EnvVar">
<column stringID="variable"/>
@ -79,8 +79,8 @@
<item dataType="int" stringID="XST_4BIT_UP_COUNTER" value="2"/>
</item>
<item dataType="int" stringID="XST_REGISTERS" value="56">
<item dataType="int" stringID="XST_1BIT_REGISTER" value="54"/>
<item dataType="int" stringID="XST_2BIT_REGISTER" value="2"/>
<item dataType="int" stringID="XST_1BIT_REGISTER" value="55"/>
<item dataType="int" stringID="XST_2BIT_REGISTER" value="1"/>
</item>
<item dataType="int" stringID="XST_TRISTATES" value="5">
<item dataType="int" stringID="XST_1BIT_TRISTATE_BUFFER" value="5"/>
@ -91,8 +91,8 @@
<item dataType="int" stringID="XST_COUNTERS" value="3">
<item dataType="int" stringID="XST_4BIT_UP_COUNTER" value="2"/>
</item>
<item dataType="int" stringID="XST_REGISTERS" value="42">
<item dataType="int" stringID="XST_FLIPFLOPS" value="42"/>
<item dataType="int" stringID="XST_REGISTERS" value="44">
<item dataType="int" stringID="XST_FLIPFLOPS" value="44"/>
</item>
</section>
<section stringID="XST_PARTITION_REPORT">
@ -112,31 +112,31 @@
<item stringID="XST_IOS" value="75"/>
</section>
<section stringID="XST_CELL_USAGE">
<item dataType="int" stringID="XST_BELS" value="457">
<item dataType="int" stringID="XST_AND2" value="129"/>
<item dataType="int" stringID="XST_AND3" value="20"/>
<item dataType="int" stringID="XST_AND4" value="7"/>
<item dataType="int" stringID="XST_BELS" value="559">
<item dataType="int" stringID="XST_AND2" value="172"/>
<item dataType="int" stringID="XST_AND3" value="25"/>
<item dataType="int" stringID="XST_AND4" value="9"/>
<item dataType="int" stringID="XST_GND" value="6"/>
<item dataType="int" stringID="XST_INV" value="190"/>
<item dataType="int" stringID="XST_OR2" value="69"/>
<item dataType="int" stringID="XST_INV" value="214"/>
<item dataType="int" stringID="XST_OR2" value="96"/>
<item dataType="int" stringID="XST_VCC" value="1"/>
<item dataType="int" stringID="XST_XOR2" value="18"/>
</item>
<item dataType="int" stringID="XST_FLIPFLOPSLATCHES" value="92">
<item dataType="int" stringID="XST_FD" value="56"/>
<item dataType="int" stringID="XST_FLIPFLOPSLATCHES" value="97">
<item dataType="int" stringID="XST_FD" value="61"/>
<item dataType="int" stringID="XST_FDC" value="2"/>
<item dataType="int" stringID="XST_FDCE" value="33"/>
<item dataType="int" stringID="XST_FDP" value="1"/>
</item>
<item dataType="int" stringID="XST_IO_BUFFERS" value="63">
<item dataType="int" stringID="XST_IBUF" value="27"/>
<item dataType="int" stringID="XST_IO_BUFFERS" value="71">
<item dataType="int" stringID="XST_IBUF" value="35"/>
<item dataType="int" stringID="XST_OBUF" value="31"/>
</item>
</section>
</section>
<section stringID="XST_ERRORS_STATISTICS">
<item dataType="int" filtered="0" stringID="XST_NUMBER_OF_ERRORS" value="0"/>
<item dataType="int" filtered="0" stringID="XST_NUMBER_OF_WARNINGS" value="22"/>
<item dataType="int" filtered="0" stringID="XST_NUMBER_OF_WARNINGS" value="5"/>
<item dataType="int" filtered="0" stringID="XST_NUMBER_OF_INFOS" value="0"/>
</section>
</application>

View File

@ -1,2 +1,2 @@
C:\Users\Wolf\Documents\GitHub\Warp-SE\cpld\XC95144XL\WarpSE.ngc 1680841732
C:\Users\Wolf\Documents\GitHub\Warp-SE\cpld\XC95144XL\WarpSE.ngc 1680848757
OK

View File

@ -17,30 +17,6 @@
<msg type="info" file="Cpld" num="0" delta="new" >Inferring BUFG constraint for signal &apos;<arg fmt="%s" index="1">FCLK</arg>&apos; based upon the LOC constraint &apos;<arg fmt="%s" index="2">P27</arg>&apos;. It is recommended that you declare this BUFG explicitedly in your design. Note that for certain device families the output of a BUFG constraint can not drive a gated clock, and the BUFG constraint will be ignored.
</msg>
<msg type="warning" file="Cpld" num="1007" delta="old" >Removing unused input(s) &apos;<arg fmt="%s" index="1">A_FSB&lt;1&gt;</arg>&apos;. The input(s) are unused after optimization. Please verify functionality via simulation.
</msg>
<msg type="warning" file="Cpld" num="1007" delta="old" >Removing unused input(s) &apos;<arg fmt="%s" index="1">A_FSB&lt;2&gt;</arg>&apos;. The input(s) are unused after optimization. Please verify functionality via simulation.
</msg>
<msg type="warning" file="Cpld" num="1007" delta="old" >Removing unused input(s) &apos;<arg fmt="%s" index="1">A_FSB&lt;3&gt;</arg>&apos;. The input(s) are unused after optimization. Please verify functionality via simulation.
</msg>
<msg type="warning" file="Cpld" num="1007" delta="old" >Removing unused input(s) &apos;<arg fmt="%s" index="1">A_FSB&lt;4&gt;</arg>&apos;. The input(s) are unused after optimization. Please verify functionality via simulation.
</msg>
<msg type="warning" file="Cpld" num="1007" delta="old" >Removing unused input(s) &apos;<arg fmt="%s" index="1">A_FSB&lt;5&gt;</arg>&apos;. The input(s) are unused after optimization. Please verify functionality via simulation.
</msg>
<msg type="warning" file="Cpld" num="1007" delta="old" >Removing unused input(s) &apos;<arg fmt="%s" index="1">A_FSB&lt;6&gt;</arg>&apos;. The input(s) are unused after optimization. Please verify functionality via simulation.
</msg>
<msg type="warning" file="Cpld" num="1007" delta="old" >Removing unused input(s) &apos;<arg fmt="%s" index="1">A_FSB&lt;7&gt;</arg>&apos;. The input(s) are unused after optimization. Please verify functionality via simulation.
</msg>
<msg type="warning" file="Cpld" num="1007" delta="old" >Removing unused input(s) &apos;<arg fmt="%s" index="1">A_FSB&lt;8&gt;</arg>&apos;. The input(s) are unused after optimization. Please verify functionality via simulation.
</msg>
<msg type="warning" file="Cpld" num="1007" delta="old" >Removing unused input(s) &apos;<arg fmt="%s" index="1">SW&lt;1&gt;</arg>&apos;. The input(s) are unused after optimization. Please verify functionality via simulation.
</msg>

View File

@ -8,7 +8,7 @@
<!-- Copyright (c) 1995-2013 Xilinx, Inc. All rights reserved. -->
<messages>
<msg type="info" file="ProjectMgmt" num="1845" ><arg fmt="%s" index="1">Analyzing Verilog file &quot;C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/CS.v&quot; into library work</arg>
<msg type="info" file="ProjectMgmt" num="1845" ><arg fmt="%s" index="1">Analyzing Verilog file &quot;C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/CS.v&quot; into library work</arg>
</msg>
</messages>

View File

@ -1,9 +0,0 @@
<?xml version="1.0" encoding="UTF-8"?>
<!-- IMPORTANT: This is an internal file that has been generated
by the Xilinx ISE software. Any direct editing or
changes made to this file may result in unpredictable
behavior or data corruption. It is strongly advised that
users do not edit the contents of this file. -->
<messages>
</messages>

View File

@ -5,28 +5,13 @@
behavior or data corruption. It is strongly advised that
users do not edit the contents of this file. -->
<messages>
<msg type="warning" file="HDLCompilers" num="259" delta="old" ><arg fmt="%s" index="1">&quot;../WarpSE.v&quot; line 150 </arg>Connection to input port &apos;<arg fmt="%s" index="2">QoSReady</arg>&apos; does not match port size
<msg type="warning" file="HDLCompilers" num="93" delta="old" ><arg fmt="%s" index="1">&quot;WarpSE.v&quot; line 63 </arg>Too few port connections in instance &apos;<arg fmt="%s" index="2">cs</arg>&apos; of module &apos;<arg fmt="%s" index="3">CS</arg>&apos;
</msg>
<msg type="warning" file="Xst" num="647" delta="old" >Input &lt;<arg fmt="%s" index="1">A&lt;17:8&gt;</arg>&gt; is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
<msg type="warning" file="Xst" num="1305" delta="old" >Output &lt;<arg fmt="%s" index="1">SndRAMCSWR</arg>&gt; is never assigned. Tied to value <arg fmt="%s" index="2">0</arg>.
</msg>
<msg type="warning" file="Xst" num="646" delta="old" >Signal &lt;<arg fmt="%s" index="1">VidRAMCSWR</arg>&gt; is assigned but never used. This unconnected signal will be trimmed during the optimization process.
</msg>
<msg type="warning" file="Xst" num="646" delta="old" >Signal &lt;<arg fmt="%s" index="1">IODONEr&lt;1&gt;</arg>&gt; is assigned but never used. This unconnected signal will be trimmed during the optimization process.
</msg>
<msg type="warning" file="Xst" num="647" delta="old" >Input &lt;<arg fmt="%s" index="1">QoSCS</arg>&gt; is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
</msg>
<msg type="warning" file="Xst" num="647" delta="old" >Input &lt;<arg fmt="%s" index="1">QoSReady</arg>&gt; is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
</msg>
<msg type="warning" file="Xst" num="647" delta="old" >Input &lt;<arg fmt="%s" index="1">RAMCS</arg>&gt; is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
</msg>
<msg type="warning" file="Xst" num="647" delta="old" >Input &lt;<arg fmt="%s" index="1">RAMReady</arg>&gt; is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
<msg type="warning" file="Xst" num="647" delta="old" >Input &lt;<arg fmt="%s" index="1">A&lt;11:8&gt;</arg>&gt; is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
</msg>
<msg type="warning" file="Xst" num="647" delta="old" >Input &lt;<arg fmt="%s" index="1">SW</arg>&gt; is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
@ -35,41 +20,5 @@
<msg type="warning" file="Xst" num="647" delta="old" >Input &lt;<arg fmt="%s" index="1">nBG_IOB</arg>&gt; is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
</msg>
<msg type="warning" file="Xst" num="1293" delta="old" >FF/Latch &lt;<arg fmt="%s" index="1">0</arg>&gt; has a constant value of <arg fmt="%d" index="2">0</arg> in block &lt;<arg fmt="%s" index="3">FFd5</arg>&gt;. This FF/Latch will be trimmed during the optimization process.
</msg>
<msg type="warning" file="Xst" num="1293" delta="old" >FF/Latch &lt;<arg fmt="%s" index="1">0</arg>&gt; has a constant value of <arg fmt="%d" index="2">0</arg> in block &lt;<arg fmt="%s" index="3">FFd7</arg>&gt;. This FF/Latch will be trimmed during the optimization process.
</msg>
<msg type="warning" file="Xst" num="1293" delta="old" >FF/Latch &lt;<arg fmt="%s" index="1">0</arg>&gt; has a constant value of <arg fmt="%d" index="2">0</arg> in block &lt;<arg fmt="%s" index="3">RASEL</arg>&gt;. This FF/Latch will be trimmed during the optimization process.
</msg>
<msg type="warning" file="Xst" num="1293" delta="old" >FF/Latch &lt;<arg fmt="%s" index="1">0</arg>&gt; has a constant value of <arg fmt="%d" index="2">0</arg> in block &lt;<arg fmt="%s" index="3">RASrf</arg>&gt;. This FF/Latch will be trimmed during the optimization process.
</msg>
<msg type="warning" file="Xst" num="2257" delta="old" ><arg fmt="%s" index="1">FF/Latches</arg> &lt;<arg fmt="%s" index="2">FFd5</arg>&gt; is unconnected in block &lt;<arg fmt="%s" index="3">FSM</arg>&gt;.
</msg>
<msg type="warning" file="Xst" num="2257" delta="old" ><arg fmt="%s" index="1">FF/Latches</arg> &lt;<arg fmt="%s" index="2">FFd7</arg>&gt; is unconnected in block &lt;<arg fmt="%s" index="3">FSM</arg>&gt;.
</msg>
<msg type="warning" file="Xst" num="1710" delta="old" >FF/Latch &lt;<arg fmt="%s" index="1">RAMReady</arg>&gt; (without init value) has a constant value of <arg fmt="%d" index="2">0</arg> in block &lt;<arg fmt="%s" index="3">RAM</arg>&gt;. This FF/Latch will be trimmed during the optimization process.
</msg>
<msg type="warning" file="Xst" num="2677" delta="old" >Node &lt;<arg fmt="%s" index="1">IODONEr_1</arg>&gt; of sequential type is unconnected in block &lt;<arg fmt="%s" index="2">IOBS</arg>&gt;.
</msg>
<msg type="warning" file="Xst" num="1293" delta="old" >FF/Latch &lt;<arg fmt="%s" index="1">RASEL</arg>&gt; has a constant value of <arg fmt="%d" index="2">0</arg> in block &lt;<arg fmt="%s" index="3">ram</arg>&gt;. This FF/Latch will be trimmed during the optimization process.
</msg>
<msg type="warning" file="Xst" num="1293" delta="old" >FF/Latch &lt;<arg fmt="%s" index="1">RS_FSM_FFd7</arg>&gt; has a constant value of <arg fmt="%d" index="2">0</arg> in block &lt;<arg fmt="%s" index="3">ram</arg>&gt;. This FF/Latch will be trimmed during the optimization process.
</msg>
<msg type="warning" file="Xst" num="1896" delta="old" >Due to other FF/Latch trimming, FF/Latch &lt;<arg fmt="%s" index="1">RASrf</arg>&gt; has a constant value of <arg fmt="%d" index="2">0</arg> in block &lt;<arg fmt="%s" index="3">ram</arg>&gt;. This FF/Latch will be trimmed during the optimization process.
</msg>
<msg type="warning" file="Xst" num="1293" delta="old" >FF/Latch &lt;<arg fmt="%s" index="1">RS_FSM_FFd5</arg>&gt; has a constant value of <arg fmt="%d" index="2">0</arg> in block &lt;<arg fmt="%s" index="3">ram</arg>&gt;. This FF/Latch will be trimmed during the optimization process.
</msg>
</messages>

View File

@ -1,91 +0,0 @@
<?xml version='1.0' encoding='utf-8'?>
<!--This is an ISE project configuration file.-->
<!--It holds project specific layout data for the projectmgr plugin.-->
<!--Copyright (c) 1995-2009 Xilinx, Inc. All rights reserved.-->
<Project version="2" owner="projectmgr" name="WarpSE" >
<!--This is an ISE project configuration file.-->
<ItemView engineview="SynthesisOnly" guiview="Source" compilemode="AutoCompile" >
<ClosedNodes>
<ClosedNodesVersion>2</ClosedNodesVersion>
</ClosedNodes>
<SelectedItems>
<SelectedItem>WarpSE (C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE.v)</SelectedItem>
</SelectedItems>
<ScrollbarPosition orientation="vertical" >0</ScrollbarPosition>
<ScrollbarPosition orientation="horizontal" >0</ScrollbarPosition>
<ViewHeaderState orientation="horizontal" >000000ff000000000000000100000001000000000000000000000000000000000202000000010000000100000064000000d2000000020000000000000000000000000200000064ffffffff000000810000000300000002000000d20000000100000003000000000000000100000003</ViewHeaderState>
<UserChangedColumnWidths orientation="horizontal" >true</UserChangedColumnWidths>
<CurrentItem>WarpSE (C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE.v)</CurrentItem>
</ItemView>
<ItemView engineview="SynthesisOnly" sourcetype="" guiview="Process" >
<ClosedNodes>
<ClosedNodesVersion>1</ClosedNodesVersion>
<ClosedNode>Design Utilities</ClosedNode>
</ClosedNodes>
<SelectedItems>
<SelectedItem></SelectedItem>
</SelectedItems>
<ScrollbarPosition orientation="vertical" >0</ScrollbarPosition>
<ScrollbarPosition orientation="horizontal" >0</ScrollbarPosition>
<ViewHeaderState orientation="horizontal" >000000ff000000000000000100000001000000000000000000000000000000000000000000000000f8000000010000000100000000000000000000000064ffffffff000000810000000000000001000000f80000000100000000</ViewHeaderState>
<UserChangedColumnWidths orientation="horizontal" >false</UserChangedColumnWidths>
<CurrentItem></CurrentItem>
</ItemView>
<ItemView guiview="File" >
<ClosedNodes>
<ClosedNodesVersion>1</ClosedNodesVersion>
</ClosedNodes>
<SelectedItems/>
<ScrollbarPosition orientation="vertical" >0</ScrollbarPosition>
<ScrollbarPosition orientation="horizontal" >0</ScrollbarPosition>
<ViewHeaderState orientation="horizontal" >000000ff000000000000000100000000000000000100000000000000000000000000000000000003a3000000040101000100000000000000000000000064ffffffff000000810000000000000004000000420000000100000000000000240000000100000000000000660000000100000000000002d70000000100000000</ViewHeaderState>
<UserChangedColumnWidths orientation="horizontal" >false</UserChangedColumnWidths>
<CurrentItem>CNT.v</CurrentItem>
</ItemView>
<ItemView guiview="Library" >
<ClosedNodes>
<ClosedNodesVersion>1</ClosedNodesVersion>
<ClosedNode>work</ClosedNode>
</ClosedNodes>
<SelectedItems/>
<ScrollbarPosition orientation="vertical" >0</ScrollbarPosition>
<ScrollbarPosition orientation="horizontal" >0</ScrollbarPosition>
<ViewHeaderState orientation="horizontal" >000000ff00000000000000010000000000000000010000000000000000000000000000000000000109000000010001000100000000000000000000000064ffffffff000000810000000000000001000001090000000100000000</ViewHeaderState>
<UserChangedColumnWidths orientation="horizontal" >false</UserChangedColumnWidths>
<CurrentItem>work</CurrentItem>
</ItemView>
<ItemView engineview="SynthesisOnly" sourcetype="DESUT_VERILOG" guiview="Process" >
<ClosedNodes>
<ClosedNodesVersion>1</ClosedNodesVersion>
<ClosedNode>Design Utilities</ClosedNode>
<ClosedNode>Implement Design/Configure Target Device</ClosedNode>
<ClosedNode>Implement Design/Optional Implementation Tools</ClosedNode>
<ClosedNode>Implement Design/Synthesize - XST</ClosedNode>
<ClosedNode>User Constraints</ClosedNode>
</ClosedNodes>
<SelectedItems>
<SelectedItem></SelectedItem>
</SelectedItems>
<ScrollbarPosition orientation="vertical" >0</ScrollbarPosition>
<ScrollbarPosition orientation="horizontal" >0</ScrollbarPosition>
<ViewHeaderState orientation="horizontal" >000000ff000000000000000100000001000000000000000000000000000000000000000000000000f8000000010000000100000000000000000000000064ffffffff000000810000000000000001000000f80000000100000000</ViewHeaderState>
<UserChangedColumnWidths orientation="horizontal" >false</UserChangedColumnWidths>
<CurrentItem></CurrentItem>
</ItemView>
<ItemView engineview="SynthesisOnly" sourcetype="DESUT_UCF" guiview="Process" >
<ClosedNodes>
<ClosedNodesVersion>1</ClosedNodesVersion>
<ClosedNode>User Constraints</ClosedNode>
</ClosedNodes>
<SelectedItems>
<SelectedItem>User Constraints</SelectedItem>
</SelectedItems>
<ScrollbarPosition orientation="vertical" >0</ScrollbarPosition>
<ScrollbarPosition orientation="horizontal" >0</ScrollbarPosition>
<ViewHeaderState orientation="horizontal" >000000ff000000000000000100000001000000000000000000000000000000000000000000000000f8000000010000000100000000000000000000000064ffffffff000000810000000000000001000000f80000000100000000</ViewHeaderState>
<UserChangedColumnWidths orientation="horizontal" >false</UserChangedColumnWidths>
<CurrentItem>User Constraints</CurrentItem>
</ItemView>
<SourceProcessView>000000ff00000000000000020000011b0000011b01000000050100000002</SourceProcessView>
<CurrentView>Implementation</CurrentView>
</Project>

View File

@ -1,12 +1,12 @@
<?xml version='1.0' encoding='UTF-8'?>
<report-views version="2.0" >
<header>
<DateModified>2023-04-07T00:31:41</DateModified>
<DateModified>2023-04-07T01:51:28</DateModified>
<ModuleName>WarpSE</ModuleName>
<SummaryTimeStamp>2023-04-02T23:02:28</SummaryTimeStamp>
<SummaryTimeStamp>Unknown</SummaryTimeStamp>
<SavedFilePath>C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/iseconfig/WarpSE.xreport</SavedFilePath>
<ImplementationReportsDirectory>C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL\</ImplementationReportsDirectory>
<DateInitialized>2023-03-26T03:17:53</DateInitialized>
<ImplementationReportsDirectory>C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL</ImplementationReportsDirectory>
<DateInitialized>2023-04-07T01:51:28</DateInitialized>
<EnableMessageFiltering>false</EnableMessageFiltering>
</header>
<body>

View File

@ -3,15 +3,16 @@
<!--The data in this file is primarily intended for consumption by Xilinx tools.
The structure and the elements are likely to change over the next few releases.
This means code written to parse this file will need to be revisited each subsequent release.-->
<application name="pn" timeStamp="Fri Apr 07 00:28:47 2023">
<application name="pn" timeStamp="Fri Apr 07 02:25:51 2023">
<section name="Project Information" visible="false">
<property name="ProjectID" value="17F4E7DEC0A14EDB82C58FCA99308E56" type="project"/>
<property name="ProjectID" value="7132971001B64D51887D7F260ADC77C3" type="project"/>
<property name="ProjectIteration" value="0" type="project"/>
<property name="ProjectFile" value="C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xise" type="project"/>
<property name="ProjectCreationTimestamp" value="2023-03-26T03:17:42" type="project"/>
<property name="ProjectCreationTimestamp" value="2023-04-07T01:50:52" type="project"/>
</section>
<section name="Project Statistics" visible="true">
<property name="PROP_Enable_Message_Filtering" value="false" type="design"/>
<property name="PROP_FitterReportFormat" value="HTML" type="process"/>
<property name="PROP_LastAppliedGoal" value="Balanced" type="design"/>
<property name="PROP_LastAppliedStrategy" value="Xilinx Default (unlocked)" type="design"/>
<property name="PROP_ManualCompileOrderImp" value="false" type="design"/>
@ -20,11 +21,11 @@ This means code written to parse this file will need to be revisited each subseq
<property name="PROP_SynthTopFile" value="changed" type="process"/>
<property name="PROP_Top_Level_Module_Type" value="HDL" type="design"/>
<property name="PROP_UseSmartGuide" value="false" type="design"/>
<property name="PROP_intProjectCreationTimestamp" value="2023-03-26T03:17:42" type="design"/>
<property name="PROP_intWbtProjectID" value="17F4E7DEC0A14EDB82C58FCA99308E56" type="design"/>
<property name="PROP_UserConstraintEditorPreference" value="Text Editor" type="process"/>
<property name="PROP_intProjectCreationTimestamp" value="2023-04-07T01:50:52" type="design"/>
<property name="PROP_intWbtProjectID" value="7132971001B64D51887D7F260ADC77C3" type="design"/>
<property name="PROP_intWorkingDirLocWRTProjDir" value="Same" type="design"/>
<property name="PROP_intWorkingDirUsed" value="No" type="design"/>
<property name="PROP_xilxSynthMaxFanout" value="100000" type="process"/>
<property name="PROP_AutoTop" value="true" type="design"/>
<property name="PROP_DevFamily" value="XC9500XL CPLDs" type="design"/>
<property name="PROP_DevDevice" value="xc95144xl" type="design"/>

View File

@ -1,7 +1,7 @@
MO CNT NULL ../CNT.v vlg65/_c_n_t.bin 1680841728
MO CS NULL ../CS.v vlg22/_c_s.bin 1680841728
MO FSB NULL ../FSB.v vlg37/_f_s_b.bin 1680841728
MO IOBM NULL ../IOBM.v vlg73/_i_o_b_m.bin 1680841728
MO WarpSE NULL ../WarpSE.v vlg52/_warp_s_e.bin 1680841728
MO IOBS NULL ../IOBS.v vlg79/_i_o_b_s.bin 1680841728
MO RAM NULL ../RAM.v vlg14/_r_a_m.bin 1680841728
MO CNT NULL CNT.v vlg65/_c_n_t.bin 1680848753
MO CS NULL CS.v vlg22/_c_s.bin 1680848753
MO FSB NULL FSB.v vlg37/_f_s_b.bin 1680848753
MO IOBM NULL IOBM.v vlg73/_i_o_b_m.bin 1680848753
MO WarpSE NULL WarpSE.v vlg52/_warp_s_e.bin 1680848753
MO IOBS NULL IOBS.v vlg79/_i_o_b_s.bin 1680848753
MO RAM NULL RAM.v vlg14/_r_a_m.bin 1680848753