address decoder added
120
.gitignore
vendored
@ -1,4 +1,97 @@
|
||||
#Gitignore for files generated by Xilinx ISE
|
||||
#ignore OS noise
|
||||
|
||||
Thumbs.db
|
||||
.DS_Store
|
||||
|
||||
# Ignore list for Eagle, a PCB layout tool
|
||||
|
||||
# Backup files
|
||||
*.s#?
|
||||
*.b#?
|
||||
*.l#?
|
||||
|
||||
# Eagle project file
|
||||
# It contains a serial number and references to the file structure
|
||||
# on your computer.
|
||||
# comment the following line if you want to have your project file included.
|
||||
eagle.epf
|
||||
|
||||
# Autorouter files
|
||||
*.pro
|
||||
*.job
|
||||
|
||||
# CAM files
|
||||
*.$$$
|
||||
*.cmp
|
||||
*.ly2
|
||||
*.l15
|
||||
*.sol
|
||||
*.plc
|
||||
*.stc
|
||||
*.sts
|
||||
*.crc
|
||||
*.crs
|
||||
|
||||
*.dri
|
||||
*.drl
|
||||
*.gpi
|
||||
*.pls
|
||||
|
||||
*.drd
|
||||
*.drd.*
|
||||
|
||||
*.info
|
||||
|
||||
*.eps
|
||||
|
||||
# file locks introduced since 7.x
|
||||
*.lck
|
||||
|
||||
# Xilinx ISE
|
||||
# intermediate build files
|
||||
*.bgn
|
||||
*.bit
|
||||
*.bld
|
||||
*.cmd_log
|
||||
*.drc
|
||||
*.ll
|
||||
*.lso
|
||||
*.msd
|
||||
*.msk
|
||||
*.ncd
|
||||
*.ngc
|
||||
*.ngd
|
||||
*.ngr
|
||||
*.pad
|
||||
*.par
|
||||
*.pcf
|
||||
*.prj
|
||||
*.ptwx
|
||||
*.rbb
|
||||
*.rbd
|
||||
*.stx
|
||||
*.syr
|
||||
*.twr
|
||||
*.twx
|
||||
*.unroutes
|
||||
*.ut
|
||||
*.xpi
|
||||
*.xst
|
||||
*_bitgen.xwbt
|
||||
*_envsettings.html
|
||||
*_map.map
|
||||
*_map.mrp
|
||||
*_map.ngm
|
||||
*_map.xrpt
|
||||
*_ngdbuild.xrpt
|
||||
*_pad.csv
|
||||
*_pad.txt
|
||||
*_par.xrpt
|
||||
*_summary.html
|
||||
*_summary.xml
|
||||
*_usage.xml
|
||||
*_xst.xrpt
|
||||
|
||||
|
||||
*.log
|
||||
*.svf
|
||||
@ -38,7 +131,26 @@ impact_impact.xwbt
|
||||
spi6502b_html*/
|
||||
__projnav*/
|
||||
|
||||
#ignore OS noise
|
||||
# iMPACT generated files
|
||||
_impactbatch.log
|
||||
impact.xsl
|
||||
impact_impact.xwbt
|
||||
ise_impact.cmd
|
||||
webtalk_impact.xml
|
||||
|
||||
Thumbs.db
|
||||
.DS_Store
|
||||
# Core Generator generated files
|
||||
xaw2verilog.log
|
||||
|
||||
# project-wide generated files
|
||||
*.gise
|
||||
par_usage_statistics.html
|
||||
usage_statistics_webtalk.html
|
||||
webtalk.log
|
||||
webtalk_pn.xml
|
||||
|
||||
# generated folders
|
||||
iseconfig/
|
||||
xlnx_auto_0_xdb/
|
||||
xst/
|
||||
_ngo/
|
||||
_xmsgs/
|
||||
|
15
SPI6502B.lfp
@ -1,4 +1,4 @@
|
||||
# begin LFP file C:\sources\spi65\SPI6502B.lfp
|
||||
# begin LFP file C:\sources\AppleIISd\SPI6502B.lfp
|
||||
designfile spi6502b.ngd
|
||||
IO_GROUP "spi_Nsel" ;
|
||||
IO_GROUP "spi_miso" ;
|
||||
@ -6,22 +6,9 @@ IO_GROUP "spi_int" ;
|
||||
IO_GROUP "cpu_d" ;
|
||||
IO_GROUP "cpu_a" ;
|
||||
NET "spi_sclk" COLOR=6 ;
|
||||
NET "spi_Nsel<3>" COLOR=6 IO_GROUP="spi_Nsel" ;
|
||||
NET "spi_Nsel<2>" COLOR=6 IO_GROUP="spi_Nsel" ;
|
||||
NET "spi_Nsel<1>" COLOR=6 IO_GROUP="spi_Nsel" ;
|
||||
NET "spi_Nsel<0>" COLOR=6 IO_GROUP="spi_Nsel" ;
|
||||
NET "spi_mosi" COLOR=6 ;
|
||||
NET "spi_miso<3>" COLOR=6 IO_GROUP="spi_miso" ;
|
||||
NET "spi_miso<2>" COLOR=6 IO_GROUP="spi_miso" ;
|
||||
NET "spi_miso<1>" COLOR=6 IO_GROUP="spi_miso" ;
|
||||
NET "spi_miso<0>" COLOR=6 IO_GROUP="spi_miso" ;
|
||||
NET "spi_int<3>" COLOR=6 IO_GROUP="spi_int" ;
|
||||
NET "spi_int<2>" COLOR=6 IO_GROUP="spi_int" ;
|
||||
NET "spi_int<1>" COLOR=6 IO_GROUP="spi_int" ;
|
||||
NET "spi_int<0>" COLOR=6 IO_GROUP="spi_int" ;
|
||||
NET "Ncs2" COLOR=6 ;
|
||||
NET "extclk" COLOR=6 ;
|
||||
NET "diag" COLOR=6 ;
|
||||
NET "cpu_rnw" COLOR=6 ;
|
||||
NET "cpu_Nres" COLOR=6 ;
|
||||
NET "cpu_Nphi2" COLOR=6 ;
|
||||
|
14
SPI6502B.ucf
@ -3,6 +3,12 @@
|
||||
#PACE: Start of Constraints generated by PACE
|
||||
|
||||
#PACE: Start of PACE I/O Pin Assignments
|
||||
NET "a10" LOC = "P38" ;
|
||||
NET "b10" LOC = "P27" ;
|
||||
NET "a8" LOC = "P36" ;
|
||||
NET "b8" LOC = "P25" ;
|
||||
NET "a9" LOC = "P37" ;
|
||||
NET "b9" LOC = "P26" ;
|
||||
NET "cpu_a<0>" LOC = "P22" ;
|
||||
NET "cpu_a<1>" LOC = "P24" ;
|
||||
NET "cpu_d<0>" LOC = "P2" ;
|
||||
@ -13,14 +19,16 @@ NET "cpu_d<4>" LOC = "P9" ;
|
||||
NET "cpu_d<5>" LOC = "P11" ;
|
||||
NET "cpu_d<6>" LOC = "P12" ;
|
||||
NET "cpu_d<7>" LOC = "P13" ;
|
||||
NET "cpu_Nirq" LOC = "P14" ;
|
||||
NET "cpu_Nphi2" LOC = "P5" ;
|
||||
NET "cpu_Nres" LOC = "P19" ;
|
||||
NET "cpu_rnw" LOC = "P7" ;
|
||||
NET "cs1" LOC = "P20" ;
|
||||
NET "led" LOC = "P29" ;
|
||||
NET "extclk" LOC = "P6" ;
|
||||
NET "nio_sel" LOC = "P40" ;
|
||||
NET "nio_stb" LOC = "P43" ;
|
||||
NET "led" LOC = "P29" ;
|
||||
NET "Ncs2" LOC = "P18" ;
|
||||
NET "ng" LOC = "P20" ;
|
||||
NET "noe" LOC = "P14" ;
|
||||
NET "spi_int" LOC = "P42" ;
|
||||
NET "spi_miso" LOC = "P44" ;
|
||||
NET "spi_mosi" LOC = "P35" ;
|
||||
|
@ -33,6 +33,8 @@ library IEEE;
|
||||
use IEEE.STD_LOGIC_1164.ALL;
|
||||
use IEEE.STD_LOGIC_UNSIGNED.ALL;
|
||||
|
||||
use address_decoder.ALL;
|
||||
|
||||
-- Uncomment the following library declaration if using
|
||||
-- arithmetic functions with Signed or Unsigned values
|
||||
--use IEEE.NUMERIC_STD.ALL;
|
||||
@ -52,7 +54,6 @@ entity SPI6502B is
|
||||
cpu_Nres : in STD_LOGIC;
|
||||
cpu_a : in STD_LOGIC_VECTOR (1 downto 0);
|
||||
cpu_Nphi2 : in STD_LOGIC;
|
||||
cs1 : in STD_LOGIC;
|
||||
Ncs2 : in STD_LOGIC;
|
||||
extclk : in STD_LOGIC;
|
||||
spi_miso: in std_logic;
|
||||
@ -60,7 +61,18 @@ entity SPI6502B is
|
||||
spi_sclk : out STD_LOGIC;
|
||||
spi_Nsel : out STD_LOGIC;
|
||||
spi_int : in STD_LOGIC;
|
||||
led : out std_logic
|
||||
led : out std_logic;
|
||||
|
||||
a8 : in std_logic;
|
||||
a9 : in std_logic;
|
||||
a10 : in std_logic;
|
||||
nio_sel : in std_logic;
|
||||
nio_stb : in std_logic;
|
||||
b8 : out std_logic;
|
||||
b9 : out std_logic;
|
||||
b10 : out std_logic;
|
||||
noe : out std_logic;
|
||||
ng : out std_logic
|
||||
);
|
||||
|
||||
constant DIV_WIDTH : integer := 3;
|
||||
@ -117,11 +129,36 @@ architecture Behavioral of SPI6502B is
|
||||
signal divcnt: std_logic_vector(DIV_WIDTH-1 downto 0); -- divisor counter
|
||||
|
||||
signal shiftclk : std_logic;
|
||||
|
||||
component address_decoder
|
||||
port ( A8 : in std_logic;
|
||||
A9 : in std_logic;
|
||||
A10 : in std_logic;
|
||||
CLK : in std_logic;
|
||||
NIO_SEL : in std_logic;
|
||||
NIO_STB : in std_logic;
|
||||
A8_B : out std_logic;
|
||||
A9_B : out std_logic;
|
||||
A10_B : out std_logic;
|
||||
NOE : out std_logic);
|
||||
end component;
|
||||
|
||||
begin
|
||||
add_dec : address_decoder
|
||||
port map (A8=>a8,
|
||||
A9=>a9,
|
||||
A10=>a10,
|
||||
CLK=>extclk,
|
||||
NIO_SEL=>nio_sel,
|
||||
NIO_STB=>nio_stb,
|
||||
A8_B=>b8,
|
||||
A9_B=>b9,
|
||||
A10_B=>b10,
|
||||
NOE=>noe);
|
||||
|
||||
|
||||
led <= not (bsy or not slavesel); --'0'; --shifting2; --shiftdone; --shiftcnt(2);
|
||||
|
||||
ng <= Ncs2 and nio_sel and nio_stb;
|
||||
--------------------------
|
||||
|
||||
bsy <= start_shifting or shifting2;
|
||||
@ -256,7 +293,7 @@ begin
|
||||
-- interface section
|
||||
-- inputs
|
||||
reset <= not (cpu_Nres);
|
||||
selected <= cs1 and not(Ncs2); -- and cpu_phi2;
|
||||
selected <= not(Ncs2); -- and cpu_phi2;
|
||||
is_read <= selected and cpu_Nphi2 and cpu_rnw;
|
||||
int_din <= cpu_d;
|
||||
slaveint <= not(spi_int); -- active low interrupt inputs
|
||||
|
@ -1,2 +1,2 @@
|
||||
C:\sources\AppleIISd\spi6502b.ngc 1494085672
|
||||
C:\sources\AppleIISd\spi6502b.ngc 1494461294
|
||||
OK
|
||||
|
71
_pace.ucf
@ -1,41 +1,42 @@
|
||||
|
||||
NET "cpu_Nphi2" loc="P5";
|
||||
NET "extclk" loc="P6";
|
||||
NET "cpu_rnw" loc="P7";
|
||||
|
||||
#net "diag" loc="P29";
|
||||
|
||||
NET "cpu_d<0>" loc="P2";
|
||||
NET "cpu_d<1>" loc="P3";
|
||||
NET "cpu_d<2>" loc="P4";
|
||||
NET "cpu_d<3>" loc="P8";
|
||||
NET "cpu_d<4>" loc="P9";
|
||||
NET "cpu_d<5>" loc="P11";
|
||||
NET "cpu_d<6>" loc="P12";
|
||||
NET "cpu_d<7>" loc="P13";
|
||||
#PACE: Start of Constraints generated by PACE
|
||||
|
||||
NET "cpu_Nirq" loc="P14";
|
||||
NET "Ncs2" loc="P18";
|
||||
NET "cs1" loc="P20";
|
||||
NET "cpu_Nres" loc="P19";
|
||||
|
||||
NET "cpu_a<0>" loc="P22";
|
||||
NET "cpu_a<1>" loc="P24";
|
||||
#PACE: Start of PACE I/O Pin Assignments
|
||||
NET "A10" LOC = "P38" ;
|
||||
NET "A10_B" LOC = "P25" ;
|
||||
NET "A8" LOC = "P36" ;
|
||||
NET "A8_B" LOC = "P27" ;
|
||||
NET "A9" LOC = "P37" ;
|
||||
NET "A9_B" LOC = "P26" ;
|
||||
NET "cpu_a<0>" LOC = "P22" ;
|
||||
NET "cpu_a<1>" LOC = "P24" ;
|
||||
NET "cpu_d<0>" LOC = "P2" ;
|
||||
NET "cpu_d<1>" LOC = "P3" ;
|
||||
NET "cpu_d<2>" LOC = "P4" ;
|
||||
NET "cpu_d<3>" LOC = "P8" ;
|
||||
NET "cpu_d<4>" LOC = "P9" ;
|
||||
NET "cpu_d<5>" LOC = "P11" ;
|
||||
NET "cpu_d<6>" LOC = "P12" ;
|
||||
NET "cpu_d<7>" LOC = "P13" ;
|
||||
NET "cpu_Nirq" LOC = "P14" ;
|
||||
NET "cpu_Nphi2" LOC = "P5" ;
|
||||
NET "cpu_Nres" LOC = "P19" ;
|
||||
NET "cpu_rnw" LOC = "P7" ;
|
||||
NET "extclk" LOC = "P6" ;
|
||||
NET "IO_SEL" LOC = "P40" ;
|
||||
NET "IO_STB" LOC = "P43" ;
|
||||
NET "led" LOC = "P29" ;
|
||||
NET "Ncs2" LOC = "P18" ;
|
||||
NET "OE" LOC = "P1" ;
|
||||
NET "spi_int" LOC = "P42" ;
|
||||
NET "spi_miso" LOC = "P44" ;
|
||||
NET "spi_mosi" LOC = "P35" ;
|
||||
NET "spi_Nsel" LOC = "P28" ;
|
||||
NET "spi_sclk" LOC = "P34" ;
|
||||
|
||||
NET "spi_int<0>" loc="P42";
|
||||
NET "spi_int<1>" loc="P40";
|
||||
NET "spi_int<2>" loc="P39";
|
||||
NET "spi_int<3>" loc="P1";
|
||||
#PACE: Start of PACE Area Constraints
|
||||
|
||||
NET "spi_Nsel<0>" loc="P28";
|
||||
NET "spi_Nsel<1>" loc="P27";
|
||||
NET "spi_Nsel<2>" loc="P26";
|
||||
NET "spi_Nsel<3>" loc="P25";
|
||||
#PACE: Start of PACE Prohibit Constraints
|
||||
|
||||
NET "spi_sclk" loc="P34";
|
||||
NET "spi_mosi" loc="P35";
|
||||
|
||||
NET "spi_miso<0>" loc="P44";
|
||||
NET "spi_miso<1>" loc="P43";
|
||||
NET "spi_miso<2>" loc="P38";
|
||||
NET "spi_miso<3>" loc="P37";
|
||||
#PACE: End of Constraints generated by PACE
|
||||
|
0
address_decoder._u_
Normal file
15
address_decoder.lfp
Normal file
@ -0,0 +1,15 @@
|
||||
# begin LFP file C:\sources\AppleIISd\address_decoder.lfp
|
||||
designfile address_decoder.ngd
|
||||
INST "address_decoder" COLOR=15 ;
|
||||
NET "OE" COLOR=6 ;
|
||||
NET "IO_STB" COLOR=6 ;
|
||||
NET "IO_SEL" COLOR=6 ;
|
||||
NET "extclk" COLOR=6 ;
|
||||
NET "A10_B" COLOR=6 ;
|
||||
NET "A10" COLOR=6 ;
|
||||
NET "A9_B" COLOR=6 ;
|
||||
NET "A9" COLOR=6 ;
|
||||
NET "A8_B" COLOR=6 ;
|
||||
NET "A8" COLOR=6 ;
|
||||
INST "XLXI_16" COLOR=7 ;
|
||||
INST "XLXI_16/U0" COLOR=7 ;
|
240
address_decoder.sch
Normal file
@ -0,0 +1,240 @@
|
||||
VERSION 6
|
||||
BEGIN SCHEMATIC
|
||||
BEGIN ATTR DeviceFamilyName "xc9500xl"
|
||||
DELETE all:0
|
||||
EDITNAME all:0
|
||||
EDITTRAIT all:0
|
||||
END ATTR
|
||||
BEGIN NETLIST
|
||||
SIGNAL NIO_STB
|
||||
SIGNAL XLXN_4
|
||||
SIGNAL A10
|
||||
SIGNAL A9
|
||||
SIGNAL A8
|
||||
SIGNAL XLXN_10
|
||||
SIGNAL XLXN_11
|
||||
SIGNAL NOE
|
||||
SIGNAL CLK
|
||||
SIGNAL XLXN_14
|
||||
SIGNAL A10_B
|
||||
SIGNAL A9_B
|
||||
SIGNAL A8_B
|
||||
SIGNAL NIO_SEL
|
||||
SIGNAL XLXN_19
|
||||
PORT Input NIO_STB
|
||||
PORT Input A10
|
||||
PORT Input A9
|
||||
PORT Input A8
|
||||
PORT Output NOE
|
||||
PORT Input CLK
|
||||
PORT Output A10_B
|
||||
PORT Output A9_B
|
||||
PORT Output A8_B
|
||||
PORT Input NIO_SEL
|
||||
BEGIN BLOCKDEF fdrs
|
||||
TIMESTAMP 2001 3 9 11 23 0
|
||||
LINE N 0 -128 64 -128
|
||||
LINE N 0 -256 64 -256
|
||||
LINE N 384 -256 320 -256
|
||||
LINE N 0 -32 64 -32
|
||||
LINE N 0 -352 64 -352
|
||||
RECTANGLE N 64 -320 320 -64
|
||||
LINE N 192 -64 192 -32
|
||||
LINE N 192 -32 64 -32
|
||||
LINE N 64 -112 80 -128
|
||||
LINE N 80 -128 64 -144
|
||||
LINE N 192 -320 192 -352
|
||||
LINE N 192 -352 64 -352
|
||||
END BLOCKDEF
|
||||
BEGIN BLOCKDEF inv
|
||||
TIMESTAMP 2001 3 9 11 23 50
|
||||
LINE N 0 -32 64 -32
|
||||
LINE N 224 -32 160 -32
|
||||
LINE N 64 -64 128 -32
|
||||
LINE N 128 -32 64 0
|
||||
LINE N 64 0 64 -64
|
||||
CIRCLE N 128 -48 160 -16
|
||||
END BLOCKDEF
|
||||
BEGIN BLOCKDEF nand2
|
||||
TIMESTAMP 2001 3 9 11 23 50
|
||||
LINE N 0 -64 64 -64
|
||||
LINE N 0 -128 64 -128
|
||||
LINE N 256 -96 216 -96
|
||||
CIRCLE N 192 -108 216 -84
|
||||
LINE N 64 -48 64 -144
|
||||
LINE N 64 -144 144 -144
|
||||
LINE N 144 -48 64 -48
|
||||
ARC N 96 -144 192 -48 144 -48 144 -144
|
||||
END BLOCKDEF
|
||||
BEGIN BLOCKDEF vcc
|
||||
TIMESTAMP 2001 3 9 11 23 11
|
||||
LINE N 96 -64 32 -64
|
||||
LINE N 64 0 64 -32
|
||||
LINE N 64 -32 64 -64
|
||||
END BLOCKDEF
|
||||
BEGIN BLOCKDEF and2
|
||||
TIMESTAMP 2001 5 11 10 41 37
|
||||
LINE N 0 -64 64 -64
|
||||
LINE N 0 -128 64 -128
|
||||
LINE N 256 -96 192 -96
|
||||
ARC N 96 -144 192 -48 144 -48 144 -144
|
||||
LINE N 144 -48 64 -48
|
||||
LINE N 64 -144 144 -144
|
||||
LINE N 64 -48 64 -144
|
||||
END BLOCKDEF
|
||||
BEGIN BLOCKDEF and4b1
|
||||
TIMESTAMP 2001 5 11 10 43 32
|
||||
LINE N 0 -64 40 -64
|
||||
CIRCLE N 40 -76 64 -52
|
||||
LINE N 0 -128 64 -128
|
||||
LINE N 0 -192 64 -192
|
||||
LINE N 0 -256 64 -256
|
||||
LINE N 256 -160 192 -160
|
||||
LINE N 64 -64 64 -256
|
||||
LINE N 144 -112 64 -112
|
||||
ARC N 96 -208 192 -112 144 -112 144 -208
|
||||
LINE N 64 -208 144 -208
|
||||
END BLOCKDEF
|
||||
BEGIN BLOCK XLXI_13 nand2
|
||||
PIN I0 NIO_SEL
|
||||
PIN I1 NIO_STB
|
||||
PIN O XLXN_4
|
||||
END BLOCK
|
||||
BEGIN BLOCK XLXI_14 nand2
|
||||
PIN I0 XLXN_11
|
||||
PIN I1 XLXN_4
|
||||
PIN O NOE
|
||||
END BLOCK
|
||||
BEGIN BLOCK XLXI_16 fdrs
|
||||
PIN C CLK
|
||||
PIN D XLXN_14
|
||||
PIN R XLXN_10
|
||||
PIN S XLXN_19
|
||||
PIN Q XLXN_11
|
||||
END BLOCK
|
||||
BEGIN BLOCK XLXI_17 vcc
|
||||
PIN P XLXN_14
|
||||
END BLOCK
|
||||
BEGIN BLOCK XLXI_18 and2
|
||||
PIN I0 A10
|
||||
PIN I1 NIO_SEL
|
||||
PIN O A10_B
|
||||
END BLOCK
|
||||
BEGIN BLOCK XLXI_19 and2
|
||||
PIN I0 A9
|
||||
PIN I1 NIO_SEL
|
||||
PIN O A9_B
|
||||
END BLOCK
|
||||
BEGIN BLOCK XLXI_20 and2
|
||||
PIN I0 A8
|
||||
PIN I1 NIO_SEL
|
||||
PIN O A8_B
|
||||
END BLOCK
|
||||
BEGIN BLOCK XLXI_22 inv
|
||||
PIN I NIO_SEL
|
||||
PIN O XLXN_19
|
||||
END BLOCK
|
||||
BEGIN BLOCK XLXI_23 and4b1
|
||||
PIN I0 NIO_STB
|
||||
PIN I1 A10
|
||||
PIN I2 A9
|
||||
PIN I3 A8
|
||||
PIN O XLXN_10
|
||||
END BLOCK
|
||||
END NETLIST
|
||||
BEGIN SHEET 1 3520 2720
|
||||
IOMARKER 320 496 NIO_STB R180 28
|
||||
IOMARKER 320 560 NIO_SEL R180 28
|
||||
BEGIN BRANCH NIO_STB
|
||||
WIRE 320 496 368 496
|
||||
WIRE 368 496 368 640
|
||||
WIRE 368 640 608 640
|
||||
WIRE 368 496 1120 496
|
||||
END BRANCH
|
||||
BEGIN BRANCH XLXN_4
|
||||
WIRE 1376 528 1744 528
|
||||
END BRANCH
|
||||
BEGIN BRANCH A10
|
||||
WIRE 320 704 592 704
|
||||
WIRE 592 704 608 704
|
||||
WIRE 592 704 592 1168
|
||||
WIRE 592 1168 1088 1168
|
||||
END BRANCH
|
||||
BEGIN BRANCH A9
|
||||
WIRE 320 768 528 768
|
||||
WIRE 528 768 608 768
|
||||
WIRE 528 768 528 1312
|
||||
WIRE 528 1312 1088 1312
|
||||
END BRANCH
|
||||
BEGIN BRANCH A8
|
||||
WIRE 320 832 480 832
|
||||
WIRE 480 832 608 832
|
||||
WIRE 480 832 480 1456
|
||||
WIRE 480 1456 1088 1456
|
||||
END BRANCH
|
||||
IOMARKER 320 704 A10 R180 28
|
||||
IOMARKER 320 768 A9 R180 28
|
||||
IOMARKER 320 832 A8 R180 28
|
||||
BEGIN BRANCH NOE
|
||||
WIRE 2000 560 2032 560
|
||||
END BRANCH
|
||||
BEGIN BRANCH CLK
|
||||
WIRE 320 928 1392 928
|
||||
END BRANCH
|
||||
IOMARKER 320 928 CLK R180 28
|
||||
INSTANCE XLXI_18 1088 1232 R0
|
||||
INSTANCE XLXI_19 1088 1376 R0
|
||||
INSTANCE XLXI_20 1088 1520 R0
|
||||
BEGIN BRANCH A10_B
|
||||
WIRE 1344 1136 1744 1136
|
||||
END BRANCH
|
||||
BEGIN BRANCH A9_B
|
||||
WIRE 1344 1280 1744 1280
|
||||
END BRANCH
|
||||
BEGIN BRANCH A8_B
|
||||
WIRE 1344 1424 1744 1424
|
||||
END BRANCH
|
||||
INSTANCE XLXI_17 976 800 R0
|
||||
BEGIN BRANCH XLXN_14
|
||||
WIRE 1040 800 1392 800
|
||||
END BRANCH
|
||||
INSTANCE XLXI_13 1120 624 R0
|
||||
BEGIN BRANCH XLXN_11
|
||||
WIRE 1728 592 1744 592
|
||||
WIRE 1728 592 1728 656
|
||||
WIRE 1728 656 1840 656
|
||||
WIRE 1840 656 1840 800
|
||||
WIRE 1776 800 1840 800
|
||||
END BRANCH
|
||||
IOMARKER 1744 1136 A10_B R0 28
|
||||
IOMARKER 1744 1280 A9_B R0 28
|
||||
IOMARKER 1744 1424 A8_B R0 28
|
||||
IOMARKER 2032 560 NOE R0 28
|
||||
INSTANCE XLXI_14 1744 656 R0
|
||||
INSTANCE XLXI_16 1392 1056 R0
|
||||
BEGIN BRANCH XLXN_10
|
||||
WIRE 864 736 880 736
|
||||
WIRE 880 736 880 1024
|
||||
WIRE 880 1024 1392 1024
|
||||
END BRANCH
|
||||
BEGIN BRANCH NIO_SEL
|
||||
WIRE 320 560 944 560
|
||||
WIRE 944 560 1088 560
|
||||
WIRE 1088 560 1120 560
|
||||
WIRE 1088 560 1088 704
|
||||
WIRE 1088 704 1120 704
|
||||
WIRE 944 560 944 1104
|
||||
WIRE 944 1104 1088 1104
|
||||
WIRE 944 1104 944 1248
|
||||
WIRE 944 1248 944 1392
|
||||
WIRE 944 1392 1088 1392
|
||||
WIRE 944 1248 1088 1248
|
||||
END BRANCH
|
||||
BEGIN BRANCH XLXN_19
|
||||
WIRE 1344 704 1360 704
|
||||
WIRE 1360 704 1392 704
|
||||
END BRANCH
|
||||
INSTANCE XLXI_22 1120 736 R0
|
||||
INSTANCE XLXI_23 608 576 M180
|
||||
END SHEET
|
||||
END SCHEMATIC
|
19
address_decoder.ucf
Normal file
@ -0,0 +1,19 @@
|
||||
#PACE: Start of Constraints generated by PACE
|
||||
|
||||
#PACE: Start of PACE I/O Pin Assignments
|
||||
NET "A10" LOC = "P37" ;
|
||||
NET "A10_B" LOC = "P38" ;
|
||||
NET "A8" LOC = "P27" ;
|
||||
NET "A8_B" LOC = "P29" ;
|
||||
NET "A9" LOC = "P33" ;
|
||||
NET "A9_B" LOC = "P36" ;
|
||||
NET "extclk" LOC = "p6" ;
|
||||
NET "IO_SEL" LOC = "P39" ;
|
||||
NET "IO_STB" LOC = "P40" ;
|
||||
NET "OE" LOC = "P1" ;
|
||||
|
||||
#PACE: Start of PACE Area Constraints
|
||||
|
||||
#PACE: Start of PACE Prohibit Constraints
|
||||
|
||||
#PACE: End of Constraints generated by PACE
|
288
address_decoder.vhf
Normal file
@ -0,0 +1,288 @@
|
||||
--------------------------------------------------------------------------------
|
||||
-- Copyright (c) 1995-2003 Xilinx, Inc.
|
||||
-- All Right Reserved.
|
||||
--------------------------------------------------------------------------------
|
||||
-- ____ ____
|
||||
-- / /\/ /
|
||||
-- /___/ \ / Vendor: Xilinx
|
||||
-- \ \ \/ Version : 6.3.03i
|
||||
-- \ \ Application :
|
||||
-- / / Filename : address_decoder.vhf
|
||||
-- /___/ /\ Timestamp : 05/11/2017 02:05:37
|
||||
-- \ \ / \
|
||||
-- \___\/\___\
|
||||
--
|
||||
--Command:
|
||||
--Design Name: FD_MXILINX_address_decoder
|
||||
--
|
||||
|
||||
library ieee;
|
||||
use ieee.std_logic_1164.ALL;
|
||||
use ieee.numeric_std.ALL;
|
||||
-- synopsys translate_off
|
||||
library UNISIM;
|
||||
use UNISIM.Vcomponents.ALL;
|
||||
-- synopsys translate_on
|
||||
|
||||
entity FD_MXILINX_address_decoder is
|
||||
port ( C : in std_logic;
|
||||
D : in std_logic;
|
||||
Q : out std_logic);
|
||||
end FD_MXILINX_address_decoder;
|
||||
|
||||
architecture BEHAVIORAL of FD_MXILINX_address_decoder is
|
||||
attribute BOX_TYPE : string ;
|
||||
signal XLXN_4 : std_logic;
|
||||
component GND
|
||||
port ( G : out std_logic);
|
||||
end component;
|
||||
attribute BOX_TYPE of GND : component is "BLACK_BOX";
|
||||
|
||||
component FDCP
|
||||
port ( C : in std_logic;
|
||||
CLR : in std_logic;
|
||||
D : in std_logic;
|
||||
PRE : in std_logic;
|
||||
Q : out std_logic);
|
||||
end component;
|
||||
attribute BOX_TYPE of FDCP : component is "BLACK_BOX";
|
||||
|
||||
begin
|
||||
I_36_43 : GND
|
||||
port map (G=>XLXN_4);
|
||||
|
||||
U0 : FDCP
|
||||
port map (C=>C,
|
||||
CLR=>XLXN_4,
|
||||
D=>D,
|
||||
PRE=>XLXN_4,
|
||||
Q=>Q);
|
||||
|
||||
end BEHAVIORAL;
|
||||
|
||||
|
||||
--------------------------------------------------------------------------------
|
||||
-- Copyright (c) 1995-2003 Xilinx, Inc.
|
||||
-- All Right Reserved.
|
||||
--------------------------------------------------------------------------------
|
||||
-- ____ ____
|
||||
-- / /\/ /
|
||||
-- /___/ \ / Vendor: Xilinx
|
||||
-- \ \ \/ Version : 6.3.03i
|
||||
-- \ \ Application :
|
||||
-- / / Filename : address_decoder.vhf
|
||||
-- /___/ /\ Timestamp : 05/11/2017 02:05:37
|
||||
-- \ \ / \
|
||||
-- \___\/\___\
|
||||
--
|
||||
--Command:
|
||||
--Design Name: FDRS_MXILINX_address_decoder
|
||||
--
|
||||
|
||||
library ieee;
|
||||
use ieee.std_logic_1164.ALL;
|
||||
use ieee.numeric_std.ALL;
|
||||
-- synopsys translate_off
|
||||
library UNISIM;
|
||||
use UNISIM.Vcomponents.ALL;
|
||||
-- synopsys translate_on
|
||||
|
||||
entity FDRS_MXILINX_address_decoder is
|
||||
port ( C : in std_logic;
|
||||
D : in std_logic;
|
||||
R : in std_logic;
|
||||
S : in std_logic;
|
||||
Q : out std_logic);
|
||||
end FDRS_MXILINX_address_decoder;
|
||||
|
||||
architecture BEHAVIORAL of FDRS_MXILINX_address_decoder is
|
||||
attribute BOX_TYPE : string ;
|
||||
attribute HU_SET : string ;
|
||||
signal XLXN_6 : std_logic;
|
||||
signal XLXN_7 : std_logic;
|
||||
signal XLXN_8 : std_logic;
|
||||
component AND2B1
|
||||
port ( I0 : in std_logic;
|
||||
I1 : in std_logic;
|
||||
O : out std_logic);
|
||||
end component;
|
||||
attribute BOX_TYPE of AND2B1 : component is "BLACK_BOX";
|
||||
|
||||
component OR2
|
||||
port ( I0 : in std_logic;
|
||||
I1 : in std_logic;
|
||||
O : out std_logic);
|
||||
end component;
|
||||
attribute BOX_TYPE of OR2 : component is "BLACK_BOX";
|
||||
|
||||
component FD_MXILINX_address_decoder
|
||||
port ( C : in std_logic;
|
||||
D : in std_logic;
|
||||
Q : out std_logic);
|
||||
end component;
|
||||
|
||||
attribute HU_SET of U0 : label is "U0_0";
|
||||
begin
|
||||
I_36_112 : AND2B1
|
||||
port map (I0=>R,
|
||||
I1=>S,
|
||||
O=>XLXN_6);
|
||||
|
||||
I_36_113 : AND2B1
|
||||
port map (I0=>R,
|
||||
I1=>D,
|
||||
O=>XLXN_8);
|
||||
|
||||
I_36_120 : OR2
|
||||
port map (I0=>XLXN_6,
|
||||
I1=>XLXN_8,
|
||||
O=>XLXN_7);
|
||||
|
||||
U0 : FD_MXILINX_address_decoder
|
||||
port map (C=>C,
|
||||
D=>XLXN_7,
|
||||
Q=>Q);
|
||||
|
||||
end BEHAVIORAL;
|
||||
|
||||
|
||||
--------------------------------------------------------------------------------
|
||||
-- Copyright (c) 1995-2003 Xilinx, Inc.
|
||||
-- All Right Reserved.
|
||||
--------------------------------------------------------------------------------
|
||||
-- ____ ____
|
||||
-- / /\/ /
|
||||
-- /___/ \ / Vendor: Xilinx
|
||||
-- \ \ \/ Version : 6.3.03i
|
||||
-- \ \ Application :
|
||||
-- / / Filename : address_decoder.vhf
|
||||
-- /___/ /\ Timestamp : 05/11/2017 02:05:37
|
||||
-- \ \ / \
|
||||
-- \___\/\___\
|
||||
--
|
||||
--Command:
|
||||
--Design Name: address_decoder
|
||||
--
|
||||
|
||||
library ieee;
|
||||
use ieee.std_logic_1164.ALL;
|
||||
use ieee.numeric_std.ALL;
|
||||
-- synopsys translate_off
|
||||
library UNISIM;
|
||||
use UNISIM.Vcomponents.ALL;
|
||||
-- synopsys translate_on
|
||||
|
||||
entity address_decoder is
|
||||
port ( A8 : in std_logic;
|
||||
A9 : in std_logic;
|
||||
A10 : in std_logic;
|
||||
CLK : in std_logic;
|
||||
NIO_SEL : in std_logic;
|
||||
NIO_STB : in std_logic;
|
||||
A8_B : out std_logic;
|
||||
A9_B : out std_logic;
|
||||
A10_B : out std_logic;
|
||||
NOE : out std_logic);
|
||||
end address_decoder;
|
||||
|
||||
architecture BEHAVIORAL of address_decoder is
|
||||
attribute BOX_TYPE : string ;
|
||||
attribute HU_SET : string ;
|
||||
signal XLXN_4 : std_logic;
|
||||
signal XLXN_10 : std_logic;
|
||||
signal XLXN_11 : std_logic;
|
||||
signal XLXN_14 : std_logic;
|
||||
signal XLXN_19 : std_logic;
|
||||
component NAND2
|
||||
port ( I0 : in std_logic;
|
||||
I1 : in std_logic;
|
||||
O : out std_logic);
|
||||
end component;
|
||||
attribute BOX_TYPE of NAND2 : component is "BLACK_BOX";
|
||||
|
||||
component FDRS_MXILINX_address_decoder
|
||||
port ( C : in std_logic;
|
||||
D : in std_logic;
|
||||
R : in std_logic;
|
||||
S : in std_logic;
|
||||
Q : out std_logic);
|
||||
end component;
|
||||
|
||||
component VCC
|
||||
port ( P : out std_logic);
|
||||
end component;
|
||||
attribute BOX_TYPE of VCC : component is "BLACK_BOX";
|
||||
|
||||
component AND2
|
||||
port ( I0 : in std_logic;
|
||||
I1 : in std_logic;
|
||||
O : out std_logic);
|
||||
end component;
|
||||
attribute BOX_TYPE of AND2 : component is "BLACK_BOX";
|
||||
|
||||
component INV
|
||||
port ( I : in std_logic;
|
||||
O : out std_logic);
|
||||
end component;
|
||||
attribute BOX_TYPE of INV : component is "BLACK_BOX";
|
||||
|
||||
component AND4B1
|
||||
port ( I0 : in std_logic;
|
||||
I1 : in std_logic;
|
||||
I2 : in std_logic;
|
||||
I3 : in std_logic;
|
||||
O : out std_logic);
|
||||
end component;
|
||||
attribute BOX_TYPE of AND4B1 : component is "BLACK_BOX";
|
||||
|
||||
attribute HU_SET of XLXI_16 : label is "XLXI_16_1";
|
||||
begin
|
||||
XLXI_13 : NAND2
|
||||
port map (I0=>NIO_SEL,
|
||||
I1=>NIO_STB,
|
||||
O=>XLXN_4);
|
||||
|
||||
XLXI_14 : NAND2
|
||||
port map (I0=>XLXN_11,
|
||||
I1=>XLXN_4,
|
||||
O=>NOE);
|
||||
|
||||
XLXI_16 : FDRS_MXILINX_address_decoder
|
||||
port map (C=>CLK,
|
||||
D=>XLXN_14,
|
||||
R=>XLXN_10,
|
||||
S=>XLXN_19,
|
||||
Q=>XLXN_11);
|
||||
|
||||
XLXI_17 : VCC
|
||||
port map (P=>XLXN_14);
|
||||
|
||||
XLXI_18 : AND2
|
||||
port map (I0=>A10,
|
||||
I1=>NIO_SEL,
|
||||
O=>A10_B);
|
||||
|
||||
XLXI_19 : AND2
|
||||
port map (I0=>A9,
|
||||
I1=>NIO_SEL,
|
||||
O=>A9_B);
|
||||
|
||||
XLXI_20 : AND2
|
||||
port map (I0=>A8,
|
||||
I1=>NIO_SEL,
|
||||
O=>A8_B);
|
||||
|
||||
XLXI_22 : INV
|
||||
port map (I=>NIO_SEL,
|
||||
O=>XLXN_19);
|
||||
|
||||
XLXI_23 : AND4B1
|
||||
port map (I0=>NIO_STB,
|
||||
I1=>A10,
|
||||
I2=>A9,
|
||||
I3=>A8,
|
||||
O=>XLXN_10);
|
||||
|
||||
end BEHAVIORAL;
|
||||
|
||||
|
128
address_decoder_html/fit/applet.js
Normal file
@ -0,0 +1,128 @@
|
||||
var tmpStr = "";
|
||||
var waitWin;
|
||||
|
||||
function openWait() {
|
||||
waitWin = window.open("wait.htm", "wait",
|
||||
"toolbar=no,location=no,"+
|
||||
"directories=no,status=no,menubar=no,scrollbars=no,"+
|
||||
"resizable=no,width=300,height=50" );
|
||||
}
|
||||
|
||||
function closeWait() { if (waitWin) waitWin.close(); }
|
||||
|
||||
function setMsg(msg){
|
||||
|
||||
parent.leftnav.setAppletMsg( msg );
|
||||
// now send it reload forces
|
||||
// call to applet paint
|
||||
location.reload();
|
||||
}
|
||||
|
||||
function getMsg(){
|
||||
|
||||
return( parent.leftnav.getAppletMsg() );
|
||||
}
|
||||
|
||||
function resetMsg(){ parent.leftnav.setAppletMsg(""); }
|
||||
|
||||
function printAppletPkg() {
|
||||
if( isNS() ){
|
||||
setMsg("cmd printPkg ");
|
||||
}
|
||||
else{
|
||||
document.ChipViewerApplet.PrintPkg();
|
||||
}
|
||||
}
|
||||
|
||||
function showAppletGraphicMC(mc) {
|
||||
if( isNS() ){
|
||||
setMsg("cmd showMac " + mc);
|
||||
}
|
||||
else{
|
||||
document.ChipViewerApplet.ShowMac(mc);
|
||||
}
|
||||
}
|
||||
|
||||
function ShowMC() { showAppletGraphicMC(tmpStr); }
|
||||
|
||||
function showAppletGraphicFB(fb) {
|
||||
if( isNS() ){
|
||||
setMsg("cmd showFB " + fb);
|
||||
}
|
||||
else{
|
||||
document.ChipViewerApplet.ShowFB(fb);
|
||||
}
|
||||
}
|
||||
|
||||
function showAppletGraphicPin(pin) {
|
||||
if( isNS() ){
|
||||
setMsg("cmd showPin " + pin);
|
||||
}
|
||||
else{
|
||||
document.ChipViewerApplet.ShowPin(pin);
|
||||
}
|
||||
}
|
||||
|
||||
function ShowFB() { showAppletGraphicFB(tmpStr); }
|
||||
|
||||
function isNS() {
|
||||
return ((navigator.appName.indexOf("Netscape") >= 0) && (parseFloat(navigator.appVersion) < 5) ) ? true : false;
|
||||
}
|
||||
|
||||
function isIE(){
|
||||
var agt=navigator.userAgent.toLowerCase();
|
||||
return( ( (agt.indexOf("msie") != -1) && (agt.indexOf("opera") == -1) ) ? true: false );
|
||||
}
|
||||
|
||||
function waitUntilOK() {
|
||||
if (!waitWin) openWait();
|
||||
if (isNS()) {
|
||||
if (document.ChipViewerApplet.isActive()) closeWait();
|
||||
else settimeout("waitUntilOK()",100);
|
||||
}
|
||||
else {
|
||||
if (document.ChipViewerApplet.readyState == 4) closeWait();
|
||||
else settimeout("waitUntilOK()",100);
|
||||
}
|
||||
}
|
||||
|
||||
|
||||
// check that the applet if file has been generated
|
||||
// this can only be done if the applets been loaded.
|
||||
function fileExists(fileName){
|
||||
|
||||
if( document.ChipViewerApplet.readyState != 4 ) {
|
||||
window.alert("Navigation disabled until the applet is loaded." );
|
||||
}
|
||||
if( isIE() ){
|
||||
if( parent.leftnav.getAppletPermission() == 1 ){
|
||||
if( document.ChipViewerApplet.TestFileExists(fileName) == 1 ){
|
||||
window.alert("file exist tests true" );
|
||||
return( true );
|
||||
}
|
||||
}
|
||||
else{
|
||||
window.alert("file exist returns true no permission" );
|
||||
return( true );
|
||||
}
|
||||
}
|
||||
else{
|
||||
return( true );
|
||||
}
|
||||
window.alert("file exist returns false" );
|
||||
return( false );
|
||||
}
|
||||
|
||||
|
||||
|
||||
function setPermission(){
|
||||
|
||||
if( isIE() ){
|
||||
if( document.ChipViewerApplet.granted() ){
|
||||
parent.leftnav.setAppletPermission();
|
||||
}
|
||||
}
|
||||
else{
|
||||
return( true );
|
||||
}
|
||||
}
|
15
address_decoder_html/fit/appletref.htm
Normal file
@ -0,0 +1,15 @@
|
||||
<html>
|
||||
<head>
|
||||
<title></title>
|
||||
</head>
|
||||
<frameset frameborder="NO" framespacing="0" border="0" rows="94,*,0,0" col="*">
|
||||
<frame name="topnav" src="../tim/topnav.htm" scrolling="no" noresize marginwidth="0" marginheight="0">
|
||||
<frameset frameborder="NO" framespacing="0" border="0" cols="125,*">
|
||||
<frame name="leftnav" src="leftnav.htm" noresize marginwidth="0" marginheight="0">
|
||||
<frame name="content" src="summary.htm">
|
||||
</frameset>
|
||||
<frame name="applets" src="applet.htm" scrolling="no">
|
||||
<frame name="eqns" src="eqns.htm" scrolling="no">
|
||||
</frameset>
|
||||
</html>
|
||||
|
71
address_decoder_html/fit/asciidoc.htm
Normal file
@ -0,0 +1,71 @@
|
||||
<!doctype HTML public "-//W3C//DTD HTML 4.0 Frameset//EN">
|
||||
|
||||
<html>
|
||||
|
||||
<!--(==============================================================)-->
|
||||
<!--(Document created with RoboEditor. )============================-->
|
||||
<!--(==============================================================)-->
|
||||
|
||||
<head>
|
||||
|
||||
<title>Text Report</title>
|
||||
|
||||
<!--(Meta)==========================================================-->
|
||||
|
||||
<meta name=generator content="RoboHELP by eHelp Corporation - www.ehelp.com">
|
||||
<meta name=generator-major-version content=0.1>
|
||||
<meta name=generator-minor-version content=1>
|
||||
<meta name=filetype content=kadov>
|
||||
<meta name=filetype-version content=1>
|
||||
<meta name=page-count content=1>
|
||||
<meta name=layout-height content=375>
|
||||
<meta name=layout-width content=798>
|
||||
<meta name=date content="05 1, 2002 4:24:59 PM">
|
||||
|
||||
|
||||
|
||||
<style>
|
||||
<!--
|
||||
p.whs1 {font-family: arial, sans-serif; font-size: 10pt;}
|
||||
|
||||
--></style><script language="javascript" title="WebHelpInlineScript">
|
||||
<!--
|
||||
function reDo() {
|
||||
if (innerWidth != origWidth || innerHeight != origHeight)
|
||||
location.reload();
|
||||
}
|
||||
if ((parseInt(navigator.appVersion) == 4) && (navigator.appName == "Netscape")) {
|
||||
origWidth = innerWidth;
|
||||
origHeight = innerHeight;
|
||||
onresize = reDo;
|
||||
}
|
||||
//-->
|
||||
</script><style>
|
||||
<!--
|
||||
div.WebHelpPopupMenu {position:absolute; left:0px; top:0px; z-index:4; visibility:hidden;}
|
||||
p.WebHelpNavBar {text-align:right;}
|
||||
-->
|
||||
</style>
|
||||
</head>
|
||||
|
||||
<!--(Body)==========================================================-->
|
||||
|
||||
|
||||
<body>
|
||||
|
||||
|
||||
<h1>Text Report</h1>
|
||||
|
||||
<p class="whs1">Selecting Text
|
||||
Report from the left-hand frame will give you a printable text version
|
||||
of the fitter report. <!--kadov_tag{{<spaces>}}--> <!--kadov_tag{{</spaces>}}-->It
|
||||
contains sections similar to those of the XML report (a summary section,
|
||||
errors and warnings, mapped logic, function blocks, function block details,
|
||||
a text-graphical display of the pinout, and a summary of compiler options),
|
||||
but it is not easily navigable. <!--kadov_tag{{<spaces>}}--> <!--kadov_tag{{</spaces>}}-->It
|
||||
is best to use the text report only when you need to print out a hard
|
||||
copy of the fitter results.</p>
|
||||
|
||||
</body>
|
||||
|
||||
</html>
|
BIN
address_decoder_html/fit/backtop.jpg
Normal file
After Width: | Height: | Size: 1.6 KiB |
BIN
address_decoder_html/fit/beginstraight.gif
Normal file
After Width: | Height: | Size: 352 B |
BIN
address_decoder_html/fit/blank.gif
Normal file
After Width: | Height: | Size: 43 B |
1
address_decoder_html/fit/blank.htm
Normal file
@ -0,0 +1 @@
|
||||
<html></html>
|
BIN
address_decoder_html/fit/briefview.jpg
Normal file
After Width: | Height: | Size: 1.2 KiB |
10
address_decoder_html/fit/check.htm
Normal file
@ -0,0 +1,10 @@
|
||||
<html>
|
||||
<head>
|
||||
<script src="XilinxD.js"> </script>
|
||||
<script src="applet.js"> </script>
|
||||
<script src="plugin.js"> </script>
|
||||
|
||||
</head>
|
||||
<body onload="javascript:checkJre()" bgcolor="#ffffff" topmargin="0" leftmargin="0" marginheight="0" marginwidth="0" >
|
||||
</body>
|
||||
</html>
|
10
address_decoder_html/fit/checkNS4.htm
Normal file
@ -0,0 +1,10 @@
|
||||
<html>
|
||||
<head>
|
||||
<script src="xilinxD.js"> </script>
|
||||
<script src="applet.js"> </script>
|
||||
<script src="ns4plugin.js"> </script>
|
||||
|
||||
</head>
|
||||
<body onload="javascript:checkJre()" bgcolor="#ffffff" topmargin="0" leftmargin="0" marginheight="0" marginwidth="0" >
|
||||
</body>
|
||||
</html>
|
BIN
address_decoder_html/fit/contact.gif
Normal file
After Width: | Height: | Size: 741 B |
BIN
address_decoder_html/fit/coolrunnerII_logo.jpg
Normal file
After Width: | Height: | Size: 9.3 KiB |
BIN
address_decoder_html/fit/coolrunner_logo.jpg
Normal file
After Width: | Height: | Size: 11 KiB |
BIN
address_decoder_html/fit/education.gif
Normal file
After Width: | Height: | Size: 816 B |
BIN
address_decoder_html/fit/endmkt.gif
Normal file
After Width: | Height: | Size: 1.5 KiB |
902
address_decoder_html/fit/eqns.js
Normal file
@ -0,0 +1,902 @@
|
||||
var eqnType = 0;
|
||||
var spcStr = " ";
|
||||
var nlStr = "<br>";
|
||||
var tabStr = spcStr + spcStr + spcStr + spcStr + spcStr;
|
||||
var nlTabStr = nlStr + tabStr;
|
||||
var rClrS = "<font color='blue'>";
|
||||
var rClrE = "</font>";
|
||||
var cClrS = "<font color='green'>";
|
||||
var cClrE = "</font>";
|
||||
|
||||
var abelOper = new Array();
|
||||
abelOper["GND"] = new Array("Gnd");
|
||||
abelOper["VCC"] = new Array("Vcc");
|
||||
abelOper["NOT"] = new Array(rClrS + "!" + rClrE);
|
||||
abelOper["AND"] = new Array(rClrS + "*" + rClrE);
|
||||
abelOper["OR"] = new Array(rClrS + "#" + rClrE);
|
||||
abelOper["XOR"] = new Array(rClrS + "$" + rClrE);
|
||||
abelOper["EQUAL_COLON"] = new Array(":= ");
|
||||
abelOper["EQUAL"] = new Array("= ");
|
||||
abelOper["ASSIGN"] = new Array("");
|
||||
abelOper["OPEN_NEGATE"] = new Array("(");
|
||||
abelOper["CLOSE_NEGATE"] = new Array(")");
|
||||
abelOper["OPEN_PTERM"] = new Array("");
|
||||
abelOper["CLOSE_PTERM"] = new Array("");
|
||||
abelOper["OPEN_BRACE"] = new Array("<");
|
||||
abelOper["CLOSE_BRACE"] = new Array(">");
|
||||
abelOper["INVALID_OPEN_BRACE"] = new Array("<");
|
||||
abelOper["INVALID_CLOSE_BRACE"] = new Array(">");
|
||||
|
||||
abelOper["ENDLN"] = new Array(";");
|
||||
abelOper["COMMENT"] = new Array("//");
|
||||
abelOper["IMPORT"] = new Array(";Imported pterms ");
|
||||
abelOper["GCK_COM"] = new Array("GCK");
|
||||
abelOper["GTS_COM"] = new Array("GTS");
|
||||
abelOper["GSR_COM"] = new Array("GSR");
|
||||
abelOper["START_EQN"] = new Array("");
|
||||
abelOper["END_EQN"] = new Array("");
|
||||
|
||||
abelOper["_I"] = new Array(".I");
|
||||
abelOper["_T"] = new Array(".T");
|
||||
abelOper["_D"] = new Array(".D");
|
||||
abelOper["_C"] = new Array(".CLK");
|
||||
abelOper["_LH"] = new Array(".LH");
|
||||
abelOper["_CLR"] = new Array(".AR");
|
||||
abelOper["_PRE"] = new Array(".AP");
|
||||
abelOper["_CE"] = new Array(".CE");
|
||||
abelOper["_OE"] = new Array(".OE");
|
||||
|
||||
abelOper["OE_START"] = new Array(" <= ");
|
||||
abelOper["OE_WHEN"] = new Array(" when ");
|
||||
abelOper["OE_EQUAL"] = new Array(" = ");
|
||||
abelOper["OE_ELSE"] = new Array(" else ");
|
||||
abelOper["B0"] = new Array("'0'");
|
||||
abelOper["B1"] = new Array("'1'");
|
||||
abelOper["BZ"] = new Array("'Z'");
|
||||
|
||||
abelOper["FD"] = new Array(".D");
|
||||
abelOper["FT"] = new Array(".T");
|
||||
abelOper["FDD"] = new Array(".D");
|
||||
abelOper["FTD"] = new Array(".T");
|
||||
abelOper["LD"] = new Array(".LH");
|
||||
abelOper["Q"] = new Array(".Q");
|
||||
|
||||
var vhdlOper = new Array();
|
||||
vhdlOper["GND"] = new Array("'0'");
|
||||
vhdlOper["VCC"] = new Array("'1'");
|
||||
vhdlOper["NOT"] = new Array(rClrS + "NOT " + rClrE);
|
||||
vhdlOper["AND"] = new Array(rClrS + "AND" + rClrE);
|
||||
vhdlOper["OR"] = new Array(rClrS + "OR" + rClrE);
|
||||
vhdlOper["XOR"] = new Array(rClrS + "XOR" + rClrE);
|
||||
vhdlOper["EQUAL_COLON"] = new Array("<= ");
|
||||
vhdlOper["EQUAL"] = new Array("<= ");
|
||||
vhdlOper["ASSIGN"] = new Array("");
|
||||
vhdlOper["OPEN_NEGATE"] = new Array("(");
|
||||
vhdlOper["CLOSE_NEGATE"] = new Array(")");
|
||||
vhdlOper["OPEN_PTERM"] = new Array("(");
|
||||
vhdlOper["CLOSE_PTERM"] = new Array(")");
|
||||
vhdlOper["OPEN_BRACE"] = new Array("(");
|
||||
vhdlOper["CLOSE_BRACE"] = new Array(")");
|
||||
vhdlOper["INVALID_OPEN_BRACE"] = new Array("<");
|
||||
vhdlOper["INVALID_CLOSE_BRACE"] = new Array(">");
|
||||
|
||||
vhdlOper["ENDLN"] = new Array(";");
|
||||
vhdlOper["COMMENT"] = new Array("--");
|
||||
vhdlOper["IMPORT"] = new Array("");
|
||||
vhdlOper["GCK_COM"] = new Array("GCK");
|
||||
vhdlOper["GTS_COM"] = new Array("GTS");
|
||||
vhdlOper["GSR_COM"] = new Array("GSR");
|
||||
vhdlOper["START_EQN"] = new Array(rClrS + "port map" + rClrE + " (");
|
||||
vhdlOper["END_EQN"] = new Array(")");
|
||||
|
||||
vhdlOper["_I"] = new Array("_I");
|
||||
vhdlOper["_T"] = new Array("_T");
|
||||
vhdlOper["_D"] = new Array("_D");
|
||||
vhdlOper["_C"] = new Array("_C");
|
||||
vhdlOper["_LH"] = new Array("_C");
|
||||
vhdlOper["_CLR"] = new Array("_CLR");
|
||||
vhdlOper["_PRE"] = new Array("_PRE");
|
||||
vhdlOper["_CE"] = new Array("_CE");
|
||||
vhdlOper["_OE"] = new Array("_OE");
|
||||
|
||||
vhdlOper["OE_START"] = new Array(" <= ");
|
||||
vhdlOper["OE_WHEN"] = new Array(" when ");
|
||||
vhdlOper["OE_EQUAL"] = new Array(" = ");
|
||||
vhdlOper["OE_ELSE"] = new Array(" else ");
|
||||
vhdlOper["B0"] = new Array("'0'");
|
||||
vhdlOper["B1"] = new Array("'1'");
|
||||
vhdlOper["BZ"] = new Array("'Z'");
|
||||
|
||||
vhdlOper["FD"] = new Array("FDCPE");
|
||||
vhdlOper["FT"] = new Array("FTCPE");
|
||||
vhdlOper["FDD"] = new Array("FDDCPE");
|
||||
vhdlOper["FTD"] = new Array("FTDCPE");
|
||||
vhdlOper["LD"] = new Array("LDCP");
|
||||
vhdlOper["Q"] = new Array("");
|
||||
|
||||
var verOper = new Array();
|
||||
verOper["GND"] = new Array("1'b0");
|
||||
verOper["VCC"] = new Array("1'b1");
|
||||
verOper["NOT"] = new Array(rClrS + "!" + rClrE);
|
||||
verOper["AND"] = new Array(rClrS + "&&" + rClrE);
|
||||
verOper["OR"] = new Array(rClrS + "||" + rClrE);
|
||||
verOper["XOR"] = new Array(rClrS + "XOR" + rClrE);
|
||||
verOper["EQUAL_COLON"] = new Array("= ");
|
||||
verOper["EQUAL"] = new Array("= ");
|
||||
verOper["ASSIGN"] = new Array("assign ");
|
||||
verOper["OPEN_NEGATE"] = new Array("(");
|
||||
verOper["CLOSE_NEGATE"] = new Array(")");
|
||||
verOper["OPEN_PTERM"] = new Array("(");
|
||||
verOper["CLOSE_PTERM"] = new Array(")");
|
||||
verOper["OPEN_BRACE"] = new Array("[");
|
||||
verOper["CLOSE_BRACE"] = new Array("]");
|
||||
verOper["INVALID_OPEN_BRACE"] = new Array("<");
|
||||
verOper["INVALID_CLOSE_BRACE"] = new Array(">");
|
||||
|
||||
verOper["ENDLN"] = new Array(";");
|
||||
verOper["COMMENT"] = new Array("//");
|
||||
verOper["IMPORT"] = new Array("");
|
||||
verOper["GCK_COM"] = new Array("GCK");
|
||||
verOper["GTS_COM"] = new Array("GTS");
|
||||
verOper["GSR_COM"] = new Array("GSR");
|
||||
verOper["START_EQN"] = new Array(" (");
|
||||
verOper["END_EQN"] = new Array(")");
|
||||
|
||||
verOper["_I"] = new Array("_I");
|
||||
verOper["_T"] = new Array("_T");
|
||||
verOper["_D"] = new Array("_D");
|
||||
verOper["_C"] = new Array("_C");
|
||||
verOper["_LH"] = new Array("_C");
|
||||
verOper["_CLR"] = new Array("_CLR");
|
||||
verOper["_PRE"] = new Array("_PRE");
|
||||
verOper["_CE"] = new Array("_CE");
|
||||
verOper["_OE"] = new Array("_OE");
|
||||
|
||||
verOper["OE_START"] = new Array(" = ");
|
||||
verOper["OE_WHEN"] = new Array(" ? ");
|
||||
verOper["OE_EQUAL"] = new Array("");
|
||||
verOper["OE_ELSE"] = new Array(" : ");
|
||||
verOper["B0"] = new Array("1'b0");
|
||||
verOper["B1"] = new Array("1'b1");
|
||||
verOper["BZ"] = new Array("1'bz");
|
||||
|
||||
verOper["FD"] = new Array("FDCPE");
|
||||
verOper["FT"] = new Array("FTCPE");
|
||||
verOper["FDD"] = new Array("FDDCPE");
|
||||
verOper["FTD"] = new Array("FTDCPE");
|
||||
verOper["LD"] = new Array("LDCP");
|
||||
verOper["Q"] = new Array("");
|
||||
|
||||
var operator = abelOper;
|
||||
|
||||
var pterms = new Array();
|
||||
var d1 = new Array();
|
||||
var d2 = new Array();
|
||||
var clk = new Array();
|
||||
var set = new Array();
|
||||
var rst = new Array();
|
||||
var trst = new Array();
|
||||
var d1imp = new Array();
|
||||
var d2imp = new Array();
|
||||
var clkimp = new Array();
|
||||
var setimp = new Array();
|
||||
var rstimp = new Array();
|
||||
var trstimp = new Array();
|
||||
var gblclk = new Array();
|
||||
var gblset = new Array();
|
||||
var gblrst = new Array();
|
||||
var gbltrst = new Array();
|
||||
var ce = new Array();
|
||||
var ceimp = new Array();
|
||||
var prld = new Array();
|
||||
var specSig = new Array();
|
||||
var clkNegs = new Array();
|
||||
var setNegs = new Array();
|
||||
var rstNegs = new Array();
|
||||
var trstNegs = new Array();
|
||||
var ceNegs = new Array();
|
||||
var fbnand = new Array();
|
||||
var inreg = new Array();
|
||||
|
||||
var dOneLit = true;
|
||||
|
||||
function setOper(type) {
|
||||
if (type == "1") { operator = vhdlOper; eqnType = 1; }
|
||||
else if (type == "2") { operator = verOper; eqnType = 2; }
|
||||
else { operator = abelOper; eqnType = 0; }
|
||||
}
|
||||
|
||||
function isXC95() {
|
||||
if (device.indexOf("95") != -1) return true;
|
||||
return false;
|
||||
}
|
||||
|
||||
function is9500() {
|
||||
if ((device.indexOf("95") != -1) &&
|
||||
(device.indexOf("XL") == -1) &&
|
||||
(device.indexOf("XV") == -1)) return true;
|
||||
return false;
|
||||
}
|
||||
|
||||
function retSigType(s) {
|
||||
var sigType = sigTypes[s];
|
||||
var str = operator["Q"];
|
||||
if (sigType == "D") str = operator["FD"];
|
||||
else if (sigType == "T") str = operator["FT"];
|
||||
else if (sigType.indexOf("LATCH") != -1) str = operator["LD"];
|
||||
else if (sigType.indexOf("DDEFF") != -1) str = operator["FDD"];
|
||||
else if (sigType.indexOf("DEFF") != -1) str = operator["FD"];
|
||||
else if (sigType.indexOf("DDFF") != -1) str = operator["FDD"];
|
||||
else if (sigType.indexOf("TDFF") != -1) str = operator["FTD"];
|
||||
else if (sigType.indexOf("DFF") != -1) str = operator["FD"];
|
||||
else if (sigType.indexOf("TFF") != -1) str = operator["FT"];
|
||||
return str;
|
||||
}
|
||||
|
||||
function retSigIndex(signal) {
|
||||
for (s=0; s<signals.length; s++) { if (signals[s] == signal) return s; }
|
||||
return -1;
|
||||
}
|
||||
|
||||
function retSigName(signal) {
|
||||
var str = "";
|
||||
if (specSig[signal]) str += specSig[signal];
|
||||
else str += signal;
|
||||
|
||||
var idx1 = str.indexOf(operator["INVALID_OPEN_BRACE"]);
|
||||
var idx2 = str.indexOf(operator["INVALID_CLOSE_BRACE"]);
|
||||
if ((idx1 != -1) && (idx2 != -1))
|
||||
str = str.substring(0,idx1) + operator["OPEN_BRACE"] +
|
||||
str.substring(idx1+1,idx2) + operator["CLOSE_BRACE"] +
|
||||
str.substring(idx2+1,str.length);
|
||||
return str;
|
||||
}
|
||||
|
||||
function removePar(signal) {
|
||||
var str = signal;
|
||||
|
||||
var idx = str.indexOf(operator["OPEN_BRACE"]);
|
||||
if (idx != -1)
|
||||
str = str.substring(0,idx) +
|
||||
str.substring(idx+1,str.indexOf(operator["CLOSE_BRACE"]));
|
||||
|
||||
return str;
|
||||
}
|
||||
|
||||
|
||||
function isOneLiteral(str) {
|
||||
if ((str.indexOf(operator["AND"]) != -1) ||
|
||||
(str.indexOf(operator["OR"]) != -1) ||
|
||||
(str.indexOf(operator["XOR"]) != -1)) return false;
|
||||
return true;
|
||||
}
|
||||
|
||||
function updateName(signal, index) {
|
||||
var str;
|
||||
|
||||
var idx = signal.indexOf(operator["OPEN_BRACE"]);
|
||||
if (idx != -1)
|
||||
str = signal.substring(0,idx) +
|
||||
index + signal.substring(idx);
|
||||
else str = signal + index;
|
||||
|
||||
return str;
|
||||
}
|
||||
|
||||
function retPterm(pt) {
|
||||
var str = "";
|
||||
if (!pterms[pt]) {
|
||||
if (specSig[pt]) pt = specSig[pt];
|
||||
return pt;
|
||||
}
|
||||
|
||||
if (pterms[pt].length > 1) str += operator["OPEN_PTERM"];
|
||||
for (p=0; p<pterms[pt].length; p++) {
|
||||
var sig = pterms[pt][p];
|
||||
if (sig.indexOf("xPUP_0") != -1) continue;
|
||||
if (p>0) str += " " + operator["AND"] + " ";
|
||||
var neg = 0;
|
||||
if (sig.indexOf("/") != -1) {
|
||||
sig = sig.substring(1, sig.length);
|
||||
str += operator["NOT"];
|
||||
neg = 1;
|
||||
}
|
||||
|
||||
str += retSigName(sig);
|
||||
}
|
||||
if (pterms[pt].length > 1) str += operator["CLOSE_PTERM"];
|
||||
|
||||
return str;
|
||||
}
|
||||
|
||||
function retFBMC(str) {
|
||||
return str.substring(0,str.length-2);
|
||||
}
|
||||
|
||||
function retD1D2(signal) {
|
||||
var str = "";
|
||||
|
||||
dOneLit = true;
|
||||
if (d1[signal]) {
|
||||
var currImp = "";
|
||||
for (i=0; i<d1[signal].length; i++) {
|
||||
if (!eqnType && d1imp[signal] && (d1imp[signal][i] == "1")) {
|
||||
if (currImp != retFBMC(d1[signal][i])) {
|
||||
currImp = retFBMC(d1[signal][i]);
|
||||
str += nlStr + operator["IMPORT"] + currImp;
|
||||
}
|
||||
}
|
||||
if (i>0) str += nlTabStr + operator["OR"] + spcStr;
|
||||
str += retPterm(d1[signal][i]);
|
||||
}
|
||||
|
||||
if (d2[signal]) str += nlTabStr + operator["XOR"]+ spcStr;
|
||||
}
|
||||
|
||||
if (d2[signal]) {
|
||||
var currImp = "";
|
||||
for (i=0; i<d2[signal].length; i++) {
|
||||
if (!eqnType && d2imp[signal] && (d2imp[signal][i] == "1")) {
|
||||
if (currImp != retFBMC(d2[signal][i])) {
|
||||
currImp = retFBMC(d2[signal][i]);
|
||||
str += nlStr + operator["IMPORT"] + currImp;
|
||||
}
|
||||
}
|
||||
if (i>0) str += nlTabStr + operator["OR"] + spcStr;
|
||||
str += retPterm(d2[signal][i]);
|
||||
}
|
||||
}
|
||||
|
||||
if (str == "GND") str = operator["GND"];
|
||||
else if (str == "VCC") str = operator["VCC"];
|
||||
else if (!isOneLiteral(str)) {
|
||||
dOneLit = false;
|
||||
|
||||
var type = retSigType(retSigIndex(signal));
|
||||
if ((type == operator["FD"]) ||
|
||||
(type == operator["FDD"])) type = operator["_D"];
|
||||
else if ((type == operator["FT"]) ||
|
||||
(type == operator["FTD"])) type = operator["_T"];
|
||||
else if (type == operator["LD"] && eqnType) type = "_D";
|
||||
|
||||
var tmpStr = updateName(retSigName(signal), type);
|
||||
tmpStr += spcStr + operator["EQUAL_COLON"];
|
||||
var idx = retSigIndex(signal);
|
||||
if (eqnType && sigNegs[idx] == "ON") tmpStr += operator["NOT"] + operator["OPEN_NEGATE"];
|
||||
str = tmpStr + str;
|
||||
if (eqnType && sigNegs[idx] == "ON") str += operator["CLOSE_NEGATE"];
|
||||
str += operator["ENDLN"];
|
||||
|
||||
}
|
||||
|
||||
return str;
|
||||
}
|
||||
|
||||
function retClk(signal) {
|
||||
var str = "";
|
||||
|
||||
if (clk[signal]) {
|
||||
if (clk[signal].length == 1) {
|
||||
var pterm = retPterm(clk[signal][0]);
|
||||
if (clkNegs[signal]) {
|
||||
str += operator["NOT"];
|
||||
if (!isOneLiteral(pterm)) str += operator["OPEN_NEGATE"];
|
||||
}
|
||||
str += pterm;
|
||||
if (clkNegs[signal] && !isOneLiteral(pterm)) str += operator["CLOSE_NEGATE"];
|
||||
}
|
||||
else {
|
||||
if (clkNegs[signal]) str += operator["NOT"] + operator["OPEN_NEGATE"];
|
||||
var currImp = "";
|
||||
for (i=0; i<clk[signal].length; i++) {
|
||||
if (!eqnType && clkimp[signal] && (clkimp[signal][i] == "1")) {
|
||||
if (currImp != retFBMC(clk[signal][i])) {
|
||||
currImp = retFBMC(clk[signal][i]);
|
||||
str += nlStr + operator["IMPORT"] + currImp;
|
||||
}
|
||||
}
|
||||
if (i>0) str += nlTabStr + operator["OR"] + spcStr;
|
||||
str += retPterm(clk[signal][i]);
|
||||
}
|
||||
if (clkNegs[signal]) str += operator["CLOSE_NEGATE"];
|
||||
str += operator["ENDLN"];
|
||||
}
|
||||
}
|
||||
else if (gblclk[signal]) {
|
||||
if (gblclk[signal].length == 1) {
|
||||
var pterm = retPterm(gblclk[signal][0]);
|
||||
if (clkNegs[signal]) {
|
||||
str += operator["NOT"];
|
||||
if (!isOneLiteral(pterm)) str += operator["OPEN_NEGATE"];
|
||||
}
|
||||
str += pterm;
|
||||
if (clkNegs[signal] && !isOneLiteral(pterm)) str += operator["CLOSE_NEGATE"];
|
||||
}
|
||||
else {
|
||||
if (clkNegs[signal]) str += operator["NOT"] + operator["OPEN_NEGATE"];
|
||||
for (i=0; i<gblclk[signal].length; i++) {
|
||||
if (i>0) str += nlTabStr + operator["OR"] + spcStr;
|
||||
str += retPterm(gblclk[signal][i]);
|
||||
}
|
||||
if (clkNegs[signal]) str += operator["CLOSE_NEGATE"];
|
||||
str += operator["ENDLN"] + tabStr + cClrS +
|
||||
operator["COMMENT"] + spcStr + operator["GCK_COM"] + cClrE;
|
||||
}
|
||||
}
|
||||
else if (eqnType) str += operator["B0"];
|
||||
|
||||
return str;
|
||||
}
|
||||
|
||||
function retRst(signal) {
|
||||
var str = "";
|
||||
|
||||
if (rst[signal]) {
|
||||
if (rst[signal].length == 1) {
|
||||
var currImp;
|
||||
if (!eqnType && rstimp[signal] && (rstimp[signal][0] == "1")) {
|
||||
if (currImp != retFBMC(rst[signal][0])) {
|
||||
currImp = retFBMC(rst[signal][0]);
|
||||
str += nlStr + operator["IMPORT"] + currImp;
|
||||
}
|
||||
}
|
||||
if (rstNegs[signal]) str += operator["NOT"];
|
||||
str += retPterm(rst[signal][0]);
|
||||
}
|
||||
else {
|
||||
var currImp = "";
|
||||
if (rstNegs[signal]) str += operator["NOT"] + operator["OPEN_NEGATE"];
|
||||
for (i=0; i<rst[signal].length; i++) {
|
||||
if (!eqnType && rstimp[signal] && (rstimp[signal][i] == "1")) {
|
||||
if (currImp != retFBMC(rst[signal][i])) {
|
||||
currImp = retFBMC(rst[signal][i]);
|
||||
str += nlStr + operator["IMPORT"] + currImp;
|
||||
}
|
||||
}
|
||||
if (i>0) str += nlTabStr + operator["OR"] + spcStr;
|
||||
str += retPterm(rst[signal][i]);
|
||||
}
|
||||
if (rstNegs[signal]) str += operator["CLOSE_NEGATE"];
|
||||
str += operator["ENDLN"];
|
||||
}
|
||||
}
|
||||
else if (gblrst[signal]) {
|
||||
if (gblrst[signal].length == 1) {
|
||||
if (rstNegs[signal]) str += operator["NOT"];
|
||||
str += retPterm(gblrst[signal][0]);
|
||||
}
|
||||
else {
|
||||
if (rstNegs[signal]) str += operator["NOT"] + operator["OPEN_NEGATE"];
|
||||
for (i=0; i<gblrst[signal].length; i++) {
|
||||
if (i>0) str += nlTabStr + operator["OR"] + spcStr;
|
||||
str += retPterm(gblrst[signal][i]);
|
||||
}
|
||||
if (rstNegs[signal]) str += operator["CLOSE_NEGATE"];
|
||||
str += operator["ENDLN"] + tabStr + cClrS +
|
||||
operator["COMMENT"] + spcStr + operator["GSR_COM"] + cClrE;
|
||||
}
|
||||
}
|
||||
else if (eqnType) str += operator["B0"];
|
||||
|
||||
return str;
|
||||
}
|
||||
|
||||
function retSet(signal) {
|
||||
var str = "";
|
||||
|
||||
if (set[signal]) {
|
||||
if (set[signal].length == 1) {
|
||||
var currImp = "";
|
||||
if (!eqnType && setimp[signal] && (setimp[signal][0] == "1")) {
|
||||
if (currImp != retFBMC(set[signal][0])) {
|
||||
currImp = retFBMC(set[signal][0]);
|
||||
str += nlStr + operator["IMPORT"] + currImp;
|
||||
}
|
||||
}
|
||||
if (setNegs[signal]) str += operator["NOT"];
|
||||
str += retPterm(set[signal][0]);
|
||||
}
|
||||
else {
|
||||
var currImp = "";
|
||||
if (setNegs[signal]) str += operator["NOT"] + operator["OPEN_NEGATE"];
|
||||
for (i=0; i<set[signal].length; i++) {
|
||||
if (!eqnType && setimp[signal] && (setimp[signal][i] == "1")) {
|
||||
if (currImp != retFBMC(set[signal][i])) {
|
||||
currImp = retFBMC(set[signal][i]);
|
||||
str += nlStr + operator["IMPORT"] + currImp;
|
||||
}
|
||||
}
|
||||
if (i>0) str += nlTabStr + operator["OR"] + spcStr;
|
||||
str += retPterm(set[signal][i]);
|
||||
}
|
||||
if (setNegs[signal]) str += operator["CLOSE_NEGATE"];
|
||||
str += operator["ENDLN"];
|
||||
}
|
||||
}
|
||||
else if (gblset[signal]) {
|
||||
if (gblset[signal].length == 1) {
|
||||
if (setNegs[signal]) str += operator["NOT"];
|
||||
str += retPterm(gblset[signal][0]);
|
||||
}
|
||||
else {
|
||||
if (setNegs[signal]) str += operator["NOT"] + operator["OPEN_NEGATE"];
|
||||
for (i=0; i<gblset[signal].length; i++) {
|
||||
if (i>0) str += nlTabStr + operator["OR"] + spcStr;
|
||||
str += retPterm(gblset[signal][i]);
|
||||
}
|
||||
if (setNegs[signal]) str += operator["CLOSE_NEGATE"];
|
||||
str += operator["ENDLN"] + tabStr + cClrS +
|
||||
operator["COMMENT"] + spcStr + operator["GSR_COM"] + cClrE;
|
||||
}
|
||||
}
|
||||
else if (eqnType) str += operator["B0"];
|
||||
|
||||
return str;
|
||||
}
|
||||
|
||||
function retCE(signal) {
|
||||
var str = "";
|
||||
|
||||
if (ce[signal]) {
|
||||
if (ce[signal].length == 1) {
|
||||
var currImp = "";
|
||||
if (!eqnType && ceimp[signal] && (ceimp[signal][0] == "1")) {
|
||||
if (currImp != retFBMC(ce[signal][0])) {
|
||||
currImp = retFBMC(ce[signal][0]);
|
||||
str += nlStr + operator["IMPORT"] + currImp;
|
||||
}
|
||||
}
|
||||
if (ceNegs[signal]) str += operator["NOT"];
|
||||
str += retPterm(ce[signal][0]);
|
||||
}
|
||||
else {
|
||||
var currImp = "";
|
||||
if (ceNegs[signal]) str += operator["NOT"] + operator["OPEN_NEGATE"];
|
||||
for (i=0; i<ce[signal].length; i++) {
|
||||
if (!eqnType && ceimp[signal] && (ceimp[signal][i] == "1")) {
|
||||
if (currImp != retFBMC(ce[signal][i])) {
|
||||
currImp = retFBMC(ce[signal][i]);
|
||||
str += nlStr + operator["IMPORT"] + currImp;
|
||||
}
|
||||
}
|
||||
if (i>0) str += nlTabStr + operator["OR"] + spcStr;
|
||||
str += retPterm(ce[signal][i]);
|
||||
}
|
||||
if (ceNegs[signal]) str += operator["CLOSE_NEGATE"];
|
||||
str += operator["ENDLN"];
|
||||
}
|
||||
}
|
||||
else if (eqnType) str += operator["B1"];
|
||||
|
||||
return str;
|
||||
}
|
||||
|
||||
function retTrst(signal) {
|
||||
var str = "";
|
||||
if (trst[signal]) {
|
||||
if (trstNegs[signal])
|
||||
str += operator["NOT"] + operator["OPEN_NEGATE"];
|
||||
for (i=0; i<trst[signal].length; i++) {
|
||||
var currImp = "";
|
||||
if (!eqnType && trstimp[signal] && (trstimp[signal][0] == "1")) {
|
||||
if (currImp != retFBMC(trst[signal][0])) {
|
||||
currImp = retFBMC(trst[signal][0]);
|
||||
str += nlStr + operator["IMPORT"] + currImp;
|
||||
}
|
||||
}
|
||||
if (i>0) str += nlTabStr + operator["OR"] + spcStr;
|
||||
str += retPterm(trst[signal][i]);
|
||||
}
|
||||
if (trstNegs[signal]) str += operator["CLOSE_NEGATE"];
|
||||
}
|
||||
else if (gbltrst[signal]) {
|
||||
if (trstNegs[signal])
|
||||
str += operator["NOT"] + operator["OPEN_NEGATE"];
|
||||
for (i=0; i<gbltrst[signal].length; i++) {
|
||||
if (i>0) str += nlTabStr + operator["OR"] + spcStr;
|
||||
str += retPterm(gbltrst[signal][i]);
|
||||
}
|
||||
if (trstNegs[signal]) str += operator["CLOSE_NEGATE"];
|
||||
}
|
||||
|
||||
str += operator["ENDLN"];
|
||||
return str;
|
||||
}
|
||||
|
||||
function retEqn(signal) {
|
||||
var str = inregStr = "";
|
||||
var iStr = qStr = "";
|
||||
var dStr = dEqn = "";
|
||||
var cStr = cEqn = "";
|
||||
var clrStr = clrEqn = "";
|
||||
var preStr = preEqn = "";
|
||||
var ceStr = ceEqn = "";
|
||||
var oeStr = oeEqn = "";
|
||||
var sigName = retSigName(signal);
|
||||
|
||||
var type = retSigType(retSigIndex(signal));
|
||||
|
||||
if (gbltrst[signal] || trst[signal]) iStr = operator["_I"];
|
||||
if (eqnType) qStr = updateName(sigName, iStr);
|
||||
|
||||
if (inreg[signal]) {
|
||||
if (!eqnType)
|
||||
inregStr = operator["COMMENT"] + " Direct Input Register" + nlStr;
|
||||
dStr = retSigName(inreg[signal][0]);
|
||||
}
|
||||
else dStr = retD1D2(signal);
|
||||
if (eqnType && !dOneLit) {
|
||||
dEqn = dStr;
|
||||
dStr = dStr.substring(0,dStr.indexOf(operator["EQUAL_COLON"]));
|
||||
}
|
||||
else if (!eqnType) {
|
||||
if (!dOneLit) dStr = dStr.substring(dStr.indexOf(operator["EQUAL_COLON"])+2);
|
||||
if (sigNegs[retSigIndex(signal)] == "ON") dEqn += operator["NOT"];
|
||||
dEqn += sigName;
|
||||
if ((type == operator["FT"]) ||
|
||||
(type == operator["FTD"])) dEqn += operator["_T"];
|
||||
else if ((type == operator["FD"]) ||
|
||||
(type == operator["FTD"])||
|
||||
(type == operator["LD"])) dEqn += operator["_D"];
|
||||
dEqn += " ";
|
||||
if ((type != operator["Q"]) && (type != operator["LD"]))
|
||||
dEqn += operator["EQUAL_COLON"];
|
||||
else dEqn += operator["EQUAL"];
|
||||
dEqn += dStr;
|
||||
if (dOneLit) dEqn += operator["ENDLN"];
|
||||
}
|
||||
|
||||
cStr = retClk(signal);
|
||||
if (eqnType && !isOneLiteral(cStr)){
|
||||
cEqn = cStr;
|
||||
if (cEqn.indexOf(operator["ENDLN"]) == -1)
|
||||
cEqn += operator["ENDLN"];
|
||||
cStr = updateName(sigName, operator["_C"]);
|
||||
}
|
||||
else if (!eqnType && cStr) {
|
||||
cEqn += cStr;
|
||||
cStr = tabStr + sigName;
|
||||
if (type == operator["LD"]) cStr += operator["_LH"];
|
||||
else cStr += operator["_C"];
|
||||
if (cEqn.indexOf(operator["ENDLN"]) == -1)
|
||||
cEqn += operator["ENDLN"];
|
||||
if (gblclk[signal]) cEqn += tabStr + operator["COMMENT"] + " " + operator["GCK_COM"];
|
||||
}
|
||||
|
||||
clrStr = retRst(signal);
|
||||
if (eqnType && !isOneLiteral(clrStr)){
|
||||
clrEqn = clrStr;
|
||||
if (cEqn.indexOf(operator["ENDLN"]) == -1)
|
||||
clrEqn += operator["ENDLN"];
|
||||
clrStr = updateName(sigName, operator["_CLR"]);
|
||||
}
|
||||
else if (!eqnType && clrStr) {
|
||||
clrEqn += clrStr;
|
||||
clrStr = tabStr + sigName + operator["_CLR"];
|
||||
if (clrEqn.indexOf(operator["ENDLN"]) == -1)
|
||||
clrEqn += operator["ENDLN"];
|
||||
if (gblrst[signal]) clrEqn += tabStr + operator["COMMENT"] + " " + operator["GSR_COM"];
|
||||
}
|
||||
|
||||
preStr = retSet(signal);
|
||||
if (eqnType && !isOneLiteral(preStr)){
|
||||
preEqn = preStr;
|
||||
if (cEqn.indexOf(operator["ENDLN"]) == -1)
|
||||
preEqn += operator["ENDLN"];
|
||||
preStr = updateName(sigName, operator["_PRE"]);
|
||||
}
|
||||
else if (!eqnType && preStr) {
|
||||
preEqn += preStr;
|
||||
preStr = tabStr + sigName + operator["_PRE"];
|
||||
if (preEqn.indexOf(operator["ENDLN"]) == -1)
|
||||
preEqn += operator["ENDLN"];
|
||||
if (gblset[signal]) preEqn += tabStr + operator["COMMENT"] + " " + operator["GSR_COM"];
|
||||
}
|
||||
|
||||
if (!is9500()) {
|
||||
ceStr = retCE(signal);
|
||||
if (eqnType && !isOneLiteral(ceStr)){
|
||||
ceEqn = ceStr;
|
||||
if (cEqn.indexOf(operator["ENDLN"]) == -1)
|
||||
ceEqn += operator["ENDLN"];
|
||||
ceStr = updateName(sigName, operator["_CE"]);
|
||||
}
|
||||
else if (!eqnType && ceStr) {
|
||||
ceEqn += ceStr;
|
||||
ceStr = tabStr + sigName + operator["_CE"];
|
||||
if (ceEqn.indexOf(operator["ENDLN"]) == -1)
|
||||
ceEqn += operator["ENDLN"];
|
||||
}
|
||||
}
|
||||
|
||||
if (eqnType && trst[signal]) oeEqn = retTrst(signal)
|
||||
else if (!eqnType && (trst[signal] || gbltrst[signal])) oeEqn = retTrst(signal);
|
||||
|
||||
|
||||
var newline = false;
|
||||
if (type == "") {
|
||||
str += operator["ASSIGN"] + qStr + " " + operator["EQUAL"];
|
||||
if (dOneLit) str += dStr;
|
||||
else str += dEqn.substring(dEqn.indexOf(operator["EQUAL"])+2);
|
||||
if (oeEqn != "") {
|
||||
var oeStr = updateName(sigName, operator["_OE"]);
|
||||
if (eqnType == 1) {
|
||||
str += nlStr + sigName + operator["OE_START"] + qStr + operator["OE_WHEN"] + oeStr +
|
||||
operator["OE_EQUAL"] + operator["B1"] + operator["OE_ELSE"] +
|
||||
operator["OE_EQUAL"] + operator["BZ"] + operator["ENDLN"];
|
||||
}
|
||||
else if (eqnType == 2) {
|
||||
str += nlStr + operator["ASSIGN"] + sigName + operator["OE_START"] +
|
||||
oeStr + operator["OE_WHEN"] + qStr +
|
||||
operator["OE_ELSE"] + operator["BZ"] + operator["ENDLN"];
|
||||
}
|
||||
str += nlStr + operator["ASSIGN"] + oeStr + " " + operator["EQUAL"] + " " + oeEqn;
|
||||
}
|
||||
}
|
||||
else {
|
||||
if (eqnType == 1) {
|
||||
str += type + "_" + removePar(retSigName(signal)) +
|
||||
": " + type + " " + operator["START_EQN"] +
|
||||
qStr + ", " + dStr + ", " + cStr + ", " +
|
||||
clrStr + ", " + preStr;
|
||||
if (!is9500() && (type != operator["LD"])) str += ", " + ceStr;
|
||||
str += operator["END_EQN"] + operator["ENDLN"];
|
||||
newline = true;
|
||||
}
|
||||
else if (eqnType == 2) {
|
||||
str += type + " " +
|
||||
type + "_" + removePar(retSigName(signal)) +
|
||||
operator["START_EQN"] +
|
||||
qStr + ", " + dStr + ", " + cStr + ", " +
|
||||
clrStr + ", " + preStr;
|
||||
if (!is9500() && (type != operator["LD"])) str += ", " + ceStr;
|
||||
str += operator["END_EQN"] + operator["ENDLN"];
|
||||
newline = true;
|
||||
}
|
||||
|
||||
if (dEqn != "") {
|
||||
if (newline) str += nlStr;
|
||||
if (inregStr) str += inregStr;
|
||||
str += operator["ASSIGN"] + dEqn;
|
||||
}
|
||||
|
||||
if (cEqn != "") {
|
||||
if (newline || !eqnType) str += nlStr;
|
||||
str += operator["ASSIGN"] + cStr + " " + operator["EQUAL"] + " " + cEqn;
|
||||
}
|
||||
|
||||
if (clrEqn != "") {
|
||||
if (newline || !eqnType) str += nlStr;
|
||||
str += operator["ASSIGN"] + clrStr + " " + operator["EQUAL"] + " " + clrEqn;
|
||||
}
|
||||
|
||||
|
||||
if (preEqn != "") {
|
||||
if (newline || !eqnType) str += nlStr;
|
||||
str += operator["ASSIGN"] + preStr + " " + operator["EQUAL"] + " " + preEqn;
|
||||
}
|
||||
|
||||
if (ceEqn != "") {
|
||||
if (newline || !eqnType) str += nlStr;
|
||||
str += operator["ASSIGN"] + ceStr + " " + operator["EQUAL"] + " " + ceEqn;
|
||||
}
|
||||
|
||||
if (oeEqn != "") {
|
||||
if (eqnType == 1) {
|
||||
var oeStr = updateName(sigName, operator["_OE"]);
|
||||
str += nlStr + sigName + operator["OE_START"] + qStr + operator["OE_WHEN"] + oeStr +
|
||||
operator["OE_EQUAL"] + operator["B1"] + operator["OE_ELSE"] +
|
||||
operator["OE_EQUAL"] + operator["BZ"] + operator["ENDLN"];
|
||||
str += nlStr + oeStr + " " + operator["EQUAL"] + " " + oeEqn;
|
||||
}
|
||||
else if (eqnType == 2) {
|
||||
var oeStr = updateName(sigName, operator["_OE"]);
|
||||
str += nlStr + operator["ASSIGN"] + sigName + operator["OE_START"] + oeStr + operator["OE_WHEN"] + qStr +
|
||||
operator["OE_ELSE"] + operator["BZ"] + operator["ENDLN"];
|
||||
str += nlStr + operator["ASSIGN"] + oeStr + " " + operator["EQUAL"] + " " + oeEqn;
|
||||
}
|
||||
else {
|
||||
var oeStr = sigName + operator["_OE"];
|
||||
if (gbltrst[signal])
|
||||
oeEqn += tabStr + operator["COMMENT"] + " " + operator["GTS_COM"];
|
||||
str += nlStr + tabStr + oeStr + " " + operator["EQUAL"] + " " + oeEqn;
|
||||
}
|
||||
}
|
||||
}
|
||||
|
||||
return str;
|
||||
}
|
||||
|
||||
function retFamily() {
|
||||
var family = "xc9500";
|
||||
if (device.indexOf("XC2C") != -1) {
|
||||
if (device.indexOf("S") != -1) family = "cr2s";
|
||||
else family = "xbr";
|
||||
}
|
||||
else if (device.indexOf("XCR3") != -1) family = "xpla3";
|
||||
else {
|
||||
if (device.indexOf("XL") != -1) family = "xc9500xl";
|
||||
if (device.indexOf("XV") != -1) family = "xc9500xv";
|
||||
}
|
||||
|
||||
return family;
|
||||
}
|
||||
|
||||
function retDesign() { return design; }
|
||||
|
||||
function getPterm(pt, type) {
|
||||
if (type) return type + " = " + retPterm(pt);
|
||||
return "PT" + pt.substring(pt.indexOf('_')+1,pt.length) + " = " + retPterm(pt);
|
||||
}
|
||||
|
||||
function getPRLDName(prld) {
|
||||
if (eqnType != 0) return prld;
|
||||
else if (prld == "VCC") return "S";
|
||||
return "R";
|
||||
}
|
||||
|
||||
function retFbnand(signal) {
|
||||
var str = operator["COMMENT"] + spcStr + "Foldback NAND";
|
||||
str += nlStr + retSigName(signal) + spcStr + operator["EQUAL"] + spcStr;
|
||||
for (i=0; i<fbnand[signal].length; i++) {
|
||||
if (i>0) str += nlTabStr + operator["OR"] + spcStr;
|
||||
str += retPterm(fbnand[signal][i]);
|
||||
}
|
||||
|
||||
return str;
|
||||
}
|
||||
|
||||
function getEqn(signal) { return retEqn(signal); }
|
||||
|
||||
function retUimPterm(pt) {
|
||||
var str = "";
|
||||
if (!uimPterms[pt]) return pt;
|
||||
for (p=0; p<uimPterms[pt].length; p++) {
|
||||
if (p>0) str += spcStr + operator["AND"] + spcStr;
|
||||
var sig = uimPterms[pt][p];
|
||||
if (sig.indexOf("/") != -1) sig = sig.substring(1, sig.length);
|
||||
|
||||
str += retSigName(sig);
|
||||
}
|
||||
return str;
|
||||
}
|
||||
|
||||
function retUimEqn(signal) {
|
||||
var str = operator["COMMENT"] + spcStr + "FC Node" + nlStr;
|
||||
var neg = 0;
|
||||
if (uimSigNegs[s] == "ON") str += operator["NOT"];
|
||||
str += retSigName(signal) + spcStr + operator["EQUAL"];
|
||||
str += retUimPterm(signal) + ";";
|
||||
|
||||
return str;
|
||||
}
|
||||
|
||||
function retLegend(url) {
|
||||
var str = "";
|
||||
if (!eqnType && !isXC95()) {
|
||||
str = "Legend: " + "<" + "signame" + ">" + ".COMB = combinational node mapped to ";
|
||||
str += "the same physical macrocell as the FastInput \"signal\" (not logically related)";
|
||||
}
|
||||
else if (eqnType) {
|
||||
str = "Register Legend:";
|
||||
if (is9500()) {
|
||||
str += nlTabStr + "FDCPE (Q,D,C,CLR,PRE);";
|
||||
str += nlTabStr + "FTCPE (Q,D,C,CLR,PRE);";
|
||||
str += nlTabStr + "LDCP (Q,D,G,CLR,PRE);";
|
||||
}
|
||||
else if (retFamily() == "xbr") {
|
||||
str += nlTabStr + "FDCPE (Q,D,C,CLR,PRE,CE);";
|
||||
str += nlTabStr + "FDDCPE (Q,D,C,CLR,PRE,CE);";
|
||||
str += nlTabStr + "FTCPE (Q,D,C,CLR,PRE,CE);";
|
||||
str += nlTabStr + "FTDCPE (Q,D,C,CLR,PRE,CE);";
|
||||
str += nlTabStr + "LDCP (Q,D,G,CLR,PRE);";
|
||||
}
|
||||
else {
|
||||
str += nlTabStr + "FDCPE (Q,D,C,CLR,PRE,CE);";
|
||||
str += nlTabStr + "FTCPE (Q,D,C,CLR,PRE,CE);";
|
||||
str += nlTabStr + "LDCP (Q,D,G,CLR,PRE);";
|
||||
}
|
||||
}
|
||||
return str;
|
||||
}
|
||||
|
BIN
address_decoder_html/fit/equations.gif
Normal file
After Width: | Height: | Size: 20 KiB |
13
address_decoder_html/fit/equations.htm
Normal file
@ -0,0 +1,13 @@
|
||||
<html>
|
||||
<head>
|
||||
<script>
|
||||
function init() {
|
||||
document.open();
|
||||
document.write(parent.leftnav.document.options.htmlStr.value);
|
||||
document.close();
|
||||
}
|
||||
</script>
|
||||
</head>
|
||||
<body onload="javascript:init()">
|
||||
</body>
|
||||
</html>
|
53
address_decoder_html/fit/equationsdoc.htm
Normal file
@ -0,0 +1,53 @@
|
||||
<!doctype HTML public "-//W3C//DTD HTML 4.0 Frameset//EN">
|
||||
|
||||
<html>
|
||||
|
||||
<!--(==============================================================)-->
|
||||
<!--(Document created with RoboEditor. )============================-->
|
||||
<!--(==============================================================)-->
|
||||
|
||||
<head>
|
||||
|
||||
<title>Equations</title>
|
||||
|
||||
<!--(Meta)==========================================================-->
|
||||
|
||||
<meta name=generator content="RoboHELP by eHelp Corporation - www.ehelp.com">
|
||||
<meta name=generator-major-version content=0.1>
|
||||
<meta name=generator-minor-version content=1>
|
||||
<meta name=filetype content=kadov>
|
||||
<meta name=filetype-version content=1>
|
||||
<meta name=page-count content=1>
|
||||
<meta name=layout-height content=582>
|
||||
<meta name=layout-width content=798>
|
||||
<meta name=date content="05 1, 2002 4:30:09 PM">
|
||||
|
||||
|
||||
|
||||
</head>
|
||||
|
||||
<!--(Body)==========================================================-->
|
||||
|
||||
|
||||
<body>
|
||||
|
||||
<h1>Equations</h1>
|
||||
|
||||
<p><span style="font-size: 10pt; font-family: arial, sans-serif;">The Equations
|
||||
page provides a list of equations organized by signal name. <!--kadov_tag{{<spaces>}}--> <!--kadov_tag{{</spaces>}}-->You
|
||||
can use the pulldown menu in the left-hand frame of the page to select
|
||||
ABEL, VHDL, or Verilog as your language of display.</span> </p>
|
||||
|
||||
<p><img src="xml8.jpg"
|
||||
x-maintain-ratio=TRUE
|
||||
style="border: none;
|
||||
width: 181px;
|
||||
height: 448px;
|
||||
float: none;"
|
||||
width=181
|
||||
height=448
|
||||
border=0></p>
|
||||
|
||||
</body>
|
||||
|
||||
</html>
|
41
address_decoder_html/fit/errors.js
Normal file
@ -0,0 +1,41 @@
|
||||
var infoList = new Array();
|
||||
var warnList = new Array();
|
||||
var errorList = new Array();
|
||||
|
||||
function updateError(type) {
|
||||
with (document.options) {
|
||||
switch (type) {
|
||||
case 0:
|
||||
if (info.checked) parent.leftnav.document.options.info.value = 1;
|
||||
else parent.leftnav.document.options.info.value = 0;
|
||||
break;
|
||||
|
||||
case 1:
|
||||
if (warn.checked) parent.leftnav.document.options.warn.value = 1;
|
||||
else parent.leftnav.document.options.warn.value = 0;
|
||||
break;
|
||||
|
||||
case 2:
|
||||
if (error.checked) parent.leftnav.document.options.error.value = 1;
|
||||
else parent.leftnav.document.options.error.value = 0;
|
||||
break;
|
||||
}
|
||||
}
|
||||
|
||||
parent.leftnav.showError();
|
||||
}
|
||||
|
||||
function init() {
|
||||
if (!document.options) return;
|
||||
with (document.options) {
|
||||
if (parent.leftnav.document.options.info.value == 1) info.checked = 1;
|
||||
else info.checked = 0;
|
||||
if (parent.leftnav.document.options.warn.value == 1) warn.checked = 1;
|
||||
else warn.checked = 0;
|
||||
if (parent.leftnav.document.options.error.value == 1) error.checked = 1;
|
||||
else error.checked = 0;
|
||||
|
||||
}
|
||||
}
|
||||
|
||||
function showError(url) { parent.leftnav.showErrorLink(url); }
|
BIN
address_decoder_html/fit/errors1.gif
Normal file
After Width: | Height: | Size: 5.5 KiB |
BIN
address_decoder_html/fit/errors2.gif
Normal file
After Width: | Height: | Size: 3.5 KiB |
91
address_decoder_html/fit/errorsdoc.htm
Normal file
@ -0,0 +1,91 @@
|
||||
<!doctype HTML public "-//W3C//DTD HTML 4.0 Frameset//EN">
|
||||
|
||||
<html>
|
||||
|
||||
<!--(==============================================================)-->
|
||||
<!--(Document created with RoboEditor. )============================-->
|
||||
<!--(==============================================================)-->
|
||||
|
||||
<head>
|
||||
|
||||
<title>Errors</title>
|
||||
|
||||
<!--(Meta)==========================================================-->
|
||||
|
||||
<meta name=generator content="RoboHELP by eHelp Corporation - www.ehelp.com">
|
||||
<meta name=generator-major-version content=0.1>
|
||||
<meta name=generator-minor-version content=1>
|
||||
<meta name=filetype content=kadov>
|
||||
<meta name=filetype-version content=1>
|
||||
<meta name=page-count content=1>
|
||||
<meta name=layout-height content=715>
|
||||
<meta name=layout-width content=798>
|
||||
<meta name=date content="05 1, 2002 4:22:26 PM">
|
||||
|
||||
|
||||
|
||||
<style>
|
||||
<!--
|
||||
p.whs1 {font-family: arial, sans-serif; font-size: 10pt;}
|
||||
p.whs2 {font-family: arial, sans-serif; font-size: 10pt;}
|
||||
p.whs3 {font-family: arial, sans-serif; font-size: 10pt;}
|
||||
|
||||
--></style><script language="javascript" title="WebHelpInlineScript">
|
||||
<!--
|
||||
function reDo() {
|
||||
if (innerWidth != origWidth || innerHeight != origHeight)
|
||||
location.reload();
|
||||
}
|
||||
if ((parseInt(navigator.appVersion) == 4) && (navigator.appName == "Netscape")) {
|
||||
origWidth = innerWidth;
|
||||
origHeight = innerHeight;
|
||||
onresize = reDo;
|
||||
}
|
||||
//-->
|
||||
</script><style>
|
||||
<!--
|
||||
div.WebHelpPopupMenu {position:absolute; left:0px; top:0px; z-index:4; visibility:hidden;}
|
||||
p.WebHelpNavBar {text-align:right;}
|
||||
-->
|
||||
</style>
|
||||
</head>
|
||||
|
||||
<!--(Body)==========================================================-->
|
||||
|
||||
|
||||
<body>
|
||||
|
||||
|
||||
<h1>Errors/Warnings</h1>
|
||||
|
||||
<p class="whs1">The Errors/Warnings
|
||||
section of the report lists all of the error, warning, and information
|
||||
messages generated by the fitter. By default, this section will display
|
||||
the number of each kind of message you have and the full text of the messages,
|
||||
but checkboxes at the top of the screen allow you to filter message details
|
||||
as you choose. </p>
|
||||
|
||||
<p class="whs2">Checking all
|
||||
the boxes will give you a display like this:</p>
|
||||
|
||||
<p><SCRIPT LANGUAGE="JavaScript"><!--
|
||||
if (navigator.appName=="Netscape")
|
||||
{ document.write("<img src='xml6.jpg' x-maintain-ratio='TRUE' width='540' height='254' border='0'>");}
|
||||
else
|
||||
{ document.write("<img src='xml6.jpg' x-maintain-ratio='TRUE' style='border: none; width: 540px; height: 254px; float: none;' width='540' height='254' border='0'>");}
|
||||
//--></SCRIPT></p>
|
||||
|
||||
<p class="whs3">Deselecting
|
||||
the Warning box in this particular example would result in this less detailed
|
||||
display:</p>
|
||||
|
||||
<p><SCRIPT LANGUAGE="JavaScript"><!--
|
||||
if (navigator.appName=="Netscape")
|
||||
{ document.write("<img src='xml7.jpg' x-maintain-ratio='TRUE' width='576' height='226' border='0'>");}
|
||||
else
|
||||
{ document.write("<img src='xml7.jpg' x-maintain-ratio='TRUE' style='border: none; width: 576px; height: 226px; float: none;' width='576' height='226' border='0'>");}
|
||||
//--></SCRIPT></p>
|
||||
|
||||
</body>
|
||||
|
||||
</html>
|
BIN
address_decoder_html/fit/fb.gif
Normal file
After Width: | Height: | Size: 11 KiB |
BIN
address_decoder_html/fit/fb1.gif
Normal file
After Width: | Height: | Size: 7.7 KiB |
9
address_decoder_html/fit/fbs.js
Normal file
@ -0,0 +1,9 @@
|
||||
function showFBApplet(fb) { parent.leftnav.showAppletFB(fb); }
|
||||
function showFB(fb) { parent.leftnav.showFB(fb); }
|
||||
function showMC(mc) { parent.leftnav.showAppletMC(mc); }
|
||||
function showPT(pterm, type) { parent.leftnav.showPterm(pterm, type); }
|
||||
function showPin(pin) { parent.leftnav.showAppletPin(pin); }
|
||||
function showEqn(sig) { parent.leftnav.showEqn(sig); }
|
||||
function showFBDetail(fb) { parent.leftnav.showFB(fb); }
|
||||
function showLegend(url) { parent.leftnav.showLegend(url, 650, 350); }
|
||||
function showTop() { parent.leftnav.showTop(); }
|
307
address_decoder_html/fit/fbs_FBdoc.htm
Normal file
@ -0,0 +1,307 @@
|
||||
<!doctype HTML public "-//W3C//DTD HTML 4.0 Frameset//EN">
|
||||
|
||||
<html>
|
||||
|
||||
<!--(==============================================================)-->
|
||||
<!--(Document created with RoboEditor. )============================-->
|
||||
<!--(==============================================================)-->
|
||||
|
||||
<head>
|
||||
|
||||
<title>Function Block Specifics</title>
|
||||
|
||||
<!--(Meta)==========================================================-->
|
||||
|
||||
<meta name=generator content="RoboHELP by eHelp Corporation - www.ehelp.com">
|
||||
<meta name=generator-major-version content=0.1>
|
||||
<meta name=generator-minor-version content=1>
|
||||
<meta name=filetype content=kadov>
|
||||
<meta name=filetype-version content=1>
|
||||
<meta name=page-count content=1>
|
||||
<meta name=layout-height content=3556>
|
||||
<meta name=layout-width content=670>
|
||||
<meta name=date content="05 24, 2002 6:03:49 PM">
|
||||
|
||||
|
||||
|
||||
<style>
|
||||
<!--
|
||||
p.whs1 {font-family: arial, sans-serif; font-size: 10pt;}
|
||||
p.whs2 {font-family: arial, sans-serif; font-size: 12pt;}
|
||||
p.whs3 {font-family: arial, sans-serif; font-size: 12pt;}
|
||||
h4.whs4 {font-family: arial, sans-serif;}
|
||||
ul.whs5 {list-style: disc;}
|
||||
p.whs6 {margin-left: 80px;}
|
||||
ul.whs7 {list-style: disc;}
|
||||
p.whs8 {margin-left: 80px;}
|
||||
ul.whs9 {list-style: disc;}
|
||||
p.whs10 {margin-left: 80px; font-family: arial, sans-serif; font-size: 10pt;}
|
||||
p.whs11 {margin-left: 80px; font-family: arial, sans-serif; font-size: 10pt;}
|
||||
ul.whs12 {list-style: disc;}
|
||||
ul.whs13 {list-style: disc;}
|
||||
p.whs14 {margin-left: 80px;}
|
||||
p.whs15 {font-weight: bold; font-family: arial, sans-serif; font-size: 10pt; margin-left: 120px;}
|
||||
ul.whs16 {list-style: disc;}
|
||||
p.whs17 {margin-left: 80px;}
|
||||
p.whs18 {margin-left: 80px;}
|
||||
h4.whs19 {font-family: arial, sans-serif;}
|
||||
p.whs20 {font-family: arial, sans-serif; font-size: 10pt;}
|
||||
p.whs21 {font-family: arial, sans-serif; font-size: 10pt;}
|
||||
p.whs22 {font-family: arial, sans-serif; font-size: 10pt;}
|
||||
p.whs23 {font-family: arial, sans-serif; font-size: 10pt;}
|
||||
p.whs24 {font-family: arial, sans-serif; font-size: 10pt;}
|
||||
p.whs25 {font-family: arial, sans-serif; font-size: 10pt;}
|
||||
p.whs26 {font-family: arial, sans-serif; font-size: 10pt;}
|
||||
ul.whs27 {list-style: disc;}
|
||||
p.whs28 {font-family: arial, sans-serif; font-size: 10pt;}
|
||||
p.whs29 {font-family: arial, sans-serif; font-size: 10pt;}
|
||||
p.whs30 {font-family: arial, sans-serif; font-size: 10pt;}
|
||||
p.whs31 {font-family: arial, sans-serif; font-size: 10pt;}
|
||||
p.whs32 {font-family: arial, sans-serif; font-size: 10pt;}
|
||||
|
||||
--></style><script language="javascript" title="WebHelpInlineScript">
|
||||
<!--
|
||||
function reDo() {
|
||||
if (innerWidth != origWidth || innerHeight != origHeight)
|
||||
location.reload();
|
||||
}
|
||||
if ((parseInt(navigator.appVersion) == 4) && (navigator.appName == "Netscape")) {
|
||||
origWidth = innerWidth;
|
||||
origHeight = innerHeight;
|
||||
onresize = reDo;
|
||||
}
|
||||
//-->
|
||||
</script><style>
|
||||
<!--
|
||||
div.WebHelpPopupMenu {position:absolute; left:0px; top:0px; z-index:4; visibility:hidden;}
|
||||
p.WebHelpNavBar {text-align:right;}
|
||||
-->
|
||||
</style>
|
||||
</head>
|
||||
|
||||
<!--(Body)==========================================================-->
|
||||
|
||||
|
||||
<body>
|
||||
|
||||
|
||||
<h1>Function Block Specifics</h1>
|
||||
|
||||
<p class="whs1">To access specific
|
||||
details for a particular function block, click on that function block
|
||||
in either the <a href="maplogicdoc.htm">Mapped Logic</a>, <a href="mapinputdoc.htm">Mapped
|
||||
Inputs</a>, or <a href="fbsdoc.htm" style="font-family: arial, sans-serif; font-size: 10pt;">Function
|
||||
Blocks</a> sections of the fitter report. <!--kadov_tag{{<spaces>}}--> <!--kadov_tag{{</spaces>}}-->The
|
||||
function block details page displays a table of details about the particular
|
||||
function block you selected, a view button you can click to show a graphical
|
||||
display of the function block, and a pulldown menu you can use to select
|
||||
other function blocks to see.</p>
|
||||
|
||||
<p class="whs2">The Table</p>
|
||||
|
||||
<p class="whs3">The View</p>
|
||||
|
||||
<p><SCRIPT LANGUAGE="JavaScript"><!--
|
||||
if (navigator.appName=="Netscape")
|
||||
{ document.write("<img src='xml5.jpg' x-maintain-ratio='TRUE' width='648' height='397' border='0'>");}
|
||||
else
|
||||
{ document.write("<img src='xml5.jpg' x-maintain-ratio='TRUE' style='border: none; width: 648px; height: 397px; float: none;' width='648' height='397' border='0'>");}
|
||||
//--></SCRIPT></p>
|
||||
|
||||
<h4 class="whs4"><a name=table></a>The Table</h4>
|
||||
|
||||
<span style="font-family: arial, sans-serif; font-size: 10pt;"><FONT SIZE=2 style="font-size:10pt;">The table
|
||||
at the top of the function block details page provides the following information
|
||||
about the function block:</FONT></span>
|
||||
|
||||
<ul type="disc" class="whs5">
|
||||
|
||||
<li class=kadov-p
|
||||
class=kadov-p><span style="font-family: arial, sans-serif; font-size: 10pt;"><FONT SIZE=2 style="font-size:10pt;">The
|
||||
signal name </FONT></span></li>
|
||||
</ul>
|
||||
|
||||
<p class="whs6"><span style="font-weight: bold;
|
||||
font-family: arial, sans-serif;
|
||||
font-size: 10pt;"><FONT SIZE=2 style="font-size:10pt;"><B>Note:</B></FONT></span><span
|
||||
style="font-family: arial, sans-serif; font-size: 10pt;"><FONT SIZE=2 style="font-size:10pt;"> Clicking on
|
||||
a signal name will open a new window with the equations for that signal.
|
||||
</FONT></span></p>
|
||||
|
||||
<ul type="disc" class="whs7">
|
||||
|
||||
<li class=kadov-p
|
||||
class=kadov-p><span style="font-family: arial, sans-serif; font-size: 10pt;"><FONT SIZE=2 style="font-size:10pt;">The
|
||||
total product terms used </FONT></span></li>
|
||||
|
||||
<li class=kadov-p
|
||||
class=kadov-p><span style="font-family: arial, sans-serif; font-size: 10pt;"><FONT SIZE=2 style="font-size:10pt;"><!--kadov_tag{{<spaces>}}--> <!--kadov_tag{{</spaces>}}-->A
|
||||
list of product terms</FONT></span></li>
|
||||
</ul>
|
||||
|
||||
<p class="whs8"><span style="font-weight: bold;
|
||||
font-family: arial, sans-serif;
|
||||
font-size: 10pt;"><FONT SIZE=2 style="font-size:10pt;"><B>Note:</B></FONT></span><span
|
||||
style="font-family: arial, sans-serif; font-size: 10pt;"><FONT SIZE=2 style="font-size:10pt;"> Clicking on
|
||||
a <!--kadov_tag{{<spaces>}}--> <!--kadov_tag{{</spaces>}}-->product
|
||||
term will open a new window with the equations for that term. </FONT></span></p>
|
||||
|
||||
<ul type="disc" class="whs9">
|
||||
|
||||
<li class=kadov-p
|
||||
class=kadov-p><span style="font-family: arial, sans-serif; font-size: 10pt;"><FONT SIZE=2 style="font-size:10pt;">The
|
||||
macrocell number in which the function block is located</FONT></span></li>
|
||||
</ul>
|
||||
|
||||
<p class="whs10"><span style="font-family: arial, sans-serif; font-size: 10pt;"><FONT SIZE=2 style="font-size:10pt;"><span
|
||||
style="font-weight: bold;"><B>Note:</B></span> Clicking on the underscored macrocell
|
||||
number will provide a graphical display of the macrocell that looks like
|
||||
this:</FONT></span></p>
|
||||
|
||||
<p class="whs11"><SCRIPT LANGUAGE="JavaScript"><!--
|
||||
if (navigator.appName=="Netscape")
|
||||
{ document.write("<img src='macrocell.gif' x-maintain-ratio='TRUE' width='540' height='420' border='0'>");}
|
||||
else
|
||||
{ document.write("<img src='macrocell.gif' x-maintain-ratio='TRUE' style='border: none; width: 540px; height: 420px; float: none;' width='540' height='420' border='0'>");}
|
||||
//--></SCRIPT>.</p>
|
||||
|
||||
<ul type="disc" class="whs12">
|
||||
|
||||
<li class=kadov-p
|
||||
class=kadov-p><span style="font-family: arial, sans-serif; font-size: 10pt;"><FONT SIZE=2 style="font-size:10pt;">The
|
||||
power mode</FONT></span></li>
|
||||
|
||||
<li class=kadov-p
|
||||
class=kadov-p><span style="font-family: arial, sans-serif; font-size: 10pt;"><FONT SIZE=2 style="font-size:10pt;">The
|
||||
pin number</FONT></span></li>
|
||||
</ul>
|
||||
|
||||
<ul type="disc" class="whs13">
|
||||
|
||||
<li class=kadov-p><p class="whs14"><span style="font-family: arial, sans-serif; font-size: 10pt;"><FONT SIZE=2 style="font-size:10pt;"><span
|
||||
style="font-weight: bold;"><B>N</B></span>ote:</FONT></span><span style="font-family: arial, sans-serif; font-size: 10pt;"><FONT SIZE=2 style="font-size:10pt;">
|
||||
Clicking on the underscored pin number will provide the pin layout diagram
|
||||
for the highlighted pin. <!--kadov_tag{{<spaces>}}--> <!--kadov_tag{{</spaces>}}-->Rolling
|
||||
your mouse over the colored pin will pop up a tooltip with the signal
|
||||
name assigned to the pin, the I/O standard, <!--kadov_tag{{<spaces>}}--> <!--kadov_tag{{</spaces>}}-->the
|
||||
I/O style, the slew rate, and/or any constraints assigned to the pin:</FONT></span></p></li>
|
||||
</ul>
|
||||
|
||||
<p class="whs15"><SCRIPT LANGUAGE="JavaScript"><!--
|
||||
if (navigator.appName=="Netscape")
|
||||
{ document.write("<img src='pin.gif' x-maintain-ratio='TRUE' width='309' height='312' border='0'>");}
|
||||
else
|
||||
{ document.write("<img src='pin.gif' x-maintain-ratio='TRUE' style='border: none; width: 309px; height: 312px; float: none;' width='309' height='312' border='0'>");}
|
||||
//--></SCRIPT></p>
|
||||
|
||||
<ul type="disc" class="whs16">
|
||||
|
||||
<li class=kadov-p
|
||||
class=kadov-p><span style="font-family: arial, sans-serif; font-size: 10pt;"><FONT SIZE=2 style="font-size:10pt;">The
|
||||
pin type</FONT></span></li>
|
||||
|
||||
<li class=kadov-p
|
||||
class=kadov-p><span style="font-family: arial, sans-serif; font-size: 10pt;"><FONT SIZE=2 style="font-size:10pt;">The
|
||||
pin use </FONT></span></li>
|
||||
</ul>
|
||||
|
||||
<p class="whs17"><span style="font-weight: bold;
|
||||
font-family: arial, sans-serif;
|
||||
font-size: 10pt;"><FONT SIZE=2 style="font-size:10pt;"><B>Note:</B></FONT></span><span
|
||||
style="font-family: arial, sans-serif; font-size: 10pt;"><FONT SIZE=2 style="font-size:10pt;"> Moving your
|
||||
mouse cursor over an "I" in the Pin Use column will display
|
||||
that input signal as a tooltip.</FONT></span></p>
|
||||
|
||||
<span style="font-family: arial, sans-serif; font-size: 10pt;"><FONT SIZE=2 style="font-size:10pt;">Below the
|
||||
resource table you will find a list of signals used by logic in the function
|
||||
block you are viewing. <!--kadov_tag{{<spaces>}}--> <!--kadov_tag{{</spaces>}}-->The
|
||||
list displays output signals as links. <!--kadov_tag{{<spaces>}}--> <!--kadov_tag{{</spaces>}}-->Clicking
|
||||
on an output signal link will open a new window showing the equations
|
||||
for that signal.</FONT></span>
|
||||
|
||||
<!--begin!kadov{{--><br><!--}}end!kadov--><!--kadov_tag{{<implicit_p>}}-->
|
||||
|
||||
|
||||
<p class="whs18"><span style="font-family: arial, sans-serif;
|
||||
font-size: 10pt;
|
||||
font-weight: bold;"><FONT SIZE=2 style="font-size:10pt;"><B>Note:</B></FONT></span><span
|
||||
style="font-family: arial, sans-serif; font-size: 10pt;"> <FONT SIZE=2 style="font-size:10pt;"><!--kadov_tag{{<spaces>}}--> <!--kadov_tag{{</spaces>}}-->There
|
||||
is also a <SCRIPT LANGUAGE="JavaScript"><!--
|
||||
if (navigator.appName=="Netscape")
|
||||
{ document.write("<img src='legend.gif' x-maintain-ratio='TRUE' width='68' height='28' border='0'>");}
|
||||
else
|
||||
{ document.write("<img src='legend.gif' x-maintain-ratio='TRUE' style='border: none; width: 68px; height: 28px; float: none;' width='68' height='28' border='0'>");}
|
||||
//--></SCRIPT> button below the table. <!--kadov_tag{{<spaces>}}--> <!--kadov_tag{{</spaces>}}-->Click
|
||||
this button to open a new window describing all of the acronyms used in
|
||||
the function block table. <!--kadov_tag{{<spaces>}}--> <!--kadov_tag{{</spaces>}}-->You
|
||||
can select either brief descriptions or more detailed descriptions by
|
||||
clicking the "Verbose" button at the top of the window.</FONT></span>
|
||||
</p>
|
||||
|
||||
<h4 class="whs19"><a name=view></a>The View</h4>
|
||||
|
||||
<p class="whs20">When you click
|
||||
on the <SCRIPT LANGUAGE="JavaScript"><!--
|
||||
if (navigator.appName=="Netscape")
|
||||
{ document.write("<img src='view.gif' x-maintain-ratio='TRUE' width='61' height='53' border='0'>");}
|
||||
else
|
||||
{ document.write("<img src='view.gif' x-maintain-ratio='TRUE' style='border: none; width: 61px; height: 53px; float: none;' width='61' height='53' border='0'>");}
|
||||
//--></SCRIPT>button above the table, a new window will open with
|
||||
a graphical display of the function block you are examining. <!--kadov_tag{{<spaces>}}--> <!--kadov_tag{{</spaces>}}-->The
|
||||
pins are all color-coded: input pins are green, output pins are blue,
|
||||
and clocks are magenta:</p>
|
||||
|
||||
<p class="whs21"><SCRIPT LANGUAGE="JavaScript"><!--
|
||||
if (navigator.appName=="Netscape")
|
||||
{ document.write("<img src='fb1.gif' x-maintain-ratio='TRUE' width='482' height='378' border='0'>");}
|
||||
else
|
||||
{ document.write("<img src='fb1.gif' x-maintain-ratio='TRUE' style='border: none; width: 482px; height: 378px; float: none;' width='482' height='378' border='0'>");}
|
||||
//--></SCRIPT></p>
|
||||
|
||||
<p class="whs22">Right-click
|
||||
anywhere within the window to pull up a menu that allows you to zoom in
|
||||
or out for easier viewing. <!--kadov_tag{{<spaces>}}--> <!--kadov_tag{{</spaces>}}--></p>
|
||||
|
||||
<p class="whs23">This menu also
|
||||
allows you choose to see all of the input connections, all of the output
|
||||
connections, or both at once. <!--kadov_tag{{<spaces>}}--> <!--kadov_tag{{</spaces>}}-->Like
|
||||
the pins, the signals are color-coded: inputs are red, outputs are yellow,
|
||||
and macrocell connections are aqua:</p>
|
||||
|
||||
<p class="whs24"><SCRIPT LANGUAGE="JavaScript"><!--
|
||||
if (navigator.appName=="Netscape")
|
||||
{ document.write("<img src='fb.gif' x-maintain-ratio='TRUE' width='497' height='377' border='0'>");}
|
||||
else
|
||||
{ document.write("<img src='fb.gif' x-maintain-ratio='TRUE' style='border: none; width: 497px; height: 377px; float: none;' width='497' height='377' border='0'>");}
|
||||
//--></SCRIPT></p>
|
||||
|
||||
<p class="whs25">To examine
|
||||
the signals of single pins, simply click the pin whose signals you wish
|
||||
to see. <!--kadov_tag{{<spaces>}}--> <!--kadov_tag{{</spaces>}}-->To
|
||||
examine multiple pins without having to see everything at once, hold down
|
||||
the control key while you click the pins you want to view. </p>
|
||||
|
||||
<p class="whs26">To view the
|
||||
signals for individual macrocells:</p>
|
||||
|
||||
<ul type="disc" class="whs27">
|
||||
|
||||
<li class=kadov-p><p class="whs28">Click
|
||||
the inside edge of the macrocell to display its macrocell connections
|
||||
and inputs. </p></li>
|
||||
|
||||
<li class=kadov-p><p class="whs29">Click
|
||||
the outer edge to display its output signals</p></li>
|
||||
|
||||
<li class=kadov-p><p class="whs30">Click
|
||||
in the center to display everything</p></li>
|
||||
|
||||
<li class=kadov-p><p class="whs31">Double
|
||||
click in the center to open a new window with a detailed macrocell diagram</p></li>
|
||||
</ul>
|
||||
|
||||
<p class="whs32"> </p>
|
||||
|
||||
</body>
|
||||
|
||||
</html>
|
103
address_decoder_html/fit/fbsdoc.htm
Normal file
@ -0,0 +1,103 @@
|
||||
<!doctype HTML public "-//W3C//DTD HTML 4.0 Frameset//EN">
|
||||
|
||||
<html>
|
||||
|
||||
<!--(==============================================================)-->
|
||||
<!--(Document created with RoboEditor. )============================-->
|
||||
<!--(==============================================================)-->
|
||||
|
||||
<head>
|
||||
|
||||
<title>Function Blocks</title>
|
||||
|
||||
<!--(Meta)==========================================================-->
|
||||
|
||||
<meta name=generator content="RoboHELP by eHelp Corporation - www.ehelp.com">
|
||||
<meta name=generator-major-version content=0.1>
|
||||
<meta name=generator-minor-version content=1>
|
||||
<meta name=filetype content=kadov>
|
||||
<meta name=filetype-version content=1>
|
||||
<meta name=page-count content=1>
|
||||
<meta name=layout-height content=405>
|
||||
<meta name=layout-width content=615>
|
||||
<meta name=date content="05 24, 2002 5:49:51 PM">
|
||||
|
||||
|
||||
|
||||
<style>
|
||||
<!--
|
||||
ul.whs1 {list-style: disc;}
|
||||
|
||||
--></style><script language="javascript" title="WebHelpInlineScript">
|
||||
<!--
|
||||
function reDo() {
|
||||
if (innerWidth != origWidth || innerHeight != origHeight)
|
||||
location.reload();
|
||||
}
|
||||
if ((parseInt(navigator.appVersion) == 4) && (navigator.appName == "Netscape")) {
|
||||
origWidth = innerWidth;
|
||||
origHeight = innerHeight;
|
||||
onresize = reDo;
|
||||
}
|
||||
//-->
|
||||
</script><style>
|
||||
<!--
|
||||
div.WebHelpPopupMenu {position:absolute; left:0px; top:0px; z-index:4; visibility:hidden;}
|
||||
p.WebHelpNavBar {text-align:right;}
|
||||
-->
|
||||
</style>
|
||||
</head>
|
||||
|
||||
<!--(Body)==========================================================-->
|
||||
|
||||
|
||||
<body>
|
||||
|
||||
|
||||
<h1>Function Blocks</h1>
|
||||
|
||||
<span style="font-family: arial, sans-serif; font-size: 10pt;"><FONT SIZE=2 style="font-size:10pt;">The Function
|
||||
Blocks page provides a summary of all function blocks' resources. Clicking
|
||||
on one of the function blocks in the summary table will display the <a href="fbs_FBdoc.htm">specific details</a> for that function block. <!--kadov_tag{{<spaces>}}--> </FONT></span><!--kadov_tag{{</spaces>}}-->
|
||||
|
||||
<!--begin!kadov{{--><br><!--}}end!kadov--><!--kadov_tag{{<implicit_p>}}-->
|
||||
|
||||
|
||||
<!--begin!kadov{{--><br><!--}}end!kadov--><!--kadov_tag{{<implicit_p>}}--><span
|
||||
style="font-family: arial, sans-serif; font-size: 10pt;"><FONT SIZE=2 style="font-size:10pt;">The summary table
|
||||
contains the following: <!--kadov_tag{{<spaces>}}--> </FONT></span><!--kadov_tag{{</spaces>}}-->
|
||||
|
||||
<ul type="disc" class="whs1">
|
||||
|
||||
<li class=kadov-p
|
||||
class=kadov-p><span style="font-family: arial, sans-serif; font-size: 10pt;"><FONT SIZE=2 style="font-size:10pt;">The
|
||||
function block</FONT></span></li>
|
||||
|
||||
<li class=kadov-p
|
||||
class=kadov-p><span style="font-family: arial, sans-serif; font-size: 10pt;"><FONT SIZE=2 style="font-size:10pt;">The
|
||||
number of macrocell used </FONT></span></li>
|
||||
|
||||
<li class=kadov-p
|
||||
class=kadov-p><span style="font-family: arial, sans-serif; font-size: 10pt;"><FONT SIZE=2 style="font-size:10pt;">The
|
||||
number of function block inputs used </FONT></span></li>
|
||||
|
||||
<li class=kadov-p
|
||||
class=kadov-p><span style="font-family: arial, sans-serif; font-size: 10pt;"><FONT SIZE=2 style="font-size:10pt;">The
|
||||
number of product terms used</FONT></span></li>
|
||||
|
||||
<li class=kadov-p
|
||||
class=kadov-p><span style="font-family: arial, sans-serif; font-size: 10pt;"><FONT SIZE=2 style="font-size:10pt;">The
|
||||
pins used</FONT></span></li>
|
||||
|
||||
<li class=kadov-p
|
||||
class=kadov-p><span style="font-family: arial, sans-serif; font-size: 10pt;"><FONT SIZE=2 style="font-size:10pt;">The
|
||||
local control terms used</FONT></span></li>
|
||||
|
||||
<li class=kadov-p
|
||||
class=kadov-p><span style="font-family: arial, sans-serif; font-size: 10pt;"><FONT SIZE=2 style="font-size:10pt;">The
|
||||
number of foldback NANDs used (CoolRunner only)</FONT></span></li>
|
||||
</ul>
|
||||
|
||||
</body>
|
||||
|
||||
</html>
|
BIN
address_decoder_html/fit/fbview.jpg
Normal file
After Width: | Height: | Size: 2.6 KiB |
BIN
address_decoder_html/fit/functionblock.gif
Normal file
After Width: | Height: | Size: 22 KiB |
17
address_decoder_html/fit/genmsg.htm
Normal file
@ -0,0 +1,17 @@
|
||||
<!doctype html public "-//w3c//dtd html 4.0 transitional//en">
|
||||
<html>
|
||||
<head>
|
||||
<meta http-equiv="Content-Type" content="text/html; charset=iso-8859-1">
|
||||
<title>genmsg</title>
|
||||
</head>
|
||||
<body>
|
||||
|
||||
<br>
|
||||
<dl>
|
||||
<dd>
|
||||
This file is currently being generated. Please recheck the link after some
|
||||
time for this report data.</dd>
|
||||
</dl>
|
||||
|
||||
</body>
|
||||
</html>
|
BIN
address_decoder_html/fit/header.gif
Normal file
After Width: | Height: | Size: 7.7 KiB |
BIN
address_decoder_html/fit/home.gif
Normal file
After Width: | Height: | Size: 940 B |
15
address_decoder_html/fit/index.htm
Normal file
@ -0,0 +1,15 @@
|
||||
<html>
|
||||
<head>
|
||||
<title></title>
|
||||
</head>
|
||||
<frameset frameborder="NO" framespacing="0" border="0" rows="94,*,0,0" cols="*">
|
||||
<frame name="topnav" src="../tim/topnav.htm" scrolling="no" noresize marginwidth="0" marginheight="0">
|
||||
<frameset frameborder="NO" framespacing="0" border="0" cols="125,*">
|
||||
<frame name="leftnav" src="leftnav.htm" noresize marginwidth="0" marginheight="0">
|
||||
<frame name="content" src="summary.htm">
|
||||
</frameset>
|
||||
<frame name="applets" src="applet.htm" scrolling="no">
|
||||
<frame name="eqns" src="eqns.htm" scrolling="no">
|
||||
</frameset>
|
||||
</html>
|
||||
|
176
address_decoder_html/fit/leftnav.js
Normal file
@ -0,0 +1,176 @@
|
||||
var noAppletOnClicked = 1;
|
||||
var appletMsg = "";
|
||||
var waitWin;
|
||||
var oldIn = oldOut = oldGbl = oldIsp = oldVcc = oldGnd = oldProhibit = oldUnuse = oldNc = 1;
|
||||
var oldInfo = oldWarn = oldError = 1;
|
||||
var verbose = 0;
|
||||
var dispPage, mapLogPage, mapInPage, unLogPage, unInPage;
|
||||
var javaPermission = 0;
|
||||
var abelEqn = vhdlEqn = verEqn = "";
|
||||
|
||||
function IsNS() {
|
||||
return ((navigator.appName.indexOf("Netscape") >= 0) &&
|
||||
(parseFloat(navigator.appVersion) >= 4)) ? true : false;
|
||||
}
|
||||
|
||||
function openWait() {
|
||||
waitWin = window.open("wait.htm", "wait",
|
||||
"toolbar=no,location=no,"+
|
||||
"directories=no,status=no,menubar=no,scrollbars=no,"+
|
||||
"resizable=no,width=300,height=50" );
|
||||
}
|
||||
|
||||
function closeWait() { if (waitWin) waitWin.close(); }
|
||||
|
||||
function popHTML(name, str) {
|
||||
document.options.htmlStr.value = str;
|
||||
if (name.indexOf(":") > -1)
|
||||
name = name.substring(0,name.indexOf(":")) + "_COLON_" +
|
||||
name.substring(name.indexOf(":")+1,name.length);
|
||||
if (name.indexOf(".") > -1)
|
||||
name = name.substring(0,name.indexOf(".")) + "_DOT_" +
|
||||
name.substring(name.indexOf(".")+1,name.length);
|
||||
var win = window.open("result.htm", "win_"+name,
|
||||
"toolbar=no,location=no,"+
|
||||
"directories=no,status=no,menubar=no,scrollbars=yes,"+
|
||||
"resizable=yes,width=300,height=200" );
|
||||
win.focus();
|
||||
}
|
||||
|
||||
function setAppletPermission() { appletPermission = 1; }
|
||||
function getAppletPermission() { return( appletPermission); }
|
||||
function getAppletMsg() { return(appletMsg); }
|
||||
function setAppletMsg(msg) { appletMsg = msg; }
|
||||
|
||||
|
||||
function showHTML(page, html) {
|
||||
|
||||
dispPage = html;
|
||||
document.options.currPage.value = page;
|
||||
parent.content.location.href = html;
|
||||
}
|
||||
|
||||
function showTop() { showHTML(document.options.currPage.value, dispPage); }
|
||||
|
||||
function setVerbose(value) { verbose = value; }
|
||||
|
||||
function showLegend(url, w, h) {
|
||||
if (verbose == 1) {
|
||||
url = url.substring(0,name.indexOf(".htm")) + "V.htm";
|
||||
}
|
||||
var win = window.open(url, 'win',
|
||||
'toolbar=no,location=no,directories=no,status=no,menubar=no,scrollbars=yes,resizable=yes,width='+w+',height='+h);
|
||||
win.focus();
|
||||
}
|
||||
|
||||
function showSummary() { showHTML("summary", "summary.htm"); }
|
||||
function showOptions() { showHTML("options", "options.htm"); }
|
||||
function showFBSum() { showHTML("fbs", "fbs.htm"); }
|
||||
function showFB(fb) { showHTML("fbs_FB", "fbs_"+fb+".htm"); }
|
||||
function showPinOut() { showHTML("pins", "pins.htm"); }
|
||||
function showError() { showHTML("errors", "errs.htm"); }
|
||||
|
||||
function showEqnAll() {
|
||||
openWait();
|
||||
parent.eqns.setOper(currEqnType);
|
||||
if (currEqnType == defEqnType) showHTML("equations", "defeqns.htm");
|
||||
else if (currEqnType == 0) {
|
||||
if (abelEqn == "") abelEqn = parent.eqns.getEqnList();
|
||||
document.options.htmlStr.value = abelEqn;
|
||||
showHTML("equations", "equations.htm");
|
||||
}
|
||||
else if (currEqnType == 1) {
|
||||
if (vhdlEqn == "") vhdlEqn = parent.eqns.getEqnList();
|
||||
document.options.htmlStr.value = vhdlEqn;
|
||||
showHTML("equations", "equations.htm");
|
||||
}
|
||||
else {
|
||||
if (verEqn == "") verEqn = parent.eqns.getEqnList();
|
||||
document.options.htmlStr.value = verEqn;
|
||||
showHTML("equations", "equations.htm");
|
||||
}
|
||||
closeWait();
|
||||
}
|
||||
|
||||
function showEqn(sig) {
|
||||
popHTML(sig, parent.eqns.getEqn(sig));
|
||||
}
|
||||
|
||||
function showPterm(pterm, type) {
|
||||
popHTML(pterm, parent.eqns.getPterm(pterm, type));
|
||||
}
|
||||
|
||||
function showAscii() { showHTML("ascii", "ascii.htm"); }
|
||||
function showTiming() { showHTML("time", "time.htm"); }
|
||||
|
||||
function showHelp() {
|
||||
var helpDoc = document.options.currPage.value + "doc.htm";
|
||||
popWin(helpDoc);
|
||||
}
|
||||
|
||||
function getMapParam(type) {
|
||||
var paramStr = "";
|
||||
switch(type) {
|
||||
case 1: paramStr += "10"; break;
|
||||
case 2: paramStr += "01"; break;
|
||||
case 3: paramStr += "11"; break;
|
||||
case 4: paramStr += "02"; break;
|
||||
case 5: paramStr += "12"; break;
|
||||
default: paramStr += "00";
|
||||
}
|
||||
|
||||
return paramStr;
|
||||
}
|
||||
|
||||
function showMappedLogics(type) {
|
||||
showHTML("maplogic", "maplogic_" + getMapParam(type) + ".htm");
|
||||
}
|
||||
|
||||
function showMappedInputs(type) {
|
||||
showHTML("mapinput", "mapinput_" + getMapParam(type) + ".htm");
|
||||
}
|
||||
|
||||
function showUnMappedLogics(type) {
|
||||
showHTML("unmaplogic", "unmaplogic_" + getMapParam(type) + ".htm");
|
||||
}
|
||||
|
||||
function showUnMappedInputs(type) {
|
||||
showHTML("unmapinput", "unmapinput_" + getMapParam(type) + ".htm");
|
||||
}
|
||||
|
||||
function doEqnFormat() {
|
||||
var type = document.options.eqnType.options[document.options.eqnType.options.selectedIndex].value;
|
||||
currEqnType = type;
|
||||
parent.eqns.setOper(currEqnType);
|
||||
if (document.options.currPage.value == "equations") showEqnAll();
|
||||
}
|
||||
|
||||
function showNoAppletAlert() {
|
||||
window.alert("No Applet supported for this session!!!");
|
||||
}
|
||||
|
||||
function showAppletMC(mc) {
|
||||
if (parent.applets) parent.applets.showAppletGraphicMC(mc);
|
||||
else showNoAppletAlert();
|
||||
}
|
||||
|
||||
function showAppletFB(fb) {
|
||||
if (parent.applets) parent.applets.showAppletGraphicFB(fb);
|
||||
else showNoAppletAlert();
|
||||
}
|
||||
|
||||
function showAppletPin(pin) {
|
||||
if (parent.applets) parent.applets.showAppletGraphicPin(pin);
|
||||
else showNoAppletAlert();
|
||||
}
|
||||
|
||||
function printAppletPkg() {
|
||||
if (parent.applets) parent.applets.printAppletPkg();
|
||||
else showNoAppletAlert();
|
||||
}
|
||||
|
||||
function popWin(url) {
|
||||
var win = window.open(url, 'win',
|
||||
'location=yes,directories=yes,menubar=yes,toolbar=yes,status=yes,scrollbars=yes,resizable=yes,width=800,height=600');
|
||||
win.focus();
|
||||
}
|
BIN
address_decoder_html/fit/legend.gif
Normal file
After Width: | Height: | Size: 1.2 KiB |
BIN
address_decoder_html/fit/legend.jpg
Normal file
After Width: | Height: | Size: 1.2 KiB |
2
address_decoder_html/fit/logic_legXC95.htm
Normal file
@ -0,0 +1,2 @@
|
||||
<html><body><pre>
|
||||
</pre></body></html>
|
15
address_decoder_html/fit/logic_legXbr.htm
Normal file
@ -0,0 +1,15 @@
|
||||
<html><body><pre>
|
||||
I/O Style - OD - OpenDrain
|
||||
- PU - Pullup
|
||||
- KPR - Keeper
|
||||
- S - SchmittTrigger
|
||||
- DG - DataGate
|
||||
Reg Use - LATCH - Transparent latch
|
||||
- DFF - D-flip-flop
|
||||
- DEFF - D-flip-flop with clock enable
|
||||
- TFF - T-flip-flop
|
||||
- TDFF - Dual-edge-triggered T-flip-flop
|
||||
- DDFF - Dual-edge-triggered flip-flop
|
||||
- DDEFF - Dual-edge-triggered flip-flop with clock enable
|
||||
/S (after any above flop/latch type) indicates initial state is Set
|
||||
</pre></body></html>
|
3
address_decoder_html/fit/logic_legXpla3.htm
Normal file
@ -0,0 +1,3 @@
|
||||
<html><body><pre>
|
||||
Legend: PU - Pull Up
|
||||
</pre></body></html>
|
BIN
address_decoder_html/fit/macrocell.gif
Normal file
After Width: | Height: | Size: 7.5 KiB |
158
address_decoder_html/fit/mapinputdoc.htm
Normal file
@ -0,0 +1,158 @@
|
||||
<!doctype HTML public "-//W3C//DTD HTML 4.0 Frameset//EN">
|
||||
|
||||
<html>
|
||||
|
||||
<!--(==============================================================)-->
|
||||
<!--(Document created with RoboEditor. )============================-->
|
||||
<!--(==============================================================)-->
|
||||
|
||||
<head>
|
||||
|
||||
<title>Mapped Inputs</title>
|
||||
|
||||
<!--(Meta)==========================================================-->
|
||||
|
||||
<meta name=generator content="RoboHELP by eHelp Corporation - www.ehelp.com">
|
||||
<meta name=generator-major-version content=0.1>
|
||||
<meta name=generator-minor-version content=1>
|
||||
<meta name=filetype content=kadov>
|
||||
<meta name=filetype-version content=1>
|
||||
<meta name=page-count content=1>
|
||||
<meta name=layout-height content=1376>
|
||||
<meta name=layout-width content=615>
|
||||
<meta name=date content="05 24, 2002 5:49:24 PM">
|
||||
|
||||
|
||||
|
||||
<style>
|
||||
<!--
|
||||
ul.whs1 {list-style: disc;}
|
||||
p.whs2 {margin-left: 80px; font-family: arial, sans-serif; font-size: 10pt;}
|
||||
ul.whs3 {list-style: disc;}
|
||||
ul.whs4 {list-style: disc;}
|
||||
p.whs5 {margin-left: 80px; font-family: arial, sans-serif; font-size: 10pt;}
|
||||
p.whs6 {margin-left: 80px;}
|
||||
p.whs7 {margin-left: 80px; font-weight: bold; font-family: arial, sans-serif; font-size: 10pt;}
|
||||
p.whs8 {margin-left: 80px; font-weight: bold; font-family: arial, sans-serif; font-size: 10pt;}
|
||||
ul.whs9 {list-style: disc;}
|
||||
|
||||
--></style><script language="javascript" title="WebHelpInlineScript">
|
||||
<!--
|
||||
function reDo() {
|
||||
if (innerWidth != origWidth || innerHeight != origHeight)
|
||||
location.reload();
|
||||
}
|
||||
if ((parseInt(navigator.appVersion) == 4) && (navigator.appName == "Netscape")) {
|
||||
origWidth = innerWidth;
|
||||
origHeight = innerHeight;
|
||||
onresize = reDo;
|
||||
}
|
||||
//-->
|
||||
</script><style>
|
||||
<!--
|
||||
div.WebHelpPopupMenu {position:absolute; left:0px; top:0px; z-index:4; visibility:hidden;}
|
||||
p.WebHelpNavBar {text-align:right;}
|
||||
-->
|
||||
</style>
|
||||
</head>
|
||||
|
||||
<!--(Body)==========================================================-->
|
||||
|
||||
|
||||
<body>
|
||||
|
||||
|
||||
<h1>Mapped Inputs</h1>
|
||||
|
||||
<span style="font-family: arial, sans-serif; font-size: 10pt;"><FONT SIZE=2 style="font-size:10pt;">Like the
|
||||
<a href="maplogicdoc.htm">Mapped Logic</a> section, the Mapped Inputs
|
||||
section of the report displays a table detailing the resources allocated
|
||||
by the fitter to mapped inputs. <!--kadov_tag{{<spaces>}}--> <!--kadov_tag{{</spaces>}}-->Again,
|
||||
the table can be sorted by Signal Name, Function Block, or Pin Number
|
||||
by clicking on the appropriate table headings. <!--kadov_tag{{<spaces>}}--> </FONT></span><!--kadov_tag{{</spaces>}}-->
|
||||
|
||||
<!--begin!kadov{{--><br><!--}}end!kadov--><!--kadov_tag{{<implicit_p>}}-->
|
||||
|
||||
|
||||
<!--begin!kadov{{--><br><!--}}end!kadov--><!--kadov_tag{{<implicit_p>}}--><span
|
||||
style="font-family: arial, sans-serif; font-size: 10pt;"><FONT SIZE=2 style="font-size:10pt;">The inputs table
|
||||
contains the following: </FONT></span>
|
||||
|
||||
<ul type="disc" class="whs1">
|
||||
|
||||
<li class=kadov-p
|
||||
class=kadov-p><span style="font-family: arial, sans-serif; font-size: 10pt;"><FONT SIZE=2 style="font-size:10pt;">The
|
||||
input signal name </FONT></span></li>
|
||||
|
||||
<li class=kadov-p
|
||||
class=kadov-p><span style="font-family: arial, sans-serif; font-size: 10pt;"><FONT SIZE=2 style="font-size:10pt;">The
|
||||
function block number</FONT></span></li>
|
||||
</ul>
|
||||
|
||||
<p class="whs2"><span style="font-weight: bold;"><B>Note:</B></span>
|
||||
Clicking on the function block will provide a detailed table of all the
|
||||
block's resources and a graphical display of the function block diagram
|
||||
(see <a href="fbs_FBdoc.htm">Function Block Specifics</a> for more details).</p>
|
||||
|
||||
<ul type="disc" class="whs3">
|
||||
|
||||
<li class=kadov-p
|
||||
class=kadov-p><span style="font-family: arial, sans-serif; font-size: 10pt;"><FONT SIZE=2 style="font-size:10pt;">The
|
||||
macrocell number</FONT></span></li>
|
||||
</ul>
|
||||
|
||||
<ul type="disc" class="whs4">
|
||||
|
||||
<li class=kadov-p><p class="whs5"><span style="font-family: arial, sans-serif; font-size: 10pt;"><FONT SIZE=2 style="font-size:10pt;"><span
|
||||
style="font-family: arial, sans-serif; font-size: 10pt;"><FONT SIZE=2 style="font-size:10pt;"><span style="font-weight: bold;"><B>N</B></span>ote:</FONT></span>
|
||||
Clicking on the underscored macrocell number will provide a graphical
|
||||
display of the macrocell that looks like this:</FONT></span></p></li>
|
||||
|
||||
<li class=kadov-p
|
||||
class=kadov-p><!--kadov_tag{{<implicit_p>}}--><span style="font-family: arial, sans-serif; font-size: 10pt;"><FONT SIZE=2 style="font-size:10pt;"><SCRIPT LANGUAGE="JavaScript"><!--
|
||||
if (navigator.appName=="Netscape")
|
||||
{ document.write("<img src='macrocell.gif' x-maintain-ratio='TRUE' width='540' height='420' border='0'>");}
|
||||
else
|
||||
{ document.write("<img src='macrocell.gif' x-maintain-ratio='TRUE' style='border: none; width: 540px; height: 420px; float: none;' width='540' height='420' border='0'>");}
|
||||
//--></SCRIPT>.The pin number</FONT></span></li>
|
||||
</ul>
|
||||
|
||||
<p class="whs6"><span style="font-weight: bold;"><B>Note:</B></span><span
|
||||
style="font-family: arial, sans-serif; font-size: 10pt;"><FONT SIZE=2 style="font-size:10pt;"> Clicking on
|
||||
the underscored pin number will provide the pin layout diagram for the
|
||||
highlighted pin. <!--kadov_tag{{<spaces>}}--> <!--kadov_tag{{</spaces>}}-->Rolling
|
||||
your mouse over the colored pin will pop up a tooltip with the signal
|
||||
name assigned to the pin, the I/O standard, <!--kadov_tag{{<spaces>}}--> <!--kadov_tag{{</spaces>}}-->the
|
||||
I/O style, the slew rate, and/or any constraints assigned to the pin:</FONT></span></p>
|
||||
|
||||
<p class="whs7"><SCRIPT LANGUAGE="JavaScript"><!--
|
||||
if (navigator.appName=="Netscape")
|
||||
{ document.write("<img src='pin.gif' x-maintain-ratio='TRUE' width='309' height='312' border='0'>");}
|
||||
else
|
||||
{ document.write("<img src='pin.gif' x-maintain-ratio='TRUE' style='border: none; width: 309px; height: 312px; float: none;' width='309' height='312' border='0'>");}
|
||||
//--></SCRIPT></p>
|
||||
|
||||
<p class="whs8"> </p>
|
||||
|
||||
<ul type="disc" class="whs9">
|
||||
|
||||
<li class=kadov-p
|
||||
class=kadov-p><span style="font-family: arial, sans-serif; font-size: 10pt;"><FONT SIZE=2 style="font-size:10pt;">The
|
||||
pin type</FONT></span></li>
|
||||
|
||||
<li class=kadov-p
|
||||
class=kadov-p><span style="font-family: arial, sans-serif; font-size: 10pt;"><FONT SIZE=2 style="font-size:10pt;">The
|
||||
pin use </FONT></span></li>
|
||||
|
||||
<li class=kadov-p
|
||||
class=kadov-p><span style="font-family: arial, sans-serif; font-size: 10pt;"><FONT SIZE=2 style="font-size:10pt;">The
|
||||
I/O standard</FONT></span></li>
|
||||
|
||||
<li class=kadov-p
|
||||
class=kadov-p><span style="font-family: arial, sans-serif; font-size: 10pt;"><FONT SIZE=2 style="font-size:10pt;">The
|
||||
I/O style</FONT></span></li>
|
||||
</ul>
|
||||
|
||||
</body>
|
||||
|
||||
</html>
|
23
address_decoder_html/fit/maplogic.js
Normal file
@ -0,0 +1,23 @@
|
||||
function showFB(fb) { parent.leftnav.showFB(fb); }
|
||||
function showMC(mc) { parent.leftnav.showAppletMC(mc); }
|
||||
function showEqn(sig) { parent.leftnav.showEqn(sig); }
|
||||
function showPin(pin) { parent.leftnav.showAppletPin(pin); }
|
||||
function showLegend(url) { parent.leftnav.showLegend(url, 650, 350); }
|
||||
function showTop() { parent.leftnav.showTop(); }
|
||||
|
||||
function Sort(x) {
|
||||
switch (x) {
|
||||
case 0: parent.leftnav.showMappedLogics(0); break;
|
||||
case 1: parent.leftnav.showMappedLogics(2); break;
|
||||
case 2: parent.leftnav.showMappedLogics(4); break;
|
||||
case 10: parent.leftnav.showMappedInputs(0); break;
|
||||
case 11: parent.leftnav.showMappedInputs(2); break;
|
||||
case 12: parent.leftnav.showMappedInputs(4); break;
|
||||
case 20: parent.leftnav.showUnMappedLogics(0); break;
|
||||
case 21: parent.leftnav.showUnMappedLogics(2); break;
|
||||
case 22: parent.leftnav.showUnMappedLogics(4); break;
|
||||
case 30: parent.leftnav.showUnMappedInputs(0); break;
|
||||
case 31: parent.leftnav.showUnMappedInputs(2); break;
|
||||
case 32: parent.leftnav.showUnMappedInputs(4); break;
|
||||
}
|
||||
}
|
185
address_decoder_html/fit/maplogicdoc.htm
Normal file
@ -0,0 +1,185 @@
|
||||
<!doctype HTML public "-//W3C//DTD HTML 4.0 Frameset//EN">
|
||||
|
||||
<html>
|
||||
|
||||
<!--(==============================================================)-->
|
||||
<!--(Document created with RoboEditor. )============================-->
|
||||
<!--(==============================================================)-->
|
||||
|
||||
<head>
|
||||
|
||||
<title>Mapped Logic</title>
|
||||
|
||||
<!--(Meta)==========================================================-->
|
||||
|
||||
<meta name=generator content="RoboHELP by eHelp Corporation - www.ehelp.com">
|
||||
<meta name=generator-major-version content=0.1>
|
||||
<meta name=generator-minor-version content=1>
|
||||
<meta name=filetype content=kadov>
|
||||
<meta name=filetype-version content=1>
|
||||
<meta name=page-count content=1>
|
||||
<meta name=layout-height content=1506>
|
||||
<meta name=layout-width content=639>
|
||||
<meta name=date content="05 24, 2002 5:49:09 PM">
|
||||
|
||||
|
||||
|
||||
<style>
|
||||
<!--
|
||||
ul.whs1 {list-style: disc;}
|
||||
p.whs2 {margin-left: 80px;}
|
||||
ul.whs3 {list-style: disc;}
|
||||
p.whs4 {margin-left: 80px; font-family: arial, sans-serif; font-size: 10pt;}
|
||||
ul.whs5 {list-style: disc;}
|
||||
p.whs6 {margin-left: 80px; font-family: arial, sans-serif; font-size: 10pt;}
|
||||
p.whs7 {margin-left: 80px; font-family: arial, sans-serif; font-size: 10pt;}
|
||||
ul.whs8 {list-style: disc;}
|
||||
p.whs9 {margin-left: 80px; font-family: arial, sans-serif; font-size: 10pt;}
|
||||
p.whs10 {margin-left: 80px; font-family: arial, sans-serif; font-size: 10pt;}
|
||||
ul.whs11 {list-style: disc;}
|
||||
|
||||
--></style><script language="javascript" title="WebHelpInlineScript">
|
||||
<!--
|
||||
function reDo() {
|
||||
if (innerWidth != origWidth || innerHeight != origHeight)
|
||||
location.reload();
|
||||
}
|
||||
if ((parseInt(navigator.appVersion) == 4) && (navigator.appName == "Netscape")) {
|
||||
origWidth = innerWidth;
|
||||
origHeight = innerHeight;
|
||||
onresize = reDo;
|
||||
}
|
||||
//-->
|
||||
</script><style>
|
||||
<!--
|
||||
div.WebHelpPopupMenu {position:absolute; left:0px; top:0px; z-index:4; visibility:hidden;}
|
||||
p.WebHelpNavBar {text-align:right;}
|
||||
-->
|
||||
</style>
|
||||
</head>
|
||||
|
||||
<!--(Body)==========================================================-->
|
||||
|
||||
|
||||
<body>
|
||||
|
||||
|
||||
<h1>Mapped Logic</h1>
|
||||
|
||||
<span style="font-family: arial, sans-serif; font-size: 10pt;"><FONT SIZE=2 style="font-size:10pt;">The Mapped
|
||||
Logic section provides a table listing resources allocated by the fitter
|
||||
to mapped logic. The page will appear in your browser sorted by Signal
|
||||
Name, but you can choose to sort it by Signal Name, Function Block, and
|
||||
Pin Number by clicking on the appropriate table headers. </FONT></span>
|
||||
|
||||
<!--begin!kadov{{--><br><!--}}end!kadov--><!--kadov_tag{{<implicit_p>}}-->
|
||||
|
||||
|
||||
<!--begin!kadov{{--><br><!--}}end!kadov--><!--kadov_tag{{<implicit_p>}}--><span
|
||||
style="font-family: arial, sans-serif; font-size: 10pt;"><FONT SIZE=2 style="font-size:10pt;">The Mapped Logic
|
||||
table contains the following: </FONT></span>
|
||||
|
||||
<ul type="disc" class="whs1">
|
||||
|
||||
<li class=kadov-p
|
||||
class=kadov-p><span style="font-family: arial, sans-serif; font-size: 10pt;"><FONT SIZE=2 style="font-size:10pt;">The
|
||||
output signal name </FONT></span></li>
|
||||
</ul>
|
||||
|
||||
<p class="whs2"><span style="font-weight: bold;
|
||||
font-family: arial, sans-serif;
|
||||
font-size: 10pt;"><FONT SIZE=2 style="font-size:10pt;"><B>Note:</B></FONT></span><span
|
||||
style="font-family: arial, sans-serif; font-size: 10pt;"><FONT SIZE=2 style="font-size:10pt;"> Clicking on
|
||||
the signal name will open a new window with the equations for that signal.
|
||||
</FONT></span></p>
|
||||
|
||||
<ul type="disc" class="whs3">
|
||||
|
||||
<li class=kadov-p
|
||||
class=kadov-p><span style="font-family: arial, sans-serif; font-size: 10pt;"><FONT SIZE=2 style="font-size:10pt;">The
|
||||
total number of product terms </FONT></span></li>
|
||||
|
||||
<li class=kadov-p
|
||||
class=kadov-p><span style="font-family: arial, sans-serif; font-size: 10pt;"><FONT SIZE=2 style="font-size:10pt;">The
|
||||
number of signals used </FONT></span></li>
|
||||
|
||||
<li class=kadov-p
|
||||
class=kadov-p><span style="font-family: arial, sans-serif; font-size: 10pt;"><FONT SIZE=2 style="font-size:10pt;">The
|
||||
function block number </FONT></span></li>
|
||||
</ul>
|
||||
|
||||
<p class="whs4"><span style="font-weight: bold;"><B>Note:</B></span>
|
||||
Clicking on the function block will provide a detailed table of all the
|
||||
block's resources and a graphical display of the function block diagram
|
||||
(see <a href="fbs_FBdoc.htm">Function Block Specifics</a> for more details).
|
||||
</p>
|
||||
|
||||
<ul type="disc" class="whs5">
|
||||
|
||||
<li class=kadov-p
|
||||
class=kadov-p><span style="font-family: arial, sans-serif; font-size: 10pt;"><FONT SIZE=2 style="font-size:10pt;">The
|
||||
macrocell number</FONT></span></li>
|
||||
</ul>
|
||||
|
||||
<p class="whs6"><span style="font-weight: bold;"><B>Note:</B></span>
|
||||
Clicking on the underscored macrocell number will provide a graphical
|
||||
display of the macrocell that looks like this:</p>
|
||||
|
||||
<p class="whs7"><SCRIPT LANGUAGE="JavaScript"><!--
|
||||
if (navigator.appName=="Netscape")
|
||||
{ document.write("<img src='macrocell.gif' x-maintain-ratio='TRUE' width='540' height='420' border='0'>");}
|
||||
else
|
||||
{ document.write("<img src='macrocell.gif' x-maintain-ratio='TRUE' style='border: none; width: 540px; height: 420px; float: none;' width='540' height='420' border='0'>");}
|
||||
//--></SCRIPT>.</p>
|
||||
|
||||
<ul type="disc" class="whs8">
|
||||
|
||||
<li class=kadov-p
|
||||
class=kadov-p><span style="font-family: arial, sans-serif; font-size: 10pt;"><FONT SIZE=2 style="font-size:10pt;">The
|
||||
slew rate</FONT></span></li>
|
||||
|
||||
<li class=kadov-p
|
||||
class=kadov-p><span style="font-family: arial, sans-serif; font-size: 10pt;"><FONT SIZE=2 style="font-size:10pt;">The
|
||||
pin number</FONT></span></li>
|
||||
</ul>
|
||||
|
||||
<p class="whs9"><span style="font-weight: bold;"><B>Note:</B></span>
|
||||
Clicking on the underscored pin number will provide the pin layout diagram
|
||||
for the highlighted pin. <!--kadov_tag{{<spaces>}}--> <!--kadov_tag{{</spaces>}}-->Rolling
|
||||
your mouse over the colored pin will pop up a tooltip with the signal
|
||||
name assigned to the pin, the I/O standard, <!--kadov_tag{{<spaces>}}--> <!--kadov_tag{{</spaces>}}-->the
|
||||
I/O style, the slew rate, and/or any constraints assigned to the pin:</p>
|
||||
|
||||
<p class="whs10"><SCRIPT LANGUAGE="JavaScript"><!--
|
||||
if (navigator.appName=="Netscape")
|
||||
{ document.write("<img src='pin.gif' x-maintain-ratio='TRUE' width='309' height='312' border='0'>");}
|
||||
else
|
||||
{ document.write("<img src='pin.gif' x-maintain-ratio='TRUE' style='border: none; width: 309px; height: 312px; float: none;' width='309' height='312' border='0'>");}
|
||||
//--></SCRIPT></p>
|
||||
|
||||
<ul type="disc" class="whs11">
|
||||
|
||||
<li class=kadov-p
|
||||
class=kadov-p><span style="font-family: arial, sans-serif; font-size: 10pt;"><FONT SIZE=2 style="font-size:10pt;">The
|
||||
pin type</FONT></span></li>
|
||||
|
||||
<li class=kadov-p
|
||||
class=kadov-p><span style="font-family: arial, sans-serif; font-size: 10pt;"><FONT SIZE=2 style="font-size:10pt;">The
|
||||
pin use</FONT></span></li>
|
||||
|
||||
<li class=kadov-p
|
||||
class=kadov-p><span style="font-family: arial, sans-serif; font-size: 10pt;"><FONT SIZE=2 style="font-size:10pt;">The
|
||||
input register use</FONT></span></li>
|
||||
|
||||
<li class=kadov-p
|
||||
class=kadov-p><span style="font-family: arial, sans-serif; font-size: 10pt;"><FONT SIZE=2 style="font-size:10pt;">The
|
||||
I/O standard</FONT></span></li>
|
||||
|
||||
<li class=kadov-p
|
||||
class=kadov-p><span style="font-family: arial, sans-serif; font-size: 10pt;"><FONT SIZE=2 style="font-size:10pt;">The
|
||||
I/O style</FONT></span></li>
|
||||
</ul>
|
||||
|
||||
</body>
|
||||
|
||||
</html>
|
15
address_decoder_html/fit/newappletref.htm
Normal file
@ -0,0 +1,15 @@
|
||||
<html>
|
||||
<head>
|
||||
<title></title>
|
||||
</head>
|
||||
<frameset frameborder="NO" framespacing="0" border="0" rows="94,*,0,0" cols="*">
|
||||
<frame name="topnav" src="../tim/topnav.htm" scrolling="no" noresize marginwidth="0" marginheight="0">
|
||||
<frameset frameborder="NO" framespacing="0" border="0" cols="125,*">
|
||||
<frame name="leftnav" noresize src="leftnav.htm" marginwidth="0" marginheight="0">
|
||||
<frame name="content" src="summary.htm">
|
||||
</frameset>
|
||||
<frame name="applets" src="newapplet.htm" scrolling="no">
|
||||
<frame name="eqns" src="eqns.htm" scrolling="no">
|
||||
</frameset>
|
||||
</html>
|
||||
|
BIN
address_decoder_html/fit/next.jpg
Normal file
After Width: | Height: | Size: 1.1 KiB |
55
address_decoder_html/fit/ns4plugin.js
Normal file
@ -0,0 +1,55 @@
|
||||
|
||||
function checkJre(){
|
||||
|
||||
var agt=navigator.userAgent.toLowerCase();
|
||||
var is_major = parseInt(navigator.appVersion);
|
||||
|
||||
|
||||
var is_nav = ((agt.indexOf('mozilla')!=-1) && (agt.indexOf('spoofer')== -1)
|
||||
&& (agt.indexOf('compatible') == -1) && (agt.indexOf('opera')== -1)
|
||||
&& (agt.indexOf('webtv')==-1) && (agt.indexOf('hotjava')== -1));
|
||||
var is_nav4up = (is_nav && (is_major >= 4));
|
||||
|
||||
var pluginDetected = false;
|
||||
|
||||
// we can check for plugin existence only when browser is 'is_ie5up' or 'is_nav4up'
|
||||
if(is_nav4up) {
|
||||
|
||||
// Refresh 'navigator.plugins' to get newly installed plugins.
|
||||
// Use 'navigator.plugins.refresh(false)' to refresh plugins
|
||||
// without refreshing open documents (browser windows)
|
||||
if(navigator.plugins) {
|
||||
navigator.plugins.refresh(false);
|
||||
}
|
||||
|
||||
// check for Java plugin in installed plugins
|
||||
if(navigator.mimeTypes) {
|
||||
// window.alert( navigator.mimeTypes.length);
|
||||
for (i=0; i < navigator.mimeTypes.length; i++) {
|
||||
// window.alert( navigator.mimeTypes[i].type);
|
||||
if( (navigator.mimeTypes[ i].type != null)
|
||||
&&(navigator.mimeTypes[ i].type.indexOf(
|
||||
"application/x-java-applet;jpi-version=1.4") != -1) ) {
|
||||
//window.alert("Found");
|
||||
pluginDetected = true;
|
||||
break;
|
||||
}
|
||||
|
||||
}
|
||||
}
|
||||
|
||||
}
|
||||
|
||||
if (pluginDetected) {
|
||||
// show applet page
|
||||
document.location.href="appletref.htm";
|
||||
|
||||
} else if (confirm("Java Plugin 1.4+ not found, Do you want to download it?\n" +
|
||||
"if you choose not to install the plugin the reports graphical applets will not be available.")) {
|
||||
document.location.href=XilinxD;
|
||||
} else {
|
||||
document.location.href="appletref.htm";
|
||||
}
|
||||
|
||||
}
|
||||
|
760
address_decoder_html/fit/optionsdoc.htm
Normal file
@ -0,0 +1,760 @@
|
||||
<!doctype HTML public "-//W3C//DTD HTML 4.0 Frameset//EN">
|
||||
|
||||
<html>
|
||||
|
||||
<!--(==============================================================)-->
|
||||
<!--(Document created with RoboEditor. )============================-->
|
||||
<!--(==============================================================)-->
|
||||
|
||||
<head>
|
||||
|
||||
<title>Compiler Options</title>
|
||||
|
||||
<!--(Meta)==========================================================-->
|
||||
|
||||
<meta name=generator content="RoboHELP by eHelp Corporation - www.ehelp.com">
|
||||
<meta name=generator-major-version content=0.1>
|
||||
<meta name=generator-minor-version content=1>
|
||||
<meta name=filetype content=kadov>
|
||||
<meta name=filetype-version content=1>
|
||||
<meta name=page-count content=1>
|
||||
<meta name=layout-height content=3977>
|
||||
<meta name=layout-width content=615>
|
||||
<meta name=date content="05 24, 2002 5:09:37 PM">
|
||||
|
||||
|
||||
|
||||
<style>
|
||||
<!--
|
||||
p.whs1 {font-family: arial, sans-serif; font-size: 10pt;}
|
||||
h1.whs2 {font-size: 18pt; font-family: arial, sans-serif;}
|
||||
p.whs3 {margin-top: 0pt; margin-bottom: 0pt; font-family: arial, sans-serif; font-size: 10pt;}
|
||||
p.whs4 {margin-top: 0pt; margin-bottom: 0pt; font-family: arial, sans-serif; font-size: 10pt;}
|
||||
p.whs5 {margin-top: 0pt; margin-bottom: 0pt; font-family: arial, sans-serif; font-size: 10pt;}
|
||||
p.whs6 {margin-top: 0pt; margin-bottom: 0pt; font-family: arial, sans-serif; font-size: 10pt;}
|
||||
h2.whs7 {font-family: arial, sans-serif;}
|
||||
h4.whs8 {font-family: arial, sans-serif;}
|
||||
p.whs9 {font-family: arial; font-size: 10pt; margin-left: 40px;}
|
||||
p.whs10 {font-family: arial; font-size: 10pt; margin-left: 40px;}
|
||||
p.whs11 {font-family: arial; font-size: 10pt; margin-left: 40px;}
|
||||
p.whs12 {font-family: arial; font-size: 10pt; margin-left: 40px;}
|
||||
p.whs13 {font-family: arial; font-size: 10pt; margin-left: 40px;}
|
||||
p.whs14 {font-family: arial; font-size: 10pt;}
|
||||
p.whs15 {margin-left: 40px;}
|
||||
p.whs16 {font-family: arial, sans-serif; font-size: 10pt;}
|
||||
p.whs17 {font-family: arial, sans-serif; font-size: 10pt;}
|
||||
p.whs18 {margin-left: 40px;}
|
||||
h2.whs19 {font-weight: bold; font-family: arial, sans-serif;}
|
||||
p.whs20 {font-family: arial, sans-serif; font-size: 10pt;}
|
||||
h2.whs21 {font-family: arial, sans-serif;}
|
||||
p.whs22 {font-family: arial, sans-serif; font-size: 10pt;}
|
||||
ul.whs23 {list-style: disc;}
|
||||
h4.whs24 {font-family: arial, sans-serif; font-size: 10pt;}
|
||||
p.whs25 {font-family: arial, sans-serif; font-size: 10pt;}
|
||||
p.whs26 {font-family: arial, sans-serif; font-size: 10pt;}
|
||||
p.whs27 {font-family: arial, sans-serif; font-size: 10pt;}
|
||||
p.whs28 {font-family: arial, sans-serif; font-size: 10pt;}
|
||||
p.whs29 {font-family: arial, sans-serif; font-size: 10pt;}
|
||||
p.whs30 {font-family: arial, sans-serif; font-size: 10pt;}
|
||||
p.whs31 {font-family: arial, sans-serif; font-size: 10pt;}
|
||||
p.whs32 {font-family: arial, sans-serif; font-size: 10pt;}
|
||||
table.whs33 {x-cell-content-align: top; border-spacing: 0; width: 84.797%;}
|
||||
col.whs34 {width: 20.209%;}
|
||||
col.whs35 {width: 16.192%;}
|
||||
col.whs36 {width: 22.217%;}
|
||||
col.whs37 {width: 41.383%;}
|
||||
td.whs38 {border-left-width: 1px; border-left-color: #000000; border-left-style: Solid; border-top-style: Solid; border-top-color: #000000; border-top-width: 1px; border-right-width: 1px; border-right-color: #000000; border-right-style: Solid; border-bottom-style: Solid; border-bottom-color: #000000; border-bottom-width: 1px; padding-right: 10px; padding-left: 10px;}
|
||||
p.whs39 {font-weight: bold; font-family: arial, sans-serif; font-size: 10pt;}
|
||||
td.whs40 {border-top-style: Solid; border-top-color: #000000; border-top-width: 1px; border-right-width: 1px; border-right-color: #000000; border-right-style: Solid; border-bottom-style: Solid; border-bottom-color: #000000; border-bottom-width: 1px; padding-right: 10px; padding-left: 10px;}
|
||||
p.whs41 {font-weight: bold; text-align: center; font-family: arial, sans-serif; font-size: 10pt;}
|
||||
td.whs42 {border-top-style: Solid; border-top-color: #000000; border-top-width: 1px; border-right-width: 1px; border-right-color: #000000; border-right-style: Solid; border-bottom-style: Solid; border-bottom-color: #000000; border-bottom-width: 1px; padding-right: 10px; padding-left: 10px;}
|
||||
p.whs43 {font-weight: bold; text-align: center; font-family: arial, sans-serif; font-size: 10pt;}
|
||||
td.whs44 {border-top-style: Solid; border-top-color: #000000; border-top-width: 1px; border-right-width: 1px; border-right-color: #000000; border-right-style: Solid; border-bottom-style: Solid; border-bottom-color: #000000; border-bottom-width: 1px; padding-right: 10px; padding-left: 10px;}
|
||||
p.whs45 {font-weight: bold; text-align: center; font-family: arial, sans-serif; font-size: 10pt;}
|
||||
td.whs46 {border-left-width: 1px; border-left-color: #000000; border-left-style: Solid; border-right-width: 1px; border-right-color: #000000; border-right-style: Solid; border-bottom-style: Solid; border-bottom-color: #000000; border-bottom-width: 1px; padding-right: 10px; padding-left: 10px;}
|
||||
p.whs47 {font-family: arial, sans-serif; font-size: 10pt;}
|
||||
td.whs48 {border-right-width: 1px; border-right-color: #000000; border-right-style: Solid; border-bottom-style: Solid; border-bottom-color: #000000; border-bottom-width: 1px; padding-right: 10px; padding-left: 10px;}
|
||||
p.whs49 {text-align: center; font-family: arial, sans-serif; font-size: 10pt;}
|
||||
td.whs50 {border-right-width: 1px; border-right-color: #000000; border-right-style: Solid; border-bottom-style: Solid; border-bottom-color: #000000; border-bottom-width: 1px; padding-right: 10px; padding-left: 10px;}
|
||||
p.whs51 {text-align: center; font-family: arial, sans-serif; font-size: 10pt;}
|
||||
td.whs52 {border-right-width: 1px; border-right-color: #000000; border-right-style: Solid; border-bottom-style: Solid; border-bottom-color: #000000; border-bottom-width: 1px; padding-right: 10px; padding-left: 10px;}
|
||||
p.whs53 {text-align: center; font-family: arial, sans-serif; font-size: 10pt;}
|
||||
td.whs54 {border-left-width: 1px; border-left-color: #000000; border-left-style: Solid; border-right-width: 1px; border-right-color: #000000; border-right-style: Solid; border-bottom-style: Solid; border-bottom-color: #000000; border-bottom-width: 1px; padding-right: 10px; padding-left: 10px;}
|
||||
p.whs55 {font-family: arial, sans-serif; font-size: 10pt;}
|
||||
td.whs56 {border-right-width: 1px; border-right-color: #000000; border-right-style: Solid; border-bottom-style: Solid; border-bottom-color: #000000; border-bottom-width: 1px; padding-right: 10px; padding-left: 10px;}
|
||||
p.whs57 {text-align: center; font-family: arial, sans-serif; font-size: 10pt;}
|
||||
td.whs58 {border-right-width: 1px; border-right-color: #000000; border-right-style: Solid; border-bottom-style: Solid; border-bottom-color: #000000; border-bottom-width: 1px; padding-right: 10px; padding-left: 10px;}
|
||||
p.whs59 {text-align: center; font-family: arial, sans-serif; font-size: 10pt;}
|
||||
td.whs60 {border-right-width: 1px; border-right-color: #000000; border-right-style: Solid; border-bottom-style: Solid; border-bottom-color: #000000; border-bottom-width: 1px; padding-right: 10px; padding-left: 10px;}
|
||||
p.whs61 {text-align: center; font-family: arial, sans-serif; font-size: 10pt;}
|
||||
td.whs62 {border-left-width: 1px; border-left-color: #000000; border-left-style: Solid; border-right-width: 1px; border-right-color: #000000; border-right-style: Solid; border-bottom-style: Solid; border-bottom-color: #000000; border-bottom-width: 1px; padding-right: 10px; padding-left: 10px;}
|
||||
p.whs63 {font-family: arial, sans-serif; font-size: 10pt;}
|
||||
td.whs64 {border-right-width: 1px; border-right-color: #000000; border-right-style: Solid; border-bottom-style: Solid; border-bottom-color: #000000; border-bottom-width: 1px; padding-right: 10px; padding-left: 10px;}
|
||||
p.whs65 {text-align: center; font-family: arial, sans-serif; font-size: 10pt;}
|
||||
td.whs66 {border-right-width: 1px; border-right-color: #000000; border-right-style: Solid; border-bottom-style: Solid; border-bottom-color: #000000; border-bottom-width: 1px; padding-right: 10px; padding-left: 10px;}
|
||||
p.whs67 {text-align: center; font-family: arial, sans-serif; font-size: 10pt;}
|
||||
td.whs68 {border-right-width: 1px; border-right-color: #000000; border-right-style: Solid; border-bottom-style: Solid; border-bottom-color: #000000; border-bottom-width: 1px; padding-right: 10px; padding-left: 10px;}
|
||||
p.whs69 {text-align: center; font-family: arial, sans-serif; font-size: 10pt;}
|
||||
td.whs70 {border-left-width: 1px; border-left-color: #000000; border-left-style: Solid; border-right-width: 1px; border-right-color: #000000; border-right-style: Solid; border-bottom-style: Solid; border-bottom-color: #000000; border-bottom-width: 1px; padding-right: 10px; padding-left: 10px;}
|
||||
p.whs71 {font-family: arial, sans-serif; font-size: 10pt;}
|
||||
td.whs72 {border-right-width: 1px; border-right-color: #000000; border-right-style: Solid; border-bottom-style: Solid; border-bottom-color: #000000; border-bottom-width: 1px; padding-right: 10px; padding-left: 10px;}
|
||||
p.whs73 {text-align: center; font-family: arial, sans-serif; font-size: 10pt;}
|
||||
td.whs74 {border-right-width: 1px; border-right-color: #000000; border-right-style: Solid; border-bottom-style: Solid; border-bottom-color: #000000; border-bottom-width: 1px; padding-right: 10px; padding-left: 10px;}
|
||||
p.whs75 {text-align: center; font-family: arial, sans-serif; font-size: 10pt;}
|
||||
td.whs76 {border-right-width: 1px; border-right-color: #000000; border-right-style: Solid; border-bottom-style: Solid; border-bottom-color: #000000; border-bottom-width: 1px; padding-right: 10px; padding-left: 10px;}
|
||||
p.whs77 {text-align: center; font-family: arial, sans-serif; font-size: 10pt;}
|
||||
td.whs78 {border-left-width: 1px; border-left-color: #000000; border-left-style: Solid; border-right-width: 1px; border-right-color: #000000; border-right-style: Solid; border-bottom-style: Solid; border-bottom-color: #000000; border-bottom-width: 1px; padding-right: 10px; padding-left: 10px;}
|
||||
p.whs79 {font-family: arial, sans-serif; font-size: 10pt;}
|
||||
td.whs80 {border-right-width: 1px; border-right-color: #000000; border-right-style: Solid; border-bottom-style: Solid; border-bottom-color: #000000; border-bottom-width: 1px; padding-right: 10px; padding-left: 10px;}
|
||||
p.whs81 {text-align: center; font-family: arial, sans-serif; font-size: 10pt;}
|
||||
td.whs82 {border-right-width: 1px; border-right-color: #000000; border-right-style: Solid; border-bottom-style: Solid; border-bottom-color: #000000; border-bottom-width: 1px; padding-right: 10px; padding-left: 10px;}
|
||||
p.whs83 {text-align: center; font-family: arial, sans-serif; font-size: 10pt;}
|
||||
td.whs84 {border-right-width: 1px; border-right-color: #000000; border-right-style: Solid; border-bottom-style: Solid; border-bottom-color: #000000; border-bottom-width: 1px; padding-right: 10px; padding-left: 10px;}
|
||||
p.whs85 {text-align: center; font-family: arial, sans-serif; font-size: 10pt;}
|
||||
td.whs86 {border-left-width: 1px; border-left-color: #000000; border-left-style: Solid; border-right-width: 1px; border-right-color: #000000; border-right-style: Solid; border-bottom-style: Solid; border-bottom-color: #000000; border-bottom-width: 1px; padding-right: 10px; padding-left: 10px;}
|
||||
p.whs87 {font-family: arial, sans-serif; font-size: 10pt;}
|
||||
td.whs88 {border-right-width: 1px; border-right-color: #000000; border-right-style: Solid; border-bottom-style: Solid; border-bottom-color: #000000; border-bottom-width: 1px; padding-right: 10px; padding-left: 10px;}
|
||||
p.whs89 {text-align: center; font-family: arial, sans-serif; font-size: 10pt;}
|
||||
td.whs90 {border-right-width: 1px; border-right-color: #000000; border-right-style: Solid; border-bottom-style: Solid; border-bottom-color: #000000; border-bottom-width: 1px; padding-right: 10px; padding-left: 10px;}
|
||||
p.whs91 {text-align: center; font-family: arial, sans-serif; font-size: 10pt;}
|
||||
td.whs92 {border-right-width: 1px; border-right-color: #000000; border-right-style: Solid; border-bottom-style: Solid; border-bottom-color: #000000; border-bottom-width: 1px; padding-right: 10px; padding-left: 10px;}
|
||||
p.whs93 {text-align: center; font-family: arial, sans-serif; font-size: 10pt;}
|
||||
td.whs94 {border-left-width: 1px; border-left-color: #000000; border-left-style: Solid; border-right-width: 1px; border-right-color: #000000; border-right-style: Solid; border-bottom-style: Solid; border-bottom-color: #000000; border-bottom-width: 1px; padding-right: 10px; padding-left: 10px;}
|
||||
p.whs95 {font-family: arial, sans-serif; font-size: 10pt;}
|
||||
td.whs96 {border-right-width: 1px; border-right-color: #000000; border-right-style: Solid; border-bottom-style: Solid; border-bottom-color: #000000; border-bottom-width: 1px; padding-right: 10px; padding-left: 10px;}
|
||||
p.whs97 {text-align: center; font-family: arial, sans-serif; font-size: 10pt;}
|
||||
td.whs98 {border-right-width: 1px; border-right-color: #000000; border-right-style: Solid; border-bottom-style: Solid; border-bottom-color: #000000; border-bottom-width: 1px; padding-right: 10px; padding-left: 10px;}
|
||||
p.whs99 {text-align: center; font-family: arial, sans-serif; font-size: 10pt;}
|
||||
td.whs100 {border-right-width: 1px; border-right-color: #000000; border-right-style: Solid; border-bottom-style: Solid; border-bottom-color: #000000; border-bottom-width: 1px; padding-right: 10px; padding-left: 10px;}
|
||||
p.whs101 {text-align: center; font-family: arial, sans-serif; font-size: 10pt;}
|
||||
td.whs102 {border-left-width: 1px; border-left-color: #000000; border-left-style: Solid; border-right-width: 1px; border-right-color: #000000; border-right-style: Solid; border-bottom-style: Solid; border-bottom-color: #000000; border-bottom-width: 1px; padding-right: 10px; padding-left: 10px;}
|
||||
p.whs103 {font-family: arial, sans-serif; font-size: 10pt;}
|
||||
td.whs104 {border-right-width: 1px; border-right-color: #000000; border-right-style: Solid; border-bottom-style: Solid; border-bottom-color: #000000; border-bottom-width: 1px; padding-right: 10px; padding-left: 10px;}
|
||||
p.whs105 {text-align: center; font-family: arial, sans-serif; font-size: 10pt;}
|
||||
td.whs106 {border-right-width: 1px; border-right-color: #000000; border-right-style: Solid; border-bottom-style: Solid; border-bottom-color: #000000; border-bottom-width: 1px; padding-right: 10px; padding-left: 10px;}
|
||||
p.whs107 {text-align: center; font-family: arial, sans-serif; font-size: 10pt;}
|
||||
td.whs108 {border-right-width: 1px; border-right-color: #000000; border-right-style: Solid; border-bottom-style: Solid; border-bottom-color: #000000; border-bottom-width: 1px; padding-right: 10px; padding-left: 10px;}
|
||||
p.whs109 {text-align: center; font-family: arial, sans-serif; font-size: 10pt;}
|
||||
p.whs110 {font-family: arial, sans-serif; font-size: 10pt;}
|
||||
h2.whs111 {font-family: arial, sans-serif; font-size: 12pt;}
|
||||
p.whs112 {font-family: arial, sans-serif; font-size: 10pt;}
|
||||
ul.whs113 {list-style: disc;}
|
||||
h4.whs114 {font-family: arial, sans-serif; font-size: 10pt;}
|
||||
p.whs115 {font-family: arial, sans-serif; font-size: 10pt;}
|
||||
p.whs116 {font-family: arial, sans-serif; font-size: 10pt;}
|
||||
p.whs117 {font-family: arial, sans-serif; font-size: 10pt;}
|
||||
p.whs118 {font-family: arial, sans-serif; font-size: 10pt;}
|
||||
p.whs119 {margin-left: 40px; font-family: arial, sans-serif; font-size: 10pt;}
|
||||
ul.whs120 {list-style: disc;}
|
||||
p.whs121 {font-family: arial, sans-serif; font-size: 10pt;}
|
||||
h4.whs122 {font-family: arial, sans-serif; font-size: 10pt;}
|
||||
p.whs123 {font-family: arial; font-size: 10pt; margin-left: 40px;}
|
||||
p.whs124 {font-family: arial; font-size: 10pt; margin-left: 40px;}
|
||||
p.whs125 {font-family: arial; font-size: 10pt; margin-left: 40px;}
|
||||
ul.whs126 {list-style: disc;}
|
||||
h4.whs127 {font-family: arial, sans-serif; font-size: 10pt;}
|
||||
p.whs128 {font-family: arial; font-size: 10pt; margin-left: 40px;}
|
||||
|
||||
--></style><script>
|
||||
<!--
|
||||
if (navigator.appName == "Netscape")
|
||||
{
|
||||
var strNSS = "<style>";
|
||||
strNSS += "p.whs3 {margin-top:1;margin-bottom:1; }";
|
||||
strNSS += "p.whs4 {margin-top:1;margin-bottom:1; }";
|
||||
strNSS += "p.whs5 {margin-top:1;margin-bottom:1; }";
|
||||
strNSS += "p.whs6 {margin-top:1;margin-bottom:1; }";
|
||||
strNSS +="</style>";
|
||||
document.write(strNSS);
|
||||
}
|
||||
//-->
|
||||
</script><script language="javascript" title="WebHelpInlineScript">
|
||||
<!--
|
||||
function reDo() {
|
||||
if (innerWidth != origWidth || innerHeight != origHeight)
|
||||
location.reload();
|
||||
}
|
||||
if ((parseInt(navigator.appVersion) == 4) && (navigator.appName == "Netscape")) {
|
||||
origWidth = innerWidth;
|
||||
origHeight = innerHeight;
|
||||
onresize = reDo;
|
||||
}
|
||||
//-->
|
||||
</script><style>
|
||||
<!--
|
||||
div.WebHelpPopupMenu {position:absolute; left:0px; top:0px; z-index:4; visibility:hidden;}
|
||||
p.WebHelpNavBar {text-align:right;}
|
||||
-->
|
||||
</style>
|
||||
</head>
|
||||
|
||||
<!--(Body)==========================================================-->
|
||||
|
||||
|
||||
<body>
|
||||
|
||||
|
||||
<h1>Compiler Options</h1>
|
||||
|
||||
<p class="whs1">The Compiler
|
||||
Options page provides all the fitter options settings for the device family
|
||||
the fitter has selected.</p>
|
||||
|
||||
<h1 class="whs2">Fitter Options</h1>
|
||||
|
||||
<p class="whs3"><a href="#Basic Tab"
|
||||
style="font-family: arial, sans-serif; font-size: 10pt;"><span
|
||||
style="font-family: arial, sans-serif; font-size: 10pt;"><FONT SIZE=2 style="font-size:10pt;">Basic Tab</a></FONT></span></p>
|
||||
|
||||
<p class="whs4"><a href="#XPLA Advanced Options"
|
||||
style="font-family: arial, sans-serif; font-size: 10pt;"><span
|
||||
style="font-family: arial, sans-serif; font-size: 10pt;"><FONT SIZE=2 style="font-size:10pt;">XPLA3 Advanced
|
||||
Options</a></FONT></span></p>
|
||||
|
||||
<p class="whs5"><a href="#CoolRunner-II Advanced Options"
|
||||
style="font-family: arial, sans-serif; font-size: 10pt;"><span
|
||||
style="font-family: arial, sans-serif; font-size: 10pt;"><FONT SIZE=2 style="font-size:10pt;">CoolRunner-II
|
||||
Advanced Options</a></FONT></span></p>
|
||||
|
||||
<p class="whs6"><a href="#XC9500/XL/XV Advanced Options"
|
||||
style="font-family: arial, sans-serif; font-size: 10pt;">XC9500/XL/XV
|
||||
Advanced Options</a></p>
|
||||
|
||||
<h2 class="whs7"><a name="Basic Tab"></a>Basic
|
||||
Tab</h2>
|
||||
|
||||
<p class=whs1
|
||||
style="font-family: arial, sans-serif; font-size: 10pt;">The CPLD devices
|
||||
have the following fitter <span style="font-weight: bold;"><B><b>Implementation
|
||||
Options </B></span><span style="font-weight: bold;"><B>available</B></span></b> in
|
||||
the <span style="font-weight: bold;"><B>Basic</B></span> tab:</p>
|
||||
|
||||
<ul>
|
||||
|
||||
<li class=kadov-h4><h4 class="whs8">Use
|
||||
Multi-Level Logic Optimization</h4></li>
|
||||
</ul>
|
||||
|
||||
<p class="whs9">This option simplifies the total number
|
||||
of logic expressions in a design, and then collapses the logic in order
|
||||
to meet user objectives such as density, speed and timing constraints.
|
||||
This optimization targets CPLD architecture, making it possible to collapse
|
||||
to the macrocell limits, reduce levels of logic, and minimize the total
|
||||
number of p-terms.</p>
|
||||
|
||||
<p class="whs10">Multi-level Logic Optimization optimizes
|
||||
all combinatorial logic arcs spanning from an input pad or register output
|
||||
to an output pad or register input.</p>
|
||||
|
||||
<p class="whs11">Multi-level Logic Optimization operates
|
||||
on combinatorial logic according to the following rules.</p>
|
||||
|
||||
<p class="whs12">If timing constraints are set, the program
|
||||
optimizes for speed to meet timing constraints.</p>
|
||||
|
||||
<p class="whs13">If timing constraints are not set, the
|
||||
program optimizes either for speed or density, depending on the user setting
|
||||
for the <span style="font-weight: bold;"><B>Use Timing Optimization</B></span>
|
||||
option.</p>
|
||||
|
||||
<ul>
|
||||
|
||||
<ul class=whs1
|
||||
style="list-style: disc;"
|
||||
type=disc>
|
||||
|
||||
<ul class=whs2
|
||||
style="list-style: circle;"
|
||||
type=circle>
|
||||
|
||||
<li class=kadov-p><p class="whs14">If
|
||||
<span style="font-weight: bold;"><B>Use Timing Optimization</B></span> is turned
|
||||
on, the combinatorial logic will be mapped for speed.</p></li>
|
||||
|
||||
<li class=kadov-p-Cwhs3><p class=whs3
|
||||
style="font-family: arial; font-size: 10pt;">If
|
||||
<span style="font-weight: bold;"><B>Use Timing Optimization</B></span> is turned
|
||||
off, the combinatorial logic will be mapped for density. The goal of optimization
|
||||
will then be to reduce the total number of p-terms.</p></li>
|
||||
</ul>
|
||||
</ul>
|
||||
</ul>
|
||||
|
||||
<p class="whs15"><font style="font-family: arial; font-size: 10pt;"
|
||||
face=arial
|
||||
size=2><span style="font-size: 10pt;"><FONT SIZE=2 style="font-size:10pt;">Logic
|
||||
marked with the </FONT></span><span style="font-weight: bold;"><B><b style="font-size: 10pt;">NOREDUCE</b></B></span><span
|
||||
style="font-size: 10pt;"><FONT SIZE=2 style="font-size:10pt;"> property will not be extracted or optimized.</FONT></span></font></p>
|
||||
|
||||
<p class=whs3
|
||||
style="font-family: arial, sans-serif;
|
||||
font-size: 10pt;
|
||||
font-weight: bold;
|
||||
margin-left: 40px;"><span style="font-family: arial; font-weight: normal;">By
|
||||
default, this option is on.</span> </p>
|
||||
|
||||
<ul>
|
||||
|
||||
<li class=kadov-p-Cwhs3><p class=whs3
|
||||
style="font-family: arial, sans-serif;
|
||||
font-size: 10pt;
|
||||
font-weight: bold;"><b style="font-weight: bold;"><span
|
||||
style="font-weight: bold;"><B>Use Timing Constraints -- </B></span><span style="font-weight: normal;">This
|
||||
option instructs the fitter use Timing Constraints when fitting the design.
|
||||
<!--kadov_tag{{<spaces>}}--> <!--kadov_tag{{</spaces>}}-->If this
|
||||
box is not checked, the fitter will ignore timing constraints, if necessary.</span></b></p></li>
|
||||
|
||||
<li class=kadov-p-Cwhs3><p class=whs3
|
||||
style="font-family: arial, sans-serif; font-size: 10pt;"><b
|
||||
style="font-weight: bold;"><span style="font-weight: bold;"><B>Enable WYSIWYG
|
||||
Mode -- (CoolRunner only) </B></span><span style="font-weight: normal;">T</span></b>he
|
||||
goal of the WYSIWYG options is to have a netlist reflect the user's specifications,
|
||||
as much as possible. All the nodes declared in the HDL design are preserved.
|
||||
By default, this property is set to Off (Checkbox is not checked) When
|
||||
this property is On (checkbox is checked), XST:</p></li>
|
||||
|
||||
<ul>
|
||||
|
||||
<li style="list-style: circle;"
|
||||
type=circle
|
||||
class=kadov-p-Cwhs3><p class=whs3
|
||||
style="font-family: arial, sans-serif; font-size: 10pt;">Preserves
|
||||
all the user internal signals (nodes)</p></li>
|
||||
|
||||
<li style="list-style: circle;"
|
||||
type=circle
|
||||
class=kadov-p-Cwhs3><p class=whs3
|
||||
style="font-family: arial, sans-serif; font-size: 10pt;">Creates
|
||||
source_node constraints in NGC file for all these nodes.</p></li>
|
||||
|
||||
<li style="list-style: circle;"
|
||||
type=circle
|
||||
class=kadov-p-Cwhs3><p class=whs3
|
||||
style="font-family: arial, sans-serif; font-size: 10pt;">Skips
|
||||
the design optimization (collapse, factorization). Only the Boolean equation
|
||||
minimization is performed.</p></li>
|
||||
</ul>
|
||||
|
||||
<li class=kadov-p-Cwhs3><p class=whs3
|
||||
style="font-family: arial, sans-serif; font-size: 10pt;"><b><span
|
||||
style="font-weight: bold;"><B>Optimization Style</B></span></b>-- The Optimization
|
||||
Method allows you to select from one of two basic optimization strategies:
|
||||
<span style="font-weight: bold;"><B><b>Density</b></B></span> or <span style="font-weight: bold;"><B><b>Speed</b></B></span>.
|
||||
<span style="font-weight: bold;"><B><b>Density</b></B></span> focuses on solely
|
||||
on density, and <span style="font-weight: bold;"><B><b>Speed</b></B></span> focuses
|
||||
solely on speed.</p></li>
|
||||
|
||||
<li class=kadov-p><p class="whs16"><span
|
||||
style="font-weight: bold;"><B>Location Constraints</B></span> -- The Try selection
|
||||
will attempt to fit the design with the pin assignments specified in the
|
||||
design source. If the design cannot be fit with these pin assignments,
|
||||
the fitter will remove the location constraints and attempt to fit the
|
||||
design with no location constraints. A warning message will tell the user
|
||||
if the location constraints have been removed.</p></li>
|
||||
|
||||
<ul class=whs5
|
||||
style="list-style: circle;"
|
||||
type=circle>
|
||||
|
||||
<li class=kadov-p><p class="whs17">The
|
||||
<span style="font-weight: bold;"><B><b>Try</b></B></span> selection will attempt
|
||||
to fit the design with the pin assignments specified in the design source.
|
||||
If the design cannot be fit with these pin assignments, the fitter will
|
||||
ignore the pin assignments.</p></li>
|
||||
</ul>
|
||||
|
||||
<li style="list-style: circle;"
|
||||
type=circle
|
||||
class=kadov-p-Cwhs6><p class=whs6
|
||||
style="font-family: arial, sans-serif; font-size: 10pt;">The
|
||||
<span style="font-weight: bold;"><B>On </B></span>selection will attempt to fit
|
||||
the design with the pin assignments specified in the design source. If
|
||||
the design cannot be fit with these pin assignments, the fitter will notify
|
||||
the user that the device could not fit. It will not unlock the pins under
|
||||
this option.</p></li>
|
||||
|
||||
<li style="list-style: circle;"
|
||||
type=circle
|
||||
class=kadov-p-Cwhs7><p class=whs7
|
||||
style="font-family: arial, sans-serif; font-size: 10pt;">The
|
||||
<span style="font-weight: bold;"><B>Off</B></span> selection will attempt to
|
||||
fit the design and will ignore the pin assignments specified in the design
|
||||
source. If the design can be fit with no pre-assigned pins, the fitter
|
||||
will assign pins, which can be viewed in the fitter report (filename.fit).
|
||||
The user should take these pin assignments and incorporate them back into
|
||||
the design source file. The user will be notified whether the fitting
|
||||
operation was successful.</p></li>
|
||||
|
||||
<li class=kadov-p-Cwhs8><p class=whs8
|
||||
style="font-family: arial, sans-serif;
|
||||
font-size: 10pt;
|
||||
font-weight: bold;"><b><span style="font-weight: bold;"><B>Output
|
||||
Slew Rate -- </B></span></b><span style="font-weight: normal;">Use this option
|
||||
to control the default output slew rate. You can control the transition
|
||||
time of device output pins by setting the slew rate to Slow or Fast. Limiting
|
||||
the slew rate (Slow) reduces output switching surges in the device. The
|
||||
default is Fast.</span></p></li>
|
||||
</ul>
|
||||
|
||||
<p class="whs18"><span style="font-weight: bold;"><B>Note</B></span>:
|
||||
Any explicit slew rate control properties in the design or constraints
|
||||
file take precedence over this Output Slew Rate setting. </p>
|
||||
|
||||
<ul>
|
||||
|
||||
<li class=kadov-p-Cwhs8><p class=whs8
|
||||
style="font-family: arial, sans-serif;
|
||||
font-size: 10pt;
|
||||
font-weight: bold;"><b style="font-weight: bold;"><span
|
||||
style="font-weight: bold;"><B>FF Initial State -- </B></span><span style="font-weight: normal;">Sets
|
||||
the initial state for all Flip-Flops. <!--kadov_tag{{<spaces>}}--> <!--kadov_tag{{</spaces>}}-->The
|
||||
options are Low, High and FPGA.</span></b></p></li>
|
||||
|
||||
<li class=kadov-p-Cwhs8><p class=whs8
|
||||
style="font-family: arial, sans-serif;
|
||||
font-size: 10pt;
|
||||
font-weight: bold;"><b><span style="font-weight: bold;"><B>Collapsing
|
||||
P-Term Limit -- </B></span></b><span style="font-weight: normal;">This option
|
||||
controls the degree to which the fitter flattens a design netlist. A logic
|
||||
gate can collapse forward into a subsequent gate only if the number of
|
||||
product terms in the resulting logic function does not exceed the p-term
|
||||
limit. If the path delay of a logic function is not acceptable, increase
|
||||
the p-term limit to allow the larger functions to be further flattened.
|
||||
Choose a number from 3 to 48.</span> </p></li>
|
||||
|
||||
<li class=kadov-p-Cwhs8><p class=whs8
|
||||
style="font-family: arial, sans-serif;
|
||||
font-size: 10pt;
|
||||
font-weight: bold;"><b><span style="font-weight: bold;"><B>Collapsing
|
||||
Input Limit -- </B></span></b><span style="font-weight: normal;">This is a
|
||||
secondary option for controlling the degree to which the fitter flattens
|
||||
a design netlist. A logic gate can collapse forward into a subsequent
|
||||
gate only if the number of inputs in the resulting logic function does
|
||||
not exceed the input limit. If the design fails to fit the target device
|
||||
because flattening uses up too many of the function block inputs, decrease
|
||||
the input limit to prevent flattening of certain high fan-in functions.
|
||||
</span><!--kadov_tag{{<spaces>}}--> <!--kadov_tag{{</spaces>}}--></p></li>
|
||||
</ul>
|
||||
|
||||
<h2 class="whs19"><b><span
|
||||
style="font-weight: bold;"><B><a name="XPLA Advanced Options"></a>XPLA Advanced
|
||||
Options</B></span></b></h2>
|
||||
|
||||
<p class="whs20"><b><span style="font-weight: normal;">The
|
||||
following options are available under XPLA </span><span style="font-weight: bold;"><B>Implementation
|
||||
Options</B></span><span style="font-weight: normal;">, </span><span style="font-weight: bold;"><B>Advanced</B></span><span
|
||||
style="font-weight: normal;"> tab.</span></b></p>
|
||||
|
||||
<ul>
|
||||
|
||||
<li class=kadov-p-Cwhs8><p class=whs8
|
||||
style="font-family: arial, sans-serif;
|
||||
font-size: 10pt;
|
||||
font-weight: bold;">Enable Fast
|
||||
Input Registers -<span style="font-weight: normal;">- Enables the use
|
||||
of the Fast Input path in XPLA3 devices.</span></p></li>
|
||||
|
||||
<li class=kadov-p-Cwhs8><p class=whs8
|
||||
style="font-family: arial, sans-serif;
|
||||
font-size: 10pt;
|
||||
font-weight: bold;">Enable Use
|
||||
of Foldback NANDs -- <span style="font-weight: normal;">When selected,
|
||||
the software will use foldback NANDs. This increases the capability to
|
||||
fit a design, sometimes at the expense of speed.</span></p></li>
|
||||
|
||||
<li class=kadov-p-Cwhs8><p class=whs8
|
||||
style="font-family: arial, sans-serif; font-size: 10pt;"><span
|
||||
style="font-weight: bold;"><B>Reserve JTAG Pins for ISP -- </B></span>Checking
|
||||
this box will instruct the fitter to reserve JTAG pins.</p></li>
|
||||
</ul>
|
||||
|
||||
<h2 class="whs21"><a name="CoolRunner-II Advanced Options"></a>CoolRunner-II
|
||||
Advanced Options</h2>
|
||||
|
||||
<p class="whs22">The following
|
||||
options are found under the Advanced tab for CoolRunner-II devices.</p>
|
||||
|
||||
<ul type="disc" class="whs23">
|
||||
|
||||
<li class=kadov-h4><h4 class="whs24">Use
|
||||
Global Clock(s) -- <span style="font-weight: normal;">Select this option
|
||||
to allow the fitter to assign input pins used as clocks to dedicated global
|
||||
clock (GCK) pins of the device. If this option is disabled, only pins
|
||||
identified with the BUFG=CLK property in the design (or UCF file) will
|
||||
be assigned to GCK device pins. By default, this option is on.</span>
|
||||
</h4></li>
|
||||
|
||||
<li class=kadov-p><p class="whs25"><span
|
||||
style="font-weight: bold;"><B>Use Global Output Enable(s)</B></span> -- Select
|
||||
this option to allow the fitter to assign input pins used as output enable
|
||||
control to dedicated global OE (GTS) pins of the device. If this option
|
||||
is disabled, only pins identified with the BUFG=OE property in the design
|
||||
(or UCF file) will be assigned to GTS device pins. By default, this option
|
||||
is on. </p></li>
|
||||
|
||||
<li class=kadov-p><p class="whs26"><span
|
||||
style="font-weight: bold;"><B>Use Global Set/Reset</B></span> -- Select this
|
||||
option to allow the fitter to assign input pins used as register asynchronous
|
||||
reset or preset control to the dedicated global set/reset (GSR) pin of
|
||||
the device. If this option is disabled, only a pin identified with the
|
||||
BUFG=SR property in the design (or UCF file) will be assigned to the GSR
|
||||
device pin. By default, this option is on.</p></li>
|
||||
|
||||
<li class=kadov-p><p class="whs27"><span
|
||||
style="font-weight: bold;"><B>Enable Fast Input Registers</B></span> -- Enables
|
||||
fast input registers.</p></li>
|
||||
|
||||
<li class=kadov-p><p class="whs28"><span
|
||||
style="font-weight: bold;"><B>Ignore DATA_GATE Attributes</B></span> -- Data
|
||||
Gate is a power saving property that can be used in CoolRunner-II designs.
|
||||
<!--kadov_tag{{<spaces>}}--> <!--kadov_tag{{</spaces>}}-->This option
|
||||
allows you to turn Data Gate off in case you want the fitter to ignore
|
||||
data gate.</p></li>
|
||||
|
||||
<li class=kadov-p><p class="whs29"><span
|
||||
style="font-weight: bold;"><B>Tristate Outputs Termination Node</B></span> --
|
||||
The Tristate Output Termination Mode globally sets all tristate outputs
|
||||
to the specified termination mode. By default, this field is set to Pullup..
|
||||
<!--kadov_tag{{<spaces>}}--> <!--kadov_tag{{</spaces>}}-->The options
|
||||
are Pullup, Keeper and Float.</p></li>
|
||||
|
||||
<li class=kadov-p><p class="whs30"><span
|
||||
style="font-weight: bold;"><B>Create Programmable Ground Pins on Unused I/O</B></span>
|
||||
-- The Create Programmable GND Pins on Unused I/O property controls the
|
||||
option to indicate that you want all unused I/O pads to be configured
|
||||
as ground pins. This can reduce ground bounce. By default, this option
|
||||
is set to ground. <!--kadov_tag{{<spaces>}}--> <!--kadov_tag{{</spaces>}}-->The
|
||||
options are Ground, Pullup, Keeper and Float.</p></li>
|
||||
|
||||
<li class=kadov-p>
|
||||
<p class="whs31"><span style="font-weight: bold;"><B>Default
|
||||
Output Voltage Standard</B></span> -- set a default voltage standard for CoolRunner-II
|
||||
device pins.</p>
|
||||
|
||||
<p class="whs32">IOSTANDARD
|
||||
names supported by CoolRunner-II are:</p>
|
||||
|
||||
<!--(Table)=====================================================-->
|
||||
<table x-use-null-cells width="84.797%" cellspacing="0" class="whs33">
|
||||
<col class="whs34">
|
||||
<col class="whs35">
|
||||
<col class="whs36">
|
||||
<col class="whs37">
|
||||
|
||||
<tr valign=top>
|
||||
<td width="20.209%" class="whs38">
|
||||
<p class="whs39">I/O Standard</td>
|
||||
<td width="16.192%" class="whs40">
|
||||
<p align="center" class="whs41">VCC<span style="vertical-align: Sub;">IO</span></td>
|
||||
<td width="22.217%" class="whs42">
|
||||
<p align="center" class="whs43">Input V<span style="vertical-align: Sub;">REF</span></td>
|
||||
<td width="41.383%" class="whs44">
|
||||
<p align="center" class="whs45">Board Termination Voltage (V<span style="vertical-align: Sub;">TT</span>)</td></tr>
|
||||
|
||||
<tr valign=top>
|
||||
<td width="20.209%" class="whs46">
|
||||
<p class="whs47">LVTTL </td>
|
||||
<td width="16.192%" class="whs48">
|
||||
<p align="center" class="whs49">3.3V</td>
|
||||
<td width="22.217%" class="whs50">
|
||||
<p align="center" class="whs51">N/A</td>
|
||||
<td width="41.383%" class="whs52">
|
||||
<p align="center" class="whs53">N/A</td></tr>
|
||||
|
||||
<tr valign=top>
|
||||
<td width="20.209%" class="whs54">
|
||||
<p class="whs55">LVCMOS33</td>
|
||||
<td width="16.192%" class="whs56">
|
||||
<p align="center" class="whs57">3.3V</td>
|
||||
<td width="22.217%" class="whs58">
|
||||
<p align="center" class="whs59">N/A</td>
|
||||
<td width="41.383%" class="whs60">
|
||||
<p align="center" class="whs61">N/A</td></tr>
|
||||
|
||||
<tr valign=top>
|
||||
<td width="20.209%" class="whs62">
|
||||
<p class="whs63">LVCMOS25</td>
|
||||
<td width="16.192%" class="whs64">
|
||||
<p align="center" class="whs65">2.5V</td>
|
||||
<td width="22.217%" class="whs66">
|
||||
<p align="center" class="whs67">N/A</td>
|
||||
<td width="41.383%" class="whs68">
|
||||
<p align="center" class="whs69">N/A</td></tr>
|
||||
|
||||
<tr valign=top>
|
||||
<td width="20.209%" class="whs70">
|
||||
<p class="whs71">LVCMOS18</td>
|
||||
<td width="16.192%" class="whs72">
|
||||
<p align="center" class="whs73">1.8V</td>
|
||||
<td width="22.217%" class="whs74">
|
||||
<p align="center" class="whs75">N/A</td>
|
||||
<td width="41.383%" class="whs76">
|
||||
<p align="center" class="whs77">N/A</td></tr>
|
||||
|
||||
<tr valign=top>
|
||||
<td width="20.209%" class="whs78">
|
||||
<p class="whs79">LVCMOS15</td>
|
||||
<td width="16.192%" class="whs80">
|
||||
<p align="center" class="whs81">1.5V</td>
|
||||
<td width="22.217%" class="whs82">
|
||||
<p align="center" class="whs83">N/A</td>
|
||||
<td width="41.383%" class="whs84">
|
||||
<p align="center" class="whs85">N/A</td></tr>
|
||||
|
||||
<tr valign=top>
|
||||
<td width="20.209%" class="whs86">
|
||||
<p class="whs87">HSTL_I</td>
|
||||
<td width="16.192%" class="whs88">
|
||||
<p align="center" class="whs89">1.5V</td>
|
||||
<td width="22.217%" class="whs90">
|
||||
<p align="center" class="whs91">0.75V</td>
|
||||
<td width="41.383%" class="whs92">
|
||||
<p align="center" class="whs93">0.75V</td></tr>
|
||||
|
||||
<tr valign=top>
|
||||
<td width="20.209%" class="whs94">
|
||||
<p class="whs95">SSTL2_I</td>
|
||||
<td width="16.192%" class="whs96">
|
||||
<p align="center" class="whs97">2.5V</td>
|
||||
<td width="22.217%" class="whs98">
|
||||
<p align="center" class="whs99">1.25V</td>
|
||||
<td width="41.383%" class="whs100">
|
||||
<p align="center" class="whs101">1.25V</td></tr>
|
||||
|
||||
<tr valign=top>
|
||||
<td width="20.209%" class="whs102">
|
||||
<p class="whs103">SSTL3_I</td>
|
||||
<td width="16.192%" class="whs104">
|
||||
<p align="center" class="whs105">3.3V</td>
|
||||
<td width="22.217%" class="whs106">
|
||||
<p align="center" class="whs107">1.5V</td>
|
||||
<td width="41.383%" class="whs108">
|
||||
<p align="center" class="whs109">1.5V</td></tr>
|
||||
</table>
|
||||
|
||||
<p class="whs110">The software
|
||||
automatically groups outputs with similar IOSTANDARD settings into the
|
||||
same bank when no location constraints are specified. </p>
|
||||
</li>
|
||||
</ul>
|
||||
|
||||
<h2 class="whs111"><a name="XC9500/XL/XV Advanced Options"></a>XC9500/XL/XV
|
||||
Advanced Options</h2>
|
||||
|
||||
<p class="whs112">The following
|
||||
options are found under the Advanced tab for XC9500/XL/XV. <!--kadov_tag{{<spaces>}}--> <!--kadov_tag{{</spaces>}}-->Note
|
||||
that additional options for XC9500 only are also described below.</p>
|
||||
|
||||
<ul type="disc" class="whs113">
|
||||
|
||||
<li class=kadov-h4><h4 class="whs114">Use
|
||||
Global Clock(s) -- <span style="font-weight: normal;">Select this option
|
||||
to allow the fitter to assign input pins used as clocks to dedicated global
|
||||
clock (GCK) pins of the device. If this option is disabled, only pins
|
||||
identified with the BUFG=CLK property in the design (or UCF file) will
|
||||
be assigned to GCK device pins. By default, this option is on.</span>
|
||||
</h4></li>
|
||||
|
||||
<li class=kadov-p><p class="whs115"><span
|
||||
style="font-weight: bold;"><B>Use Global Output Enable(s)</B></span> -- Select
|
||||
this option to allow the fitter to assign input pins used as output enable
|
||||
control to dedicated global OE (GTS) pins of the device. If this option
|
||||
is disabled, only pins identified with the BUFG=OE property in the design
|
||||
(or UCF file) will be assigned to GTS device pins. By default, this option
|
||||
is on. </p></li>
|
||||
|
||||
<li class=kadov-p><p class="whs116"><span
|
||||
style="font-weight: bold;"><B>Use Global Set/Reset</B></span> -- Select this
|
||||
option to allow the fitter to assign input pins used as register asynchronous
|
||||
reset or preset control to the dedicated global set/reset (GSR) pin of
|
||||
the device. If this option is disabled, only a pin identified with the
|
||||
BUFG=SR property in the design (or UCF file) will be assigned to the GSR
|
||||
device pin. By default, this option is on.</p></li>
|
||||
|
||||
<li class=kadov-p><p class="whs117"><span
|
||||
style="font-weight: bold;"><B>Create Programmable Ground Pins on Unused I/O</B></span>
|
||||
-- Select this option to indicate that you want all unused I/O pads to
|
||||
be configured as ground pins. This can reduce ground bounce. By default,
|
||||
this option is off.</p></li>
|
||||
|
||||
<li class=kadov-p><p class="whs118"><span
|
||||
style="font-weight: bold;"><B>Macrocell Power Setting</B></span> -- Use this
|
||||
option to control device power consumption. Select Low or Standard to
|
||||
set the default power mode for the macrocells used to implement the design.
|
||||
Select Timing Driven to automatically reduce power on paths covered by
|
||||
timing specifications that can meet speed requirements while operating
|
||||
in low power. The default is Standard, which results in highest speed.</p></li>
|
||||
</ul>
|
||||
|
||||
<p class="whs119">Note: Any explicit power control (PWR_MODE)
|
||||
properties in the design or constraints file take precedence over this
|
||||
Macrocell Power Setting. </p>
|
||||
|
||||
<ul type="disc" class="whs120">
|
||||
|
||||
<li class=kadov-p><p class="whs121"><span
|
||||
style="font-weight: bold;"><B>Enable FASTConnect/UIM Optimization (XC9500
|
||||
only)</B></span> -- Enables optimization of the FASTConnect/UIM for XC9500
|
||||
devices.</p></li>
|
||||
|
||||
<li class=kadov-h4><h4 class="whs122">Use
|
||||
Local Feedback (XC9500 only)</h4></li>
|
||||
</ul>
|
||||
|
||||
<p class="whs123">Select this option to enable the software
|
||||
to use local macrocell feedback whenever possible. The local feedback
|
||||
path, running from each macrocell output to an input of the same function
|
||||
block, has shorter propagation delay than the global feedback path. The
|
||||
fitter always tries to use local macrocell feedback (if possible) to satisfy
|
||||
timing constraints. This option allows the fitter to use local feedback
|
||||
to generally improve timing on remaining paths. Using local feedback can
|
||||
speed up your design but could also make it difficult to maintain the
|
||||
same timing after a design change. By default, this option is on.</p>
|
||||
|
||||
|
||||
<!--(HR)============================================================-->
|
||||
<hr class=whs4
|
||||
style="margin-left: 40px; /*begin!kadov{{*/ float: aligncenter; /*}}end!kadov*/ "
|
||||
align=center>
|
||||
|
||||
|
||||
<p class="whs124"><b><span style="font-weight: bold;"><B>Note:
|
||||
</B></span></b>To force the fitter to use local feedback, manually map both
|
||||
the source and load functions into the same function block using the property
|
||||
<span style="font-weight: bold;"><B><b>LOC=FB</b></B></span><span style="font-style: italic;"><I><i>nn</i></I></span>,
|
||||
then apply a timespec across the path. </p>
|
||||
|
||||
|
||||
<!--(HR)============================================================-->
|
||||
<hr class=whs5
|
||||
style="margin-left: 40px; /*begin!kadov{{*/ float: aligncenter; /*}}end!kadov*/ "
|
||||
align=center>
|
||||
|
||||
|
||||
<p class="whs125"><span><FONT SIZE=2 style="font-size:10pt;"><b style="font-weight: bold;">Note:
|
||||
</b></FONT></span>The XC9536 device does not have local feedback.</p>
|
||||
|
||||
|
||||
<!--(HR)============================================================-->
|
||||
<hr class=whs6
|
||||
style="margin-left: 40px; /*begin!kadov{{*/ float: aligncenter; /*}}end!kadov*/ "
|
||||
align=center>
|
||||
|
||||
|
||||
<ul type="disc" class="whs126">
|
||||
|
||||
<li class=kadov-h4><h4 class="whs127">Use
|
||||
Pin Feedback (XC9500 only)</h4></li>
|
||||
</ul>
|
||||
|
||||
<p class="whs128">Select this option to enable the software
|
||||
to use I/O pin feedback whenever possible. The pin feedback path has slightly
|
||||
shorter propagation delay than the global feedback path. If this option
|
||||
is enabled, the software uses the pin feedback path instead of the global
|
||||
feedback path for macrocell signals that do not drive 3-state outputs
|
||||
or slew-rate-limited outputs, and where the associated I/O pin is not
|
||||
used as input-only. By default, this option is on.</p>
|
||||
|
||||
</body>
|
||||
|
||||
</html>
|
37
address_decoder_html/fit/paths.js
Normal file
@ -0,0 +1,37 @@
|
||||
rootURL = "http://www.xilinx.com/";
|
||||
prodURL = "xlnx/xil_prodcat_product.jsp?title=";
|
||||
cpldURL = "CPLD+Products";
|
||||
xbrURL = "coolrunner2_page";
|
||||
xpla3URL = "xpla3_page";
|
||||
xc9500URL = "xc9500_page";
|
||||
xc9500xlURL = "xc9500xl_page";
|
||||
xc9500xvURL = "xc9500xv_page";
|
||||
marketURL= "esp";
|
||||
supportURL = "http://www.support.xilinx.com/";
|
||||
educationURL = "support/education-home.htm";
|
||||
buyURL = "http://toolbox.xilinx.com/cgi-bin/xilinx.storefront";
|
||||
contactURL = "company/contact.htm";
|
||||
searchURL = "company/search.htm";
|
||||
|
||||
docURL = rootURL + "xlnx/xweb/xil_publications_display.jsp?" +
|
||||
"iLanguageID=1" +
|
||||
"&category=/Data+Sheets/CPLD+Device+Families/";
|
||||
doc95URL = docURL + "XC9500";
|
||||
doc95xlURL = docURL + "XC9500XL";
|
||||
doc95xvURL = docURL + "XC9500XV";
|
||||
docXpla3URL = docURL + "CoolRunner+XPLA3";
|
||||
docXbrURL = docURL + "CoolRunner-II";
|
||||
docCr2sURL = docURL + "CoolRunner-IIS";
|
||||
|
||||
var messages = new Array();
|
||||
messages["fastinreg"] = "Direct Input Register";
|
||||
messages["inreg"] = "Direct Input Register";
|
||||
messages["fbnand"] = "Foldback NAND";
|
||||
messages["fcnode"] = "FC node";
|
||||
messages["LATCH"] = "Transparent latch";
|
||||
messages["DFF"] = "D-flip-flop";
|
||||
messages["DEFF"] = "D-flip-flop with clock enable";
|
||||
messages["TFF"] = "T-flip-flop";
|
||||
messages["TDFF"] = "Dual-edge-triggered T-flip-flop";
|
||||
messages["DDFF"] = "Dual-edge-triggered flip-flop";
|
||||
messages["DDEFF"] = "Dual-edge-triggered flip-flop with clock enable";
|
BIN
address_decoder_html/fit/pin.gif
Normal file
After Width: | Height: | Size: 3.0 KiB |
13
address_decoder_html/fit/pin_legXC95.htm
Normal file
@ -0,0 +1,13 @@
|
||||
<html><body><pre>
|
||||
Legend : NC = Not Connected, unbonded pin
|
||||
PGND = Unused I/O configured as additional Ground pin
|
||||
TIE = Unused I/O floating -- must tie to VCC, GND or other signal
|
||||
VCC = Dedicated Power Pin
|
||||
GND = Dedicated Ground Pin
|
||||
TDI = Test Data In, JTAG pin
|
||||
TDO = Test Data Out, JTAG pin
|
||||
TCK = Test Clock, JTAG pin
|
||||
TMS = Test Mode Select, JTAG pin
|
||||
PE = Port Enable pin
|
||||
PROHIBITED = User reserved pin
|
||||
</pre></body></html>
|
20
address_decoder_html/fit/pin_legXbr.htm
Normal file
@ -0,0 +1,20 @@
|
||||
<html><body><pre>
|
||||
Legend : NC = Not Connected, unbonded pin
|
||||
PGND = Unused I/O configured as additional Ground pin
|
||||
KPR = Unused I/O with weak keeper (leave unconnected)
|
||||
WPU = Unused I/O with weak pull up (leave unconnected)
|
||||
TIE = Unused I/O floating -- must tie to VCC, GND or other signal
|
||||
VCC = Dedicated Power Pin
|
||||
VCCAUX = Power supply for JTAG pins
|
||||
VCCIO-3.3 = I/O supply voltage for LVTTL, LVCMOS33, SSTL3_I
|
||||
VCCIO-2.5 = I/O supply voltage for LVCMOS25, SSTL2_I
|
||||
VCCIO-1.8 = I/O supply voltage for LVCMOS18
|
||||
VCCIO-1.5 = I/O supply voltage for LVCMOS15, HSTL_I
|
||||
VREF = Reference voltage for indicated input standard
|
||||
GND = Dedicated Ground Pin
|
||||
TDI = Test Data In, JTAG pin
|
||||
TDO = Test Data Out, JTAG pin
|
||||
TCK = Test Clock, JTAG pin
|
||||
TMS = Test Mode Select, JTAG pin
|
||||
PROHIBITED = User reserved pin
|
||||
</pre></body></html>
|
13
address_decoder_html/fit/pin_legXpla3.htm
Normal file
@ -0,0 +1,13 @@
|
||||
<html><body><pre>
|
||||
Legend : NC = Not Connected, unbonded pin
|
||||
PE = Port Enable pin
|
||||
WPU = Unused with Internal Weak Pull Up
|
||||
TIE = Unused I/O floating -- must tie to VCC, GND or other signal
|
||||
VCC = Dedicated Power Pin
|
||||
GND = Dedicated Ground Pin
|
||||
TDI = Test Data In, JTAG pin
|
||||
TDO = Test Data Out, JTAG pin
|
||||
TCK = Test Clock, JTAG pin
|
||||
TMS = Test Mode Select, JTAG pin
|
||||
PROHIBITED = User reserved pin
|
||||
</pre></body></html>
|
BIN
address_decoder_html/fit/pindiagram.gif
Normal file
After Width: | Height: | Size: 21 KiB |
62
address_decoder_html/fit/pins.js
Normal file
@ -0,0 +1,62 @@
|
||||
var specSig = new Array();
|
||||
var pins = new Array();
|
||||
var pinsAssign = new Array();
|
||||
var prohibit = new Array();
|
||||
var unusedStr = "WPU";
|
||||
var gndStr = "GND";
|
||||
var vccStr = "VCC";
|
||||
var tdiStr = "TDI";
|
||||
var tdoStr = "TDO";
|
||||
var tmsStr = "TMS";
|
||||
var tckStr = "TCK";
|
||||
|
||||
function showPin(pin) { parent.leftnav.showAppletPin(pin); }
|
||||
|
||||
function printPage() { window.print(); parent.leftnav.printAppletPkg(); }
|
||||
|
||||
function showEqn(signal) { parent.leftnav.showEqn(signal); }
|
||||
|
||||
function updatePin(type) {
|
||||
with (document.options) {
|
||||
switch (type) {
|
||||
case 0:
|
||||
if (inp.checked) parent.leftnav.document.options.inOn.value = 1;
|
||||
else parent.leftnav.document.options.inOn.value = 0;
|
||||
break;
|
||||
|
||||
case 1:
|
||||
if (out.checked) parent.leftnav.document.options.outOn.value = 1;
|
||||
else parent.leftnav.document.options.outOn.value = 0;
|
||||
break;
|
||||
|
||||
case 2:
|
||||
if (glb.checked) parent.leftnav.document.options.glbOn.value = 1;
|
||||
else parent.leftnav.document.options.glbOn.value = 0;
|
||||
break;
|
||||
|
||||
case 3:
|
||||
if (isp.checked) parent.leftnav.document.options.ispOn.value = 1;
|
||||
else parent.leftnav.document.options.ispOn.value = 0;
|
||||
break;
|
||||
|
||||
case 4:
|
||||
if (vcc.checked) parent.leftnav.document.options.vccOn.value = 1;
|
||||
else parent.leftnav.document.options.vccOn.value = 0;
|
||||
break;
|
||||
|
||||
case 5:
|
||||
if (gnd.checked) parent.leftnav.document.options.gndOn.value = 1;
|
||||
else parent.leftnav.document.options.gndOn.value = 0;
|
||||
break;
|
||||
|
||||
case 6:
|
||||
if (unuse.checked) parent.leftnav.document.options.unuseOn.value = 1;
|
||||
else parent.leftnav.document.options.unuseOn.value = 0;
|
||||
break;
|
||||
}
|
||||
}
|
||||
|
||||
parent.leftnav.showPinOut();
|
||||
}
|
||||
|
||||
function showLegend(url) { parent.leftnav.showLegend(url, 650, 350); }
|
265
address_decoder_html/fit/pinsdoc.htm
Normal file
@ -0,0 +1,265 @@
|
||||
<!doctype HTML public "-//W3C//DTD HTML 4.0 Frameset//EN">
|
||||
|
||||
<html>
|
||||
|
||||
<!--(==============================================================)-->
|
||||
<!--(Document created with RoboEditor. )============================-->
|
||||
<!--(==============================================================)-->
|
||||
|
||||
<head>
|
||||
|
||||
<title>Pin List</title>
|
||||
|
||||
<!--(Meta)==========================================================-->
|
||||
|
||||
<meta name=generator content="RoboHELP by eHelp Corporation - www.ehelp.com">
|
||||
<meta name=generator-major-version content=0.1>
|
||||
<meta name=generator-minor-version content=1>
|
||||
<meta name=filetype content=kadov>
|
||||
<meta name=filetype-version content=1>
|
||||
<meta name=page-count content=1>
|
||||
<meta name=layout-height content=1449>
|
||||
<meta name=layout-width content=615>
|
||||
<meta name=date content="05 24, 2002 6:19:23 PM">
|
||||
|
||||
|
||||
|
||||
<style>
|
||||
<!--
|
||||
p.whs1 {font-family: arial, sans-serif; font-size: 10pt;}
|
||||
p.whs2 {font-family: arial, sans-serif; font-size: 10pt; margin-left: 80px;}
|
||||
p.whs3 {font-weight: bold; font-family: arial, sans-serif; font-size: 10pt; margin-left: 0px;}
|
||||
p.whs4 {font-family: arial, sans-serif; font-size: 10pt; margin-left: 0px; font-weight: normal;}
|
||||
p.whs5 {font-family: arial, sans-serif; font-size: 10pt; margin-left: 0px; font-weight: normal;}
|
||||
p.whs6 {font-family: arial, sans-serif; font-size: 10pt; margin-left: 0px; font-weight: normal;}
|
||||
p.whs7 {font-family: arial, sans-serif; font-size: 10pt; margin-left: 0px; font-weight: normal;}
|
||||
table.whs8 {x-cell-content-align: top; border-spacing: 0; width: 63.089%;}
|
||||
col.whs9 {width: 43.569%;}
|
||||
col.whs10 {width: 56.431%;}
|
||||
td.whs11 {border-left-width: 1px; border-left-color: #000000; border-left-style: Solid; border-top-style: Solid; border-top-color: #000000; border-top-width: 1px; border-right-width: 1px; border-right-color: #000000; border-right-style: Solid; border-bottom-style: Solid; border-bottom-color: #000000; border-bottom-width: 1px; padding-right: 10px; padding-left: 10px;}
|
||||
p.whs12 {font-family: arial, sans-serif; font-weight: bold; font-size: 11pt;}
|
||||
td.whs13 {border-top-style: Solid; border-top-color: #000000; border-top-width: 1px; border-right-width: 1px; border-right-color: #000000; border-right-style: Solid; border-bottom-style: Solid; border-bottom-color: #000000; border-bottom-width: 1px; padding-right: 10px; padding-left: 10px;}
|
||||
p.whs14 {font-family: arial, sans-serif; font-weight: bold; font-size: 11pt;}
|
||||
td.whs15 {padding-right: 10px; padding-left: 10px; border-left-style: Solid; border-left-color: #000000; border-left-width: 1px; border-right-style: Solid; border-right-color: #000000; border-right-width: 1px; border-bottom-width: 1px; border-bottom-color: #000000; border-bottom-style: Solid;}
|
||||
p.whs16 {font-family: arial, sans-serif; font-size: 10pt;}
|
||||
td.whs17 {padding-right: 10px; padding-left: 10px; border-right-style: Solid; border-right-color: #000000; border-right-width: 1px; border-bottom-width: 1px; border-bottom-color: #000000; border-bottom-style: Solid;}
|
||||
p.whs18 {font-family: arial, sans-serif; font-size: 10pt;}
|
||||
td.whs19 {border-left-width: 1px; border-left-color: #000000; border-left-style: Solid; border-right-width: 1px; border-right-color: #000000; border-right-style: Solid; border-bottom-style: Solid; border-bottom-color: #000000; border-bottom-width: 1px; padding-right: 10px; padding-left: 10px;}
|
||||
p.whs20 {font-family: arial, sans-serif; font-size: 10pt;}
|
||||
td.whs21 {border-right-width: 1px; border-right-color: #000000; border-right-style: Solid; border-bottom-style: Solid; border-bottom-color: #000000; border-bottom-width: 1px; padding-right: 10px; padding-left: 10px;}
|
||||
p.whs22 {font-family: arial, sans-serif; font-size: 10pt;}
|
||||
td.whs23 {border-left-width: 1px; border-left-color: #000000; border-left-style: Solid; border-right-width: 1px; border-right-color: #000000; border-right-style: Solid; border-bottom-style: Solid; border-bottom-color: #000000; border-bottom-width: 1px; padding-right: 10px; padding-left: 10px;}
|
||||
p.whs24 {font-family: arial, sans-serif; font-size: 10pt;}
|
||||
td.whs25 {border-right-width: 1px; border-right-color: #000000; border-right-style: Solid; border-bottom-style: Solid; border-bottom-color: #000000; border-bottom-width: 1px; padding-right: 10px; padding-left: 10px;}
|
||||
p.whs26 {font-family: arial, sans-serif; font-size: 10pt;}
|
||||
td.whs27 {border-left-width: 1px; border-left-color: #000000; border-left-style: Solid; border-right-width: 1px; border-right-color: #000000; border-right-style: Solid; border-bottom-style: Solid; border-bottom-color: #000000; border-bottom-width: 1px; padding-right: 10px; padding-left: 10px;}
|
||||
p.whs28 {font-family: arial, sans-serif; font-size: 10pt;}
|
||||
td.whs29 {border-right-width: 1px; border-right-color: #000000; border-right-style: Solid; border-bottom-style: Solid; border-bottom-color: #000000; border-bottom-width: 1px; padding-right: 10px; padding-left: 10px;}
|
||||
p.whs30 {font-family: arial, sans-serif; font-size: 10pt;}
|
||||
td.whs31 {border-left-width: 1px; border-left-color: #000000; border-left-style: Solid; border-right-width: 1px; border-right-color: #000000; border-right-style: Solid; border-bottom-style: Solid; border-bottom-color: #000000; border-bottom-width: 1px; padding-right: 10px; padding-left: 10px;}
|
||||
p.whs32 {font-family: arial, sans-serif; font-size: 10pt;}
|
||||
td.whs33 {border-right-width: 1px; border-right-color: #000000; border-right-style: Solid; border-bottom-style: Solid; border-bottom-color: #000000; border-bottom-width: 1px; padding-right: 10px; padding-left: 10px;}
|
||||
p.whs34 {font-family: arial, sans-serif; font-size: 10pt;}
|
||||
td.whs35 {border-left-width: 1px; border-left-color: #000000; border-left-style: Solid; border-right-width: 1px; border-right-color: #000000; border-right-style: Solid; border-bottom-style: Solid; border-bottom-color: #000000; border-bottom-width: 1px; padding-right: 10px; padding-left: 10px;}
|
||||
p.whs36 {font-family: arial, sans-serif; font-size: 10pt;}
|
||||
td.whs37 {border-right-width: 1px; border-right-color: #000000; border-right-style: Solid; border-bottom-style: Solid; border-bottom-color: #000000; border-bottom-width: 1px; padding-right: 10px; padding-left: 10px;}
|
||||
p.whs38 {font-family: arial, sans-serif; font-size: 10pt;}
|
||||
td.whs39 {border-left-width: 1px; border-left-color: #000000; border-left-style: Solid; border-right-width: 1px; border-right-color: #000000; border-right-style: Solid; border-bottom-style: Solid; border-bottom-color: #000000; border-bottom-width: 1px; padding-right: 10px; padding-left: 10px;}
|
||||
p.whs40 {font-family: arial, sans-serif; font-size: 10pt;}
|
||||
td.whs41 {border-right-width: 1px; border-right-color: #000000; border-right-style: Solid; border-bottom-style: Solid; border-bottom-color: #000000; border-bottom-width: 1px; padding-right: 10px; padding-left: 10px;}
|
||||
p.whs42 {font-family: arial, sans-serif; font-size: 10pt;}
|
||||
td.whs43 {border-left-width: 1px; border-left-color: #000000; border-left-style: Solid; border-right-width: 1px; border-right-color: #000000; border-right-style: Solid; border-bottom-style: Solid; border-bottom-color: #000000; border-bottom-width: 1px; padding-right: 10px; padding-left: 10px;}
|
||||
p.whs44 {font-family: arial, sans-serif; font-size: 10pt;}
|
||||
td.whs45 {border-right-width: 1px; border-right-color: #000000; border-right-style: Solid; border-bottom-style: Solid; border-bottom-color: #000000; border-bottom-width: 1px; padding-right: 10px; padding-left: 10px;}
|
||||
p.whs46 {font-family: arial, sans-serif; font-size: 10pt;}
|
||||
td.whs47 {border-left-width: 1px; border-left-color: #000000; border-left-style: Solid; border-right-width: 1px; border-right-color: #000000; border-right-style: Solid; border-bottom-style: Solid; border-bottom-color: #000000; border-bottom-width: 1px; padding-right: 10px; padding-left: 10px;}
|
||||
p.whs48 {font-family: arial, sans-serif; font-size: 10pt;}
|
||||
td.whs49 {border-right-width: 1px; border-right-color: #000000; border-right-style: Solid; border-bottom-style: Solid; border-bottom-color: #000000; border-bottom-width: 1px; padding-right: 10px; padding-left: 10px;}
|
||||
p.whs50 {font-family: arial, sans-serif; font-size: 10pt;}
|
||||
td.whs51 {border-left-width: 1px; border-left-color: #000000; border-left-style: Solid; border-right-width: 1px; border-right-color: #000000; border-right-style: Solid; border-bottom-style: Solid; border-bottom-color: #000000; border-bottom-width: 1px; padding-right: 10px; padding-left: 10px;}
|
||||
p.whs52 {font-family: arial, sans-serif; font-size: 10pt;}
|
||||
td.whs53 {border-right-width: 1px; border-right-color: #000000; border-right-style: Solid; border-bottom-style: Solid; border-bottom-color: #000000; border-bottom-width: 1px; padding-right: 10px; padding-left: 10px;}
|
||||
p.whs54 {font-family: arial, sans-serif; font-size: 10pt;}
|
||||
p.whs55 {font-family: arial, sans-serif; font-size: 10pt; margin-left: 0px; font-weight: normal;}
|
||||
|
||||
--></style><script>
|
||||
<!--
|
||||
if (navigator.appName == "Netscape")
|
||||
{
|
||||
var strNSS = "<style>";
|
||||
strNSS += "p.whs3 {margin-left:1; }";
|
||||
strNSS += "p.whs4 {margin-left:1; }";
|
||||
strNSS += "p.whs5 {margin-left:1; }";
|
||||
strNSS += "p.whs6 {margin-left:1; }";
|
||||
strNSS += "p.whs7 {margin-left:1; }";
|
||||
strNSS += "p.whs55 {margin-left:1; }";
|
||||
strNSS +="</style>";
|
||||
document.write(strNSS);
|
||||
}
|
||||
//-->
|
||||
</script><script language="javascript" title="WebHelpInlineScript">
|
||||
<!--
|
||||
function reDo() {
|
||||
if (innerWidth != origWidth || innerHeight != origHeight)
|
||||
location.reload();
|
||||
}
|
||||
if ((parseInt(navigator.appVersion) == 4) && (navigator.appName == "Netscape")) {
|
||||
origWidth = innerWidth;
|
||||
origHeight = innerHeight;
|
||||
onresize = reDo;
|
||||
}
|
||||
//-->
|
||||
</script><style>
|
||||
<!--
|
||||
div.WebHelpPopupMenu {position:absolute; left:0px; top:0px; z-index:4; visibility:hidden;}
|
||||
p.WebHelpNavBar {text-align:right;}
|
||||
-->
|
||||
</style>
|
||||
</head>
|
||||
|
||||
<!--(Body)==========================================================-->
|
||||
|
||||
|
||||
<body>
|
||||
|
||||
|
||||
<h1>Pin List</h1>
|
||||
|
||||
<p class="whs1">The Pin List
|
||||
page lists each pin of your design with its pin type and associated signal.
|
||||
<!--kadov_tag{{<spaces>}}--> <!--kadov_tag{{</spaces>}}-->Check boxes
|
||||
at the top of the table allow you to select and deselect which pin types
|
||||
you want displayed in the table (the default view will display all of
|
||||
them).</p>
|
||||
|
||||
<p class="whs2"><span style="font-weight: bold;"><B>Note:</B></span>
|
||||
<!--kadov_tag{{<spaces>}}--> <!--kadov_tag{{</spaces>}}-->There is
|
||||
a <SCRIPT LANGUAGE="JavaScript"><!--
|
||||
if (navigator.appName=="Netscape")
|
||||
{ document.write("<img src='legend.gif' x-maintain-ratio='TRUE' width='68' height='28' border='0'>");}
|
||||
else
|
||||
{ document.write("<img src='legend.gif' x-maintain-ratio='TRUE' style='border: none; width: 68px; height: 28px; float: none;' width='68' height='28' border='0'>");}
|
||||
//--></SCRIPT> button below the table. <!--kadov_tag{{<spaces>}}--> <!--kadov_tag{{</spaces>}}-->Click
|
||||
this button to open a new window describing all of the acronyms used in
|
||||
the function block table. <!--kadov_tag{{<spaces>}}--> <!--kadov_tag{{</spaces>}}-->You
|
||||
can select either brief descriptions or more detailed descriptions by
|
||||
clicking the "Verbose" button at the top of the window. </p>
|
||||
|
||||
<span style="font-family: arial, sans-serif; font-size: 10pt;"><FONT SIZE=2 style="font-size:10pt;">Clicking
|
||||
on the underscored pin numbers in the first column of the table will open
|
||||
a new window displaying the pin layout diagram for the selected pin. <!--kadov_tag{{<spaces>}}--> <!--kadov_tag{{</spaces>}}-->Rolling
|
||||
your mouse over the colored pin will pop up a tooltip with the signal
|
||||
name assigned to the pin, the I/O standard, <!--kadov_tag{{<spaces>}}--> <!--kadov_tag{{</spaces>}}-->the
|
||||
I/O style, the slew rate, and/or any constraints assigned to the pin:
|
||||
</FONT></span>
|
||||
|
||||
<p class="whs3"><SCRIPT LANGUAGE="JavaScript"><!--
|
||||
if (navigator.appName=="Netscape")
|
||||
{ document.write("<img src='pin.gif' x-maintain-ratio='TRUE' width='309' height='312' border='0'>");}
|
||||
else
|
||||
{ document.write("<img src='pin.gif' x-maintain-ratio='TRUE' style='border: none; width: 309px; height: 312px; float: none;' width='309' height='312' border='0'>");}
|
||||
//--></SCRIPT></p>
|
||||
|
||||
<p class="whs4">Clicking any underscored signal in the
|
||||
third column of the table will open a new window displaying the equations
|
||||
for that particular signal.</p>
|
||||
|
||||
<p class="whs5">Clicking on the <SCRIPT LANGUAGE="JavaScript"><!--
|
||||
if (navigator.appName=="Netscape")
|
||||
{ document.write("<img src='view.gif' x-maintain-ratio='TRUE' width='61' height='53' border='0'>");}
|
||||
else
|
||||
{ document.write("<img src='view.gif' x-maintain-ratio='TRUE' style='border: none; width: 61px; height: 53px; float: none;' width='61' height='53' border='0'>");}
|
||||
//--></SCRIPT>button
|
||||
at the top of the screen will open a new window with a graphical, top
|
||||
view of all of the pins: <!--kadov_tag{{<spaces>}}--> <!--kadov_tag{{</spaces>}}--></p>
|
||||
|
||||
<p class="whs6"><SCRIPT LANGUAGE="JavaScript"><!--
|
||||
if (navigator.appName=="Netscape")
|
||||
{ document.write("<img src='pindiagram.gif' x-maintain-ratio='TRUE' width='312' height='318' border='0'>");}
|
||||
else
|
||||
{ document.write("<img src='pindiagram.gif' x-maintain-ratio='TRUE' style='border: none; width: 312px; height: 318px; float: none;' width='312' height='318' border='0'>");}
|
||||
//--></SCRIPT></p>
|
||||
|
||||
<p class="whs7">They are color-coded as follows:</p>
|
||||
|
||||
<!--(Table)=========================================================-->
|
||||
<table x-use-null-cells width="63.089%" cellspacing="0" class="whs8">
|
||||
<col class="whs9">
|
||||
<col class="whs10">
|
||||
|
||||
<tr valign=top>
|
||||
<td colspan="1" rowspan="1" width="43.569%" class="whs11">
|
||||
<p class="whs12">Color</td>
|
||||
<td colspan="1" rowspan="1" width="56.431%" class="whs13">
|
||||
<p class="whs14">Signal</td></tr>
|
||||
|
||||
<tr valign=top>
|
||||
<td width="43.569%" class="whs15">
|
||||
<p class="whs16">Green</td>
|
||||
<td width="56.431%" class="whs17">
|
||||
<p class="whs18">Input</td></tr>
|
||||
|
||||
<tr valign=top>
|
||||
<td width="43.569%" class="whs19">
|
||||
<p class="whs20">Aqua</td>
|
||||
<td width="56.431%" class="whs21">
|
||||
<p class="whs22">Bidirectional</td></tr>
|
||||
|
||||
<tr valign=top>
|
||||
<td width="43.569%" class="whs23">
|
||||
<p class="whs24">Blue</td>
|
||||
<td width="56.431%" class="whs25">
|
||||
<p class="whs26">Output</td></tr>
|
||||
|
||||
<tr valign=top>
|
||||
<td width="43.569%" class="whs27">
|
||||
<p class="whs28">Magenta</td>
|
||||
<td width="56.431%" class="whs29">
|
||||
<p class="whs30">Clock</td></tr>
|
||||
|
||||
<tr valign=top>
|
||||
<td width="43.569%" class="whs31">
|
||||
<p class="whs32">Red</td>
|
||||
<td width="56.431%" class="whs33">
|
||||
<p class="whs34">VCC</td></tr>
|
||||
|
||||
<tr valign=top>
|
||||
<td width="43.569%" class="whs35">
|
||||
<p class="whs36">Black</td>
|
||||
<td width="56.431%" class="whs37">
|
||||
<p class="whs38">GND</td></tr>
|
||||
|
||||
<tr valign=top>
|
||||
<td width="43.569%" class="whs39">
|
||||
<p class="whs40">Yellow</td>
|
||||
<td width="56.431%" class="whs41">
|
||||
<p class="whs42">TDO</td></tr>
|
||||
|
||||
<tr valign=top>
|
||||
<td width="43.569%" class="whs43">
|
||||
<p class="whs44">Gray</td>
|
||||
<td width="56.431%" class="whs45">
|
||||
<p class="whs46">TDI</td></tr>
|
||||
|
||||
<tr valign=top>
|
||||
<td width="43.569%" class="whs47">
|
||||
<p class="whs48">White</td>
|
||||
<td width="56.431%" class="whs49">
|
||||
<p class="whs50">Unused Pin</td></tr>
|
||||
|
||||
<tr valign=top>
|
||||
<td width="43.569%" class="whs51">
|
||||
<p class="whs52">Black Outline</td>
|
||||
<td width="56.431%" class="whs53">
|
||||
<p class="whs54">No available
|
||||
Pad</td></tr>
|
||||
</table>
|
||||
|
||||
<p class="whs55">As with the single pin display, rolling
|
||||
your mouse over any colored pin will pop up a tooltip with the signal
|
||||
name assigned to the pin, the I/O standard, <!--kadov_tag{{<spaces>}}--> <!--kadov_tag{{</spaces>}}-->the
|
||||
I/O style, the slew rate, and/or any constraints assigned to the pin.</p>
|
||||
|
||||
</body>
|
||||
|
||||
</html>
|
BIN
address_decoder_html/fit/pinview.jpg
Normal file
After Width: | Height: | Size: 1.8 KiB |
96
address_decoder_html/fit/plugin.js
Normal file
@ -0,0 +1,96 @@
|
||||
|
||||
function checkJre(){
|
||||
|
||||
var agt=navigator.userAgent.toLowerCase();
|
||||
var is_major = parseInt(navigator.appVersion);
|
||||
|
||||
|
||||
var is_nav = ((agt.indexOf('mozilla')!=-1) && (agt.indexOf('spoofer')== -1)
|
||||
&& (agt.indexOf('compatible') == -1) && (agt.indexOf('opera')== -1)
|
||||
&& (agt.indexOf('webtv')==-1) && (agt.indexOf('hotjava')== -1));
|
||||
var is_nav4up = (is_nav && (is_major >= 4));
|
||||
|
||||
var is_ie = ((agt.indexOf("msie") != -1) && (agt.indexOf("opera") == -1));
|
||||
var is_ie5 = (is_ie && (is_major == 4) && (agt.indexOf("msie 5.0")!= -1) );
|
||||
var is_ie5_5 = (is_ie && (is_major == 4) && (agt.indexOf("msie 5.5") != -1));
|
||||
var is_ie6 = (is_ie && (is_major == 4) && (agt.indexOf("msie 6.0") != -1));
|
||||
|
||||
var is_ie5up = (is_ie && (is_major == 4) && ( (agt.indexOf("msie 5.0")!=-1) || (agt.indexOf("msie 5.5")!=-1) || (agt.indexOf("msie 6.0")!=-1) ) );
|
||||
|
||||
var pluginDetected = false;
|
||||
var activeXDisabled = false;
|
||||
|
||||
// we can check for plugin existence only when browser is 'is_ie5up' or 'is_nav4up'
|
||||
if(is_nav4up) {
|
||||
|
||||
// Refresh 'navigator.mimeTypes' to get newly installed mimeTypes.
|
||||
// Use 'navigator.mimeTypes.refresh(false)' to refresh mimeTypes
|
||||
// without refreshing open documents (browser windows)
|
||||
|
||||
// check for Java plugin in installed mimeTypes
|
||||
if(navigator.mimeTypes ) {
|
||||
//window.alert( "length");
|
||||
//window.alert( navigator.mimeTypes.length);
|
||||
for (i=0; i < navigator.mimeTypes.length; i++) {
|
||||
//window.alert(navigator.mimeTypes[i].type);
|
||||
if( (navigator.mimeTypes[ i].type != null)
|
||||
&&(navigator.mimeTypes[ i].type.indexOf(
|
||||
"application/x-java-applet;jpi-version=1.4") != -1) ) {
|
||||
|
||||
pluginDetected = true;
|
||||
break;
|
||||
}
|
||||
|
||||
}
|
||||
}
|
||||
|
||||
} else if (is_ie5up) {
|
||||
var javaVersion;
|
||||
var shell;
|
||||
try {
|
||||
// Create WSH(WindowsScriptHost) shell, available on Windows only
|
||||
shell = new ActiveXObject("WScript.Shell");
|
||||
|
||||
if (shell != null) {
|
||||
// Read JRE version from Window Registry
|
||||
try {
|
||||
javaVersion = shell.regRead
|
||||
("HKEY_LOCAL_MACHINE\\Software\\JavaSoft\\Java Runtime Environment\\CurrentVersion");
|
||||
} catch(e) {
|
||||
// handle exceptions raised by 'shell.regRead(...)' here
|
||||
// so that the outer try-catch block would receive only
|
||||
// exceptions raised by 'shell = new ActiveXObject(...)'
|
||||
}
|
||||
}
|
||||
} catch(e) {
|
||||
window.alert(" Creating ActiveX controls thru script is disabled \n in InternetExplorer security options \n To enable it: \n a. Go to the 'Tools -->; Internet Options' menu\n b. Select the 'Security' tab\n c. Select zone (Internet/Intranet)\n d. Click the 'Custom Level..' button which will display the\n 'Security Settings' window.\n e. Enable the option 'Initialize and script ActiveX controls\n not marked as safe' ");
|
||||
|
||||
activeXDisabled = true;
|
||||
}
|
||||
|
||||
// Check whether we got required (1.4+) Java Plugin
|
||||
if ( (javaVersion != null) && (javaVersion.indexOf("1.4") != -1) ) {
|
||||
pluginDetected = true;
|
||||
}
|
||||
|
||||
}
|
||||
|
||||
|
||||
if (pluginDetected) {
|
||||
|
||||
// show applet page
|
||||
document.location.href="newappletref.htm";
|
||||
|
||||
} else if (confirm("Java Plugin 1.4+ not found, Do you want to download it?\n" +
|
||||
"if you choose not to install the plugin the reports graphical applets will not be available.")) {
|
||||
|
||||
// show install page
|
||||
document.location.href=XilinxD;
|
||||
|
||||
} else {
|
||||
// show error page
|
||||
document.location.href="newappletref.htm";
|
||||
}
|
||||
|
||||
}
|
||||
|
BIN
address_decoder_html/fit/prev.jpg
Normal file
After Width: | Height: | Size: 1.5 KiB |
BIN
address_decoder_html/fit/print.jpg
Normal file
After Width: | Height: | Size: 1.6 KiB |
BIN
address_decoder_html/fit/products.gif
Normal file
After Width: | Height: | Size: 828 B |
BIN
address_decoder_html/fit/purchase.gif
Normal file
After Width: | Height: | Size: 642 B |
27
address_decoder_html/fit/report.htm
Normal file
@ -0,0 +1,27 @@
|
||||
<html>
|
||||
<head>
|
||||
<script>
|
||||
function isNS4() {
|
||||
return ((navigator.appName.indexOf("Netscape") >= 0) && (parseFloat(navigator.appVersion) < 5) ) ? true : false;
|
||||
}
|
||||
function isNS7() {
|
||||
return ((navigator.appName.indexOf("Netscape") >= 0) && (parseFloat(navigator.appVersion) >= 5) ) ? true : false;
|
||||
}
|
||||
|
||||
function init(){
|
||||
if( isNS4() ){
|
||||
document.location.href="checkNS4.htm";
|
||||
}
|
||||
else if( isNS7() ){
|
||||
document.location.href="check.htm";
|
||||
}
|
||||
else{
|
||||
document.location.href="appletref.htm";
|
||||
}
|
||||
}
|
||||
</script
|
||||
</head>
|
||||
<body onload="javascript:init()" bgcolor="#ffffff" topmargin="0" leftmargin="0" marginheight="0" marginwidth="0">
|
||||
</body>
|
||||
|
||||
</html>
|
14
address_decoder_html/fit/result.htm
Normal file
@ -0,0 +1,14 @@
|
||||
<html>
|
||||
<head>
|
||||
<script>
|
||||
function init() {
|
||||
document.open();
|
||||
document.write(window.opener.document.options.htmlStr.value);
|
||||
document.write("<form><center><input type='button' value='close' onclick='window.close()'></center></form>");
|
||||
document.close();
|
||||
}
|
||||
</script>
|
||||
</head>
|
||||
<body onload="javascript:init()">
|
||||
</body>
|
||||
</html>
|
BIN
address_decoder_html/fit/search.gif
Normal file
After Width: | Height: | Size: 975 B |
BIN
address_decoder_html/fit/spacer.gif
Normal file
After Width: | Height: | Size: 233 B |
19
address_decoder_html/fit/style.css
Normal file
@ -0,0 +1,19 @@
|
||||
<!-- for TOC -->
|
||||
.tocRef A:link {font-family:arial black; font-size:14px;}
|
||||
.tocRef A:visited {font-family:arial black; font-size:14px;}
|
||||
.tocRef A:active {font-family:arial black; font-size:14px;}
|
||||
.tocRef A:hover {font-family:arial black; font-size:14px;}
|
||||
.tocBgnd {background:#CCCCCC;}
|
||||
|
||||
<!-- for page -->
|
||||
.pgRef A:link { }
|
||||
.pgRef A:visited { }
|
||||
.pgRef A:active { }
|
||||
.pgRef A:hover { }
|
||||
.pgHeader {background:#E7CF5A;}
|
||||
.pgBgnd {background:#FFFFFF;}
|
||||
|
||||
<!-- for Input ToolTips -->
|
||||
#tipBox {position: absolute; width: 150px; z-index: 100;border: 1pt black solid; background: white; visibility: hidden;}
|
||||
.tipBoxCursor {cursor:crosshair;}
|
||||
|
26
address_decoder_html/fit/summary.js
Normal file
@ -0,0 +1,26 @@
|
||||
function popWin(url, w, h) {
|
||||
var win = window.open(url, 'win',
|
||||
'toolbar=no,location=no,directories=no,status=no,menubar=no,scrollbars=yes,resizable=yes,width='+w+',height='+h);
|
||||
win.focus();
|
||||
}
|
||||
|
||||
function showTop() { parent.leftnav.showTop(); }
|
||||
|
||||
function showDoc(device) {
|
||||
var url = docURL;
|
||||
|
||||
if ((device.indexOf("XC2") != -1) && (device.indexOf("S") != -1))
|
||||
url = docCr2sURL;
|
||||
else if (device.indexOf("XC2") != -1) url = docXbrURL;
|
||||
else if (device.indexOf("XCR3") != -1) url = docXpla3URL;
|
||||
else if (device.indexOf("XV") != -1) url = doc95xvURL;
|
||||
else if (device.indexOf("XL") != -1) url = doc95xlURL;
|
||||
else url = doc95URL;
|
||||
|
||||
popWin(url);
|
||||
}
|
||||
|
||||
function priceDev(device) {
|
||||
var url = "http://toolbox.xilinx.com/cgi-bin/xilinx.storefront/1816638537/Catalog";
|
||||
popWin(url);
|
||||
}
|
102
address_decoder_html/fit/summarydoc.htm
Normal file
@ -0,0 +1,102 @@
|
||||
<!doctype HTML public "-//W3C//DTD HTML 4.0 Frameset//EN">
|
||||
|
||||
<html>
|
||||
|
||||
<!--(==============================================================)-->
|
||||
<!--(Document created with RoboEditor. )============================-->
|
||||
<!--(==============================================================)-->
|
||||
|
||||
<head>
|
||||
|
||||
<title>Summary</title>
|
||||
|
||||
<!--(Meta)==========================================================-->
|
||||
|
||||
<meta name=generator content="RoboHELP by eHelp Corporation - www.ehelp.com">
|
||||
<meta name=generator-major-version content=0.1>
|
||||
<meta name=generator-minor-version content=1>
|
||||
<meta name=filetype content=kadov>
|
||||
<meta name=filetype-version content=1>
|
||||
<meta name=page-count content=1>
|
||||
<meta name=layout-height content=509>
|
||||
<meta name=layout-width content=614>
|
||||
<meta name=date content="05 24, 2002 11:22:55 AM">
|
||||
|
||||
|
||||
|
||||
</head>
|
||||
|
||||
<!--(Body)==========================================================-->
|
||||
|
||||
|
||||
<body>
|
||||
|
||||
<h1>Summary</h1>
|
||||
|
||||
<p style="font-size: 10pt;"><span style="font-family: arial, sans-serif;">The
|
||||
Summary section of the WebFITTER XML report contains several tables summarizing
|
||||
the fitting results for your design.</span> </p>
|
||||
|
||||
<span style="font-family: arial, sans-serif; font-size: 10pt;">The general
|
||||
Summary table contains the following: </span>
|
||||
|
||||
<ul style="list-style: disc;"
|
||||
type=disc>
|
||||
|
||||
<li class=kadov-p
|
||||
class=kadov-p><span style="font-family: arial, sans-serif; font-size: 10pt;">The
|
||||
design name </span></li>
|
||||
|
||||
<li class=kadov-p
|
||||
class=kadov-p><span style="font-family: arial, sans-serif; font-size: 10pt;">The
|
||||
fitting status </span></li>
|
||||
|
||||
<li class=kadov-p
|
||||
class=kadov-p><span style="font-family: arial, sans-serif; font-size: 10pt;">The
|
||||
software version </span></li>
|
||||
|
||||
<li class=kadov-p
|
||||
class=kadov-p><span style="font-family: arial, sans-serif; font-size: 10pt;">The
|
||||
device used, with a link to a PDF version of the device documentation</span></li>
|
||||
|
||||
<li class=kadov-p
|
||||
class=kadov-p><span style="font-family: arial, sans-serif; font-size: 10pt;">The
|
||||
time and date of the fitter's completion</span></li>
|
||||
</ul>
|
||||
|
||||
<span style="font-family: arial, sans-serif; font-size: 10pt;">The Resources
|
||||
Summary table includes:</span><span style="font-size: 10pt;"> </span>
|
||||
|
||||
<ul style="list-style: disc;"
|
||||
type=disc>
|
||||
|
||||
<li class=kadov-p
|
||||
class=kadov-p><span style="font-family: arial, sans-serif; font-size: 10pt;">The
|
||||
number and percentage of macrocells used </span></li>
|
||||
|
||||
<li class=kadov-p
|
||||
class=kadov-p><span style="font-family: arial, sans-serif; font-size: 10pt;">The
|
||||
number and percentage of product terms used </span></li>
|
||||
|
||||
<li class=kadov-p
|
||||
class=kadov-p><span style="font-family: arial, sans-serif; font-size: 10pt;">The
|
||||
number and percentage of registers used</span></li>
|
||||
|
||||
<li class=kadov-p
|
||||
class=kadov-p><span style="font-family: arial, sans-serif; font-size: 10pt;">The
|
||||
number and percentage of pins used <!--kadov_tag{{<spaces>}}--> </span><!--kadov_tag{{</spaces>}}--></li>
|
||||
|
||||
<li class=kadov-p
|
||||
class=kadov-p><span style="font-family: arial, sans-serif; font-size: 10pt;">The
|
||||
number and percentage of function block inputs used</span></li>
|
||||
</ul>
|
||||
|
||||
<span style="font-family: arial, sans-serif; font-size: 10pt;">The tables
|
||||
that follow give more detailed summaries (when appropriate, depending
|
||||
on your design and device) of the pin resources, macrocell resources,
|
||||
global resources, and block resources utilized by the fitter, as well
|
||||
as the macrocell power data for the design.</span>
|
||||
|
||||
</body>
|
||||
|
||||
</html>
|
BIN
address_decoder_html/fit/support.gif
Normal file
After Width: | Height: | Size: 760 B |
143
address_decoder_html/fit/tooltips.js
Normal file
@ -0,0 +1,143 @@
|
||||
/* Your are permitted to reuse this code as long as the following copyright
|
||||
notice is not removed:
|
||||
|
||||
This HTML tip handling is copyright 1998 by insideDHTML.com, LLC. More information about this
|
||||
code can be found at Inside Dynamic HTML: HTTP://www.insideDHTML.com
|
||||
*/
|
||||
|
||||
|
||||
// Support for all collection
|
||||
var allSupport = document.all!=null;
|
||||
|
||||
function setupEventObject(e) {
|
||||
// Map NS event object to IEs
|
||||
if (e==null) return; // IE returns
|
||||
window.event = e;
|
||||
window.event.fromElement = e.target;
|
||||
window.event.toElement = e.target;
|
||||
window.event.srcElement = e.target;
|
||||
window.event.x = e.x;
|
||||
window.event.y = e.y;
|
||||
// Route the event to the original element
|
||||
// Necessary to make sure _tip is set.
|
||||
window.event.srcElement.handleEvent(e);
|
||||
}
|
||||
|
||||
function checkName(src) {
|
||||
// Look for tooltip in IE
|
||||
while ((src!=null) && (src._tip==null))
|
||||
src = src.parentElement;
|
||||
return src;
|
||||
}
|
||||
|
||||
function getElement(elName) {
|
||||
// Get an element from its ID
|
||||
if (allSupport) return document.all[elName];
|
||||
else return document.layers[elName];
|
||||
}
|
||||
|
||||
function writeContents(el, tip) {
|
||||
// Replace the contents of the tooltip
|
||||
if (allSupport)
|
||||
el.innerHTML = tip;
|
||||
else {
|
||||
// In NS, insert a table to work around
|
||||
// stylesheet rendering bug.
|
||||
// NS fails to apply style sheets when writing
|
||||
// contents into a positioned element.
|
||||
el.document.open();
|
||||
el.document.write("<TABLE WIDTH=200 BORDER=1 bordercolor=black><TR><TD WIDTH=100% BGCOLOR=yellow>");
|
||||
el.document.write(tip);
|
||||
el.document.write("</TD></TR></TABLE>");
|
||||
el.document.close();
|
||||
}
|
||||
}
|
||||
|
||||
function getOffset(el, which) {
|
||||
// Function for IE to calculate position
|
||||
// of an element.
|
||||
var amount = el["offset"+which];
|
||||
if (which=="Top") amount+=el.offsetHeight;
|
||||
el = el.offsetParent;
|
||||
while (el!=null) {
|
||||
amount+=el["offset"+which];
|
||||
el = el.offsetParent;
|
||||
}
|
||||
return amount;
|
||||
}
|
||||
|
||||
|
||||
function setPosition(el) {
|
||||
// Set the position of an element
|
||||
|
||||
src = window.event.srcElement
|
||||
if (allSupport) {
|
||||
el.style.pixelTop = getOffset(src, "Top");
|
||||
el.style.pixelLeft = getOffset(src, "Left");
|
||||
}
|
||||
else {
|
||||
el.top = src.y + 20; //window.event.y + 15
|
||||
el.left = src.x; //window.event.x
|
||||
}
|
||||
}
|
||||
|
||||
function setVisibility(el, bDisplay) {
|
||||
// Hide or show to tip
|
||||
if (bDisplay) {
|
||||
if (allSupport) el.style.visibility = "visible";
|
||||
else el.visibility = "show";
|
||||
}
|
||||
else {
|
||||
if (allSupport) el.style.visibility = "hidden";
|
||||
else el.visibility = "hidden";
|
||||
}
|
||||
}
|
||||
|
||||
|
||||
function displayContents(tip) {
|
||||
// Display the tooltip.
|
||||
var el = getElement("tipBox");
|
||||
writeContents(el, tip);
|
||||
setPosition(el);
|
||||
setVisibility(el, true);
|
||||
}
|
||||
|
||||
|
||||
function doMouseOver(e) {
|
||||
// Mouse moves over an element
|
||||
setupEventObject(e);
|
||||
var el, tip;
|
||||
if ((el = checkName(window.event.srcElement))!=null) {
|
||||
if (!el._display) {
|
||||
displayContents(el._tip);
|
||||
el._display = true;
|
||||
}
|
||||
}
|
||||
}
|
||||
|
||||
function doMouseOut(e) {
|
||||
// Mouse leaves an element
|
||||
setupEventObject(e);
|
||||
el = checkName(window.event.srcElement);
|
||||
var el, tip;
|
||||
if ((el = checkName(window.event.srcElement))!=null) {
|
||||
if (el._display) {
|
||||
if ((el.contains==null) || (!el.contains(window.event.toElement))) {
|
||||
setVisibility(getElement("tipBox"), false);
|
||||
el._display = false;
|
||||
}
|
||||
}
|
||||
}
|
||||
}
|
||||
|
||||
function doLoad() {
|
||||
// Do Loading
|
||||
if ((window.document.captureEvents==null) && (!allSupport))
|
||||
return; // Not IE4 or NS4
|
||||
if (window.document.captureEvents!=null) // NS - capture events
|
||||
window.document.captureEvents(Event.MOUSEOVER | Event.MOUSEOUT)
|
||||
window.document.onmouseover = doMouseOver;
|
||||
window.document.onmouseout = doMouseOut;
|
||||
}
|
||||
|
||||
window.onload = doLoad;
|
28
address_decoder_html/fit/topnav.js
Normal file
@ -0,0 +1,28 @@
|
||||
function popWin(url) {
|
||||
var win = window.open(url, 'win',
|
||||
'location=yes,directories=yes,menubar=yes,toolbar=yes,status=yes,scrollbars=yes,resizable=yes,width=800,height=600');
|
||||
win.focus();
|
||||
}
|
||||
|
||||
function openTab(type, device) {
|
||||
var url = rootURL;
|
||||
switch (type) {
|
||||
case 0: url = rootURL; break;
|
||||
case 1:
|
||||
if (device.indexOf('XC2') != -1) url += prodURL + xbrURL;
|
||||
else if (device.indexOf('XCR3') != -1) url += prodURL + xpla3URL;
|
||||
else if (device.indexOf('XV') != -1) url += prodURL + xc9500xvURL;
|
||||
else if (device.indexOf('XL') != -1) url += prodURL + xc9500xlURL;
|
||||
else url += prodURL + xc9500URL;
|
||||
break;
|
||||
case 2: url += marketURL; break;
|
||||
case 3: url = supportURL; break;
|
||||
case 4: url += educationURL; break;
|
||||
case 5: url = buyURL; break;
|
||||
case 6: url += contactURL; break;
|
||||
case 7: url += searchURL; break;
|
||||
default: url = rootURL;
|
||||
}
|
||||
|
||||
popWin(url);
|
||||
}
|
65
address_decoder_html/fit/unmapinputdoc.htm
Normal file
@ -0,0 +1,65 @@
|
||||
<!doctype HTML public "-//W3C//DTD HTML 4.0 Frameset//EN">
|
||||
|
||||
<html>
|
||||
|
||||
<!--(==============================================================)-->
|
||||
<!--(Document created with RoboEditor. )============================-->
|
||||
<!--(==============================================================)-->
|
||||
|
||||
<head>
|
||||
|
||||
<title>unmapinputdoc</title>
|
||||
|
||||
<!--(Meta)==========================================================-->
|
||||
|
||||
<meta name=generator content="RoboHELP by eHelp Corporation - www.ehelp.com">
|
||||
<meta name=generator-major-version content=0.1>
|
||||
<meta name=generator-minor-version content=1>
|
||||
<meta name=filetype content=kadov>
|
||||
<meta name=filetype-version content=1>
|
||||
<meta name=page-count content=1>
|
||||
<meta name=layout-height content=353>
|
||||
<meta name=layout-width content=615>
|
||||
<meta name=date content="11 26, 2002 2:10:18 PM">
|
||||
|
||||
|
||||
|
||||
<style>
|
||||
<!--
|
||||
p.whs1 {font-family: arial, sans-serif; font-size: 10pt;}
|
||||
|
||||
--></style><script language="javascript" title="WebHelpInlineScript">
|
||||
<!--
|
||||
function reDo() {
|
||||
if (innerWidth != origWidth || innerHeight != origHeight)
|
||||
location.reload();
|
||||
}
|
||||
if ((parseInt(navigator.appVersion) == 4) && (navigator.appName == "Netscape")) {
|
||||
origWidth = innerWidth;
|
||||
origHeight = innerHeight;
|
||||
onresize = reDo;
|
||||
}
|
||||
//-->
|
||||
</script><style>
|
||||
<!--
|
||||
div.WebHelpPopupMenu {position:absolute; left:0px; top:0px; z-index:4; visibility:hidden;}
|
||||
p.WebHelpNavBar {text-align:right;}
|
||||
-->
|
||||
</style>
|
||||
</head>
|
||||
|
||||
<!--(Body)==========================================================-->
|
||||
|
||||
|
||||
<body>
|
||||
|
||||
|
||||
<h1>Unmapped Inputs</h1>
|
||||
|
||||
<p class="whs1">This page shows
|
||||
input signals which were either not mapped or <span style="font-family: arial, sans-serif; font-size: 10pt;"><FONT SIZE=2 style="font-size:10pt;">not
|
||||
routed.</FONT></span></p>
|
||||
|
||||
</body>
|
||||
|
||||
</html>
|
68
address_decoder_html/fit/unmaplogicdoc.htm
Normal file
@ -0,0 +1,68 @@
|
||||
<!doctype HTML public "-//W3C//DTD HTML 4.0 Frameset//EN">
|
||||
|
||||
<html>
|
||||
|
||||
<!--(==============================================================)-->
|
||||
<!--(Document created with RoboEditor. )============================-->
|
||||
<!--(==============================================================)-->
|
||||
|
||||
<head>
|
||||
|
||||
<title>unmaplogicdoc</title>
|
||||
|
||||
<!--(Meta)==========================================================-->
|
||||
|
||||
<meta name=generator content="RoboHELP by eHelp Corporation - www.ehelp.com">
|
||||
<meta name=generator-major-version content=0.1>
|
||||
<meta name=generator-minor-version content=1>
|
||||
<meta name=filetype content=kadov>
|
||||
<meta name=filetype-version content=1>
|
||||
<meta name=page-count content=1>
|
||||
<meta name=layout-height content=353>
|
||||
<meta name=layout-width content=615>
|
||||
<meta name=date content="11 26, 2002 2:04:45 PM">
|
||||
|
||||
|
||||
|
||||
<style>
|
||||
<!--
|
||||
p.whs1 {font-size: 22pt; font-weight: bold;}
|
||||
p.whs2 {font-family: arial, sans-serif; font-size: 10pt; font-weight: normal;}
|
||||
|
||||
--></style><script language="javascript" title="WebHelpInlineScript">
|
||||
<!--
|
||||
function reDo() {
|
||||
if (innerWidth != origWidth || innerHeight != origHeight)
|
||||
location.reload();
|
||||
}
|
||||
if ((parseInt(navigator.appVersion) == 4) && (navigator.appName == "Netscape")) {
|
||||
origWidth = innerWidth;
|
||||
origHeight = innerHeight;
|
||||
onresize = reDo;
|
||||
}
|
||||
//-->
|
||||
</script><style>
|
||||
<!--
|
||||
div.WebHelpPopupMenu {position:absolute; left:0px; top:0px; z-index:4; visibility:hidden;}
|
||||
p.WebHelpNavBar {text-align:right;}
|
||||
-->
|
||||
</style>
|
||||
</head>
|
||||
|
||||
<!--(Body)==========================================================-->
|
||||
|
||||
|
||||
<body>
|
||||
|
||||
|
||||
<p class="whs1">Unmapped Logic</p>
|
||||
|
||||
<p class="whs2">This page shows those equations whose
|
||||
logic was either not placed or not <span style="font-family: arial, sans-serif;
|
||||
font-size: 10pt;
|
||||
font-weight: normal;"><FONT SIZE=2 style="font-size:10pt;">completely
|
||||
placed in the specified device.</FONT></span></p>
|
||||
|
||||
</body>
|
||||
|
||||
</html>
|
BIN
address_decoder_html/fit/verboseview.jpg
Normal file
After Width: | Height: | Size: 1.2 KiB |
BIN
address_decoder_html/fit/view.gif
Normal file
After Width: | Height: | Size: 2.8 KiB |
BIN
address_decoder_html/fit/xc9500_logo.gif
Normal file
After Width: | Height: | Size: 7.9 KiB |
BIN
address_decoder_html/fit/xc9500_logo.jpg
Normal file
After Width: | Height: | Size: 8.1 KiB |
BIN
address_decoder_html/fit/xc9500xl_logo.gif
Normal file
After Width: | Height: | Size: 9.1 KiB |
BIN
address_decoder_html/fit/xc9500xl_logo.jpg
Normal file
After Width: | Height: | Size: 9.7 KiB |
BIN
address_decoder_html/fit/xc9500xv_logo.jpg
Normal file
After Width: | Height: | Size: 9.1 KiB |
BIN
address_decoder_html/fit/xcenter.gif
Normal file
After Width: | Height: | Size: 868 B |
BIN
address_decoder_html/fit/xlogo.gif
Normal file
After Width: | Height: | Size: 3.3 KiB |
BIN
address_decoder_html/fit/xml5.jpg
Normal file
After Width: | Height: | Size: 115 KiB |
BIN
address_decoder_html/fit/xml6.jpg
Normal file
After Width: | Height: | Size: 87 KiB |
BIN
address_decoder_html/fit/xml7.jpg
Normal file
After Width: | Height: | Size: 71 KiB |
BIN
address_decoder_html/fit/xml8.jpg
Normal file
After Width: | Height: | Size: 41 KiB |
12
address_decoder_html/images/CVS/Entries
Normal file
@ -0,0 +1,12 @@
|
||||
/blackBar.jpg/1.3/Fri May 2 20:51:16 2003/-kb/Tbuild+G-38+0
|
||||
/cpldBanner.jpg/1.3/Fri May 2 20:51:16 2003/-kb/Tbuild+G-38+0
|
||||
/cr2s_logo.jpg/1.4/Fri May 2 20:51:16 2003/-kb/Tbuild+G-38+0
|
||||
/fitterRpt.jpg/1.3/Fri May 2 20:51:17 2003/-kb/Tbuild+G-38+0
|
||||
/spacer.jpg/1.2/Thu Mar 20 05:15:49 2003/-kb/Tbuild+G-38+0
|
||||
/timingRpt.jpg/1.3/Fri May 2 20:51:17 2003/-kb/Tbuild+G-38+0
|
||||
/xbr_logo.jpg/1.3/Fri May 2 20:51:17 2003/-kb/Tbuild+G-38+0
|
||||
/xc9500_logo.jpg/1.3/Fri Mar 28 22:16:03 2003/-kb/Tbuild+G-38+0
|
||||
/xc9500xl_logo.jpg/1.4/Fri May 2 20:51:17 2003/-kb/Tbuild+G-38+0
|
||||
/xc9500xv_logo.jpg/1.4/Fri May 2 20:51:17 2003/-kb/Tbuild+G-38+0
|
||||
/xpla3_logo.jpg/1.3/Fri May 2 20:51:17 2003/-kb/Tbuild+G-38+0
|
||||
D
|