1
0
mirror of https://github.com/sehugg/8bitworkshop.git synced 2024-07-04 12:29:31 +00:00
8bitworkshop/presets/verilog/hvsync_generator.v

60 lines
1.7 KiB
Coq
Raw Normal View History

`ifndef HVSYNC_GENERATOR_H
`define HVSYNC_GENERATOR_H
2017-11-11 19:45:32 +00:00
2018-02-03 20:20:56 +00:00
// constant declarations for TV-simulator sync parameters
2018-02-07 00:07:40 +00:00
// horizontal
2018-02-03 20:20:56 +00:00
localparam H_DISPLAY = 256; // horizontal display width
localparam H_BACK = 23; // horizontal left border (back porch)
localparam H_FRONT = 7; // horizontal right border (front porch)
localparam H_SYNC = 23; // horizontal sync width
localparam H_SYNC_START = H_DISPLAY + H_FRONT;
localparam H_SYNC_END = H_DISPLAY + H_FRONT + H_SYNC - 1;
localparam H_MAX = H_DISPLAY + H_BACK + H_FRONT + H_SYNC - 1;
2018-02-07 00:07:40 +00:00
// vertical
2018-02-03 20:20:56 +00:00
localparam V_DISPLAY = 240; // vertical display height
localparam V_TOP = 5; // vertical top border
localparam V_BOTTOM = 14; // vertical bottom border
localparam V_SYNC = 3; // vertical sync # lines
localparam V_SYNC_START = V_DISPLAY + V_BOTTOM;
localparam V_SYNC_END = V_DISPLAY + V_BOTTOM + V_SYNC - 1;
localparam V_MAX = V_DISPLAY + V_TOP + V_BOTTOM + V_SYNC - 1;
2018-02-07 00:07:40 +00:00
module hvsync_generator(clk, reset, hsync, vsync, display_on, hpos, vpos);
input clk;
input reset;
output hsync, vsync;
output display_on;
output [8:0] hpos;
output [8:0] vpos;
2017-11-11 19:45:32 +00:00
wire hmaxxed = (hpos == H_MAX) || reset;
wire vmaxxed = (vpos == V_MAX) || reset;
2018-02-09 16:59:52 +00:00
// horizontal position counter
always @(posedge clk)
2018-01-13 20:48:58 +00:00
begin
2018-02-09 16:59:52 +00:00
hsync <= (hpos>=H_SYNC_START && hpos<=H_SYNC_END);
if(hmaxxed)
hpos <= 0;
2017-11-11 19:45:32 +00:00
else
hpos <= hpos + 1;
2018-02-03 20:20:56 +00:00
end
2017-11-11 19:45:32 +00:00
2018-02-09 16:59:52 +00:00
// vertical position counter
2017-11-11 19:45:32 +00:00
always @(posedge clk)
2018-01-13 20:48:58 +00:00
begin
2018-02-09 16:59:52 +00:00
vsync <= (vpos>=V_SYNC_START && vpos<=V_SYNC_END);
if(hmaxxed)
2018-02-09 16:59:52 +00:00
if (vmaxxed)
vpos <= 0;
2018-02-09 16:59:52 +00:00
else
vpos <= vpos + 1;
2018-02-03 20:20:56 +00:00
end
2018-01-13 20:48:58 +00:00
2018-02-09 16:59:52 +00:00
assign display_on = (hpos<H_DISPLAY) && (vpos<V_DISPLAY);
2017-11-11 19:45:32 +00:00
endmodule
`endif