AtomBusMon/src
David Banks 34dacfb72e Reworked the 6809 single stepping to hide the fact that register writes are pipelined
Change-Id: I60d51d4584c44e36db37fb3953af818d83cf7958
2015-07-04 14:51:40 +01:00
..
AlanD Updated to use 65C02 core 2015-06-19 12:08:38 +01:00
AVR8 Single-stepping functionality complete 2015-06-07 11:19:33 +01:00
DCM Initial checkin of ICE-6809; version now 0.49 2015-07-02 15:35:05 +01:00
oho_dy1 Single-stepping functionality complete 2015-06-07 11:19:33 +01:00
SYS09 Initial checkin of ICE-6809; version now 0.49 2015-07-02 15:35:05 +01:00
T80 Working Z80 memory access and disassembler in the small GODIL, incremented version to 0.44 2015-06-28 19:42:25 +01:00
T6502 Updated to later T65 core; added read command; tidied up register display; update version to 0.24 2015-06-17 11:17:12 +01:00
AtomBusMon.bmm Implemented 6502 register access functionality with the embedded core 2015-06-16 11:57:32 +01:00
AtomBusMon.ucf Updated AtomBusMon pinout to match 6502, would allow piggy-backing in principle 2015-06-20 22:36:10 +01:00
AtomBusMon.vhd Added additional IO outputs to 6502 designs 2015-06-29 14:47:31 +01:00
AtomCpuMon.bmm In AtomCpuMon generated Phi1 and Phi2 so the are non-overlapping by 40ns 2015-06-14 22:24:46 +01:00
AtomCpuMon.ucf Added a jumper enabled fakeTube register at 0xFEE0 with value 0xFE to work around a beeb issue with pullups, incremented version to 0.28 2015-06-18 11:01:06 +01:00
AtomCpuMon.vhd Initial checkin of ICE-6809; version now 0.49 2015-07-02 15:35:05 +01:00
Blank.ucf Added Blank design and T65-based 6502 design 2015-06-14 17:57:26 +01:00
Blank.vhd Added Blank design and T65-based 6502 design 2015-06-14 17:57:26 +01:00
BusMonCore.vhd Initial checkin of ICE-6809; version now 0.49 2015-07-02 15:35:05 +01:00
MC6809ECpuMon.bmm Initial checkin of ICE-6809; version now 0.49 2015-07-02 15:35:05 +01:00
MC6809ECpuMon.ucf Initial checkin of ICE-6809; version now 0.49 2015-07-02 15:35:05 +01:00
MC6809ECpuMon.vhd Reworked the 6809 single stepping to hide the fact that register writes are pipelined 2015-07-04 14:51:40 +01:00
Z80CpuMon.bmm Initial checking of Z80 work; slight refactor of BusMonCore; version updated to 0.41 2015-06-27 11:07:58 +01:00
Z80CpuMon.ucf Increased Z80 drive to 4mA, as 2mA is barely sufficient to counter the 1K5 GODIL pullups 2015-07-04 14:49:59 +01:00
Z80CpuMon.vhd Implemented IO watches/breakpoints; fixed a bug with stepping through CB/DD/ED/FD prefixed opcodes which have 2 M1 cycles; version not 0.47 2015-06-30 14:19:19 +01:00