1
0
mirror of https://github.com/marqs85/ossc.git synced 2024-05-29 12:41:33 +00:00
ossc/tools
Russell Harmon c2b0687e7b Set fast output on HDMI_TX pins.
Also adjust timing constraits to reflect working state with line3x at
162 MHz.
2020-06-14 09:54:06 +00:00
..
bin2hex.c first OSD implementation 2019-10-03 02:03:43 +03:00
create_fw_img.c Release 0.67. 2016-03-27 23:09:31 +03:00
Makefile Set fast output on HDMI_TX pins. 2020-06-14 09:54:06 +00:00