verilog-apple-one/rtl/rom_basic.v

18 lines
300 B
Coq
Raw Normal View History

module rom_basic(
input clk,
2018-01-28 19:18:56 +00:00
input [11:0] address,
output reg [7:0] dout
);
parameter ROM_FILENAME = "../roms/basic.hex";
2018-01-28 19:18:56 +00:00
reg [11:0] rom[0:4095];
initial
$readmemh(ROM_FILENAME, rom, 0, 4095);
always @(posedge clk)
dout <= rom[address];
endmodule