mirror of
https://github.com/kr239/68030tk.git
synced 2024-06-08 03:29:27 +00:00
New file for PCB Rev 0.9d
This commit is contained in:
parent
b265297af5
commit
f3a861ba82
84
Layout and PCB/parts.txt
Normal file
84
Layout and PCB/parts.txt
Normal file
|
@ -0,0 +1,84 @@
|
||||||
|
Partlist
|
||||||
|
|
||||||
|
Exported from 68030-TK-V09d.brd at 16.07.2014 09:03:11
|
||||||
|
|
||||||
|
EAGLE Version 6.6.0 Copyright (c) 1988-2014 CadSoft
|
||||||
|
|
||||||
|
Assembly variant:
|
||||||
|
|
||||||
|
Part Value Package Library Position (mil) Orientation
|
||||||
|
|
||||||
|
C1 100nF C0603K rcl (1704 3823) R0
|
||||||
|
C2 100nF C0603K rcl (1108 199) R180
|
||||||
|
C3 100nF C0603K rcl (1503 1783) R0
|
||||||
|
C4 100nF C0603K rcl (1209 1281) R180
|
||||||
|
C5 100nF C0603K rcl (1873 2136) R90
|
||||||
|
C6 100nF C0603K rcl (1708 2239) R0
|
||||||
|
C7 15pF C0805K rcl (1187 3787) R0
|
||||||
|
C8 10µF C1210 rcl (432 1410) R270
|
||||||
|
C9 100nF C0603K rcl (374 3344) R0
|
||||||
|
C10 100nF C0603K rcl (1270 3416) R180
|
||||||
|
C11 100nF C0603K rcl (2148 3402) R0
|
||||||
|
C12 100nF C0603K rcl (2410 643) R270
|
||||||
|
C13 100nF C0603K rcl (2989 1250) R0
|
||||||
|
C14 100nF C0603K rcl (2934 1835) R180
|
||||||
|
C15 100nF C0603K rcl (1378 3114) R0
|
||||||
|
C16 100nF C0603K rcl (1732 3025) R0
|
||||||
|
C17 100nF C0603K rcl (2054 1798) R90
|
||||||
|
C18 10µF C1210 rcl (2251 3822) R270
|
||||||
|
C19 10µF C1210 rcl (2582 548) R90
|
||||||
|
C21 100nF C0603K rcl (2220 1278) R0
|
||||||
|
C22 100nF C0603K rcl (2404 160) R270
|
||||||
|
C23 100nF C0603K rcl (1140 678) R270
|
||||||
|
C24 100nF C0603K rcl (246 66) R0
|
||||||
|
C25 100nF C0603K rcl (246 678) R0
|
||||||
|
C26 100nF C0603K rcl (240 1530) R0
|
||||||
|
C27 100nF C0603K rcl (234 2124) R0
|
||||||
|
C28 100nF C0603K rcl (240 2718) R0
|
||||||
|
IC1 MPGA128 micro-mc68000 (1753 1982) R0
|
||||||
|
IC2 DIL64 micro-mc68000 (526 1639) R90
|
||||||
|
IC3 PLCC68 micro-mc68000 (1771 659) R0
|
||||||
|
IC4 74HCT245DW SO20W 74xx-eu (402 3018) R90
|
||||||
|
IC5 74HCT245DW SO20W 74xx-eu (2770 1533) R270
|
||||||
|
IC6 74HCT245DW SO20W 74xx-eu (2770 941) R270
|
||||||
|
IC7 TQFP100 amd-mach-Small-pad (1724 3418) R180
|
||||||
|
IC8 74HCT245DW SO20W 74xx-eu (402 2418) R90
|
||||||
|
IC9 74HCT245DW SO20W 74xx-eu (402 1830) R90
|
||||||
|
IC10 74HCT245DW SO20W 74xx-eu (408 972) R90
|
||||||
|
IC11 74HCT245DW SO20W 74xx-eu (414 360) R90
|
||||||
|
L1 R0805 rcl (234 3332) R0
|
||||||
|
QG1 DIL14S crystal (544 3636) R0
|
||||||
|
R1 10 R0805 rcl (1039 3787) R0
|
||||||
|
R2 4,7k R0603 rcl (2922 2070) R180
|
||||||
|
R3 4,7k R0603 rcl (3132 2430) R0
|
||||||
|
R4 4,7k R0603 rcl (2749 3524) R270
|
||||||
|
R5 4,7k R0603 rcl (2071 3770) R90
|
||||||
|
R6 4,7k R0603 rcl (2151 3770) R90
|
||||||
|
R7 10 R0603 rcl (2829 3524) R270
|
||||||
|
R8 4,7k R0603 rcl (1434 2930) R270
|
||||||
|
R9 4,7k R0603 rcl (3132 2544) R0
|
||||||
|
R10 R0603 rcl (1240 3103) R270
|
||||||
|
R11 R0603 rcl (1208 3221) R270
|
||||||
|
R12 4,7k R0603 rcl (2526 2082) R0
|
||||||
|
R13 4,7k R0603 rcl (3114 1302) R90
|
||||||
|
R14 R0603 rcl (2310 3372) R180
|
||||||
|
R15 4,7k R0603 rcl (2706 1974) R270
|
||||||
|
R16 R0603 rcl (1002 3516) R90
|
||||||
|
R17 4,7k R0603 rcl (3144 1482) R90
|
||||||
|
R18 4,7k R0603 rcl (606 1386) R90
|
||||||
|
R19 4,7k R0603 rcl (2834 2176) R90
|
||||||
|
R20 4,7k R0603 rcl (3066 1014) R270
|
||||||
|
R21 4,7k R0603 rcl (2526 2166) R0
|
||||||
|
R22 4,7k R0603 rcl (3114 1182) R90
|
||||||
|
R23 4,7k R0603 rcl (2904 1932) R0
|
||||||
|
R24 4,7k R0603 rcl (2526 1998) R0
|
||||||
|
RN1 4,7k EXBV8V resistor-dil (3120 2682) R90
|
||||||
|
RN2 4,7k EXBV8V resistor-dil (3120 2820) R90
|
||||||
|
RN3 4,7k EXBV8V resistor-dil (3120 2958) R90
|
||||||
|
RN4 4,7k EXBV8V resistor-dil (3120 3096) R90
|
||||||
|
RN5 4,7k EXBV8V resistor-dil (3120 3234) R90
|
||||||
|
RN6 4,7k EXBV8V resistor-dil (3120 3372) R90
|
||||||
|
RN7 4,7k EXBV8V resistor-dil (3120 3510) R90
|
||||||
|
RN8 4,7k EXBV8V resistor-dil (3258 3738) R0
|
||||||
|
SV1 ML10 con-harting-ml (2726 3763) R180
|
||||||
|
X1 FABC96R FABC96R con-vg (3550 2078) MR180
|
582
Logic/68030-68000-bus-lastworking.vhd
Normal file
582
Logic/68030-68000-bus-lastworking.vhd
Normal file
|
@ -0,0 +1,582 @@
|
||||||
|
-- Copyright: Matthias Heinrichs 2014
|
||||||
|
-- Free for non-comercial use
|
||||||
|
-- No warranty just for fun
|
||||||
|
-- If you want to earn money with this code, ask me first!
|
||||||
|
|
||||||
|
|
||||||
|
|
||||||
|
library ieee;
|
||||||
|
use ieee.std_logic_1164.all;
|
||||||
|
use ieee.std_logic_arith.all;
|
||||||
|
use ieee.std_logic_unsigned.all;
|
||||||
|
|
||||||
|
entity BUS68030 is
|
||||||
|
|
||||||
|
port(
|
||||||
|
AS_030: inout std_logic ;
|
||||||
|
AS_000: inout std_logic ;
|
||||||
|
RW_000: inout std_logic ;
|
||||||
|
DS_030: inout std_logic ;
|
||||||
|
UDS_000: inout std_logic;
|
||||||
|
LDS_000: inout std_logic;
|
||||||
|
SIZE: inout std_logic_vector ( 1 downto 0 );
|
||||||
|
A: in std_logic_vector ( 31 downto 16 );
|
||||||
|
A0: inout std_logic;
|
||||||
|
nEXP_SPACE: in std_logic ;
|
||||||
|
BERR: inout std_logic ;
|
||||||
|
BG_030: in std_logic ;
|
||||||
|
BG_000: out std_logic ;
|
||||||
|
BGACK_030: out std_logic ;
|
||||||
|
BGACK_000: in std_logic ;
|
||||||
|
CLK_030: in std_logic ;
|
||||||
|
CLK_000: in std_logic ;
|
||||||
|
CLK_OSZI: in std_logic ;
|
||||||
|
CLK_DIV_OUT: out std_logic ;
|
||||||
|
CLK_EXP: out std_logic ;
|
||||||
|
FPU_CS: out std_logic ;
|
||||||
|
IPL_030: out std_logic_vector ( 2 downto 0 );
|
||||||
|
IPL: in std_logic_vector ( 2 downto 0 );
|
||||||
|
DSACK1: inout std_logic;
|
||||||
|
DTACK: inout std_logic ;
|
||||||
|
AVEC: out std_logic ;
|
||||||
|
AVEC_EXP: inout std_logic ; --this is a "free pin"
|
||||||
|
E: out std_logic ;
|
||||||
|
VPA: in std_logic ;
|
||||||
|
VMA: out std_logic ;
|
||||||
|
RST: in std_logic ;
|
||||||
|
RESET: out std_logic ;
|
||||||
|
RW: inout std_logic ;
|
||||||
|
-- D: inout std_logic_vector ( 31 downto 28 );
|
||||||
|
FC: in std_logic_vector ( 1 downto 0 );
|
||||||
|
AMIGA_BUS_ENABLE: out std_logic ;
|
||||||
|
AMIGA_BUS_DATA_DIR: out std_logic ;
|
||||||
|
AMIGA_BUS_ENABLE_LOW: out std_logic;
|
||||||
|
CIIN: out std_logic
|
||||||
|
);
|
||||||
|
end BUS68030;
|
||||||
|
|
||||||
|
architecture Behavioral of BUS68030 is
|
||||||
|
|
||||||
|
|
||||||
|
subtype ESTATE is std_logic_vector(3 downto 0);
|
||||||
|
|
||||||
|
constant E1 : ESTATE := "0110";
|
||||||
|
constant E2 : ESTATE := "0111";
|
||||||
|
constant E3 : ESTATE := "0100";
|
||||||
|
constant E4 : ESTATE := "0101";
|
||||||
|
constant E5 : ESTATE := "0010";
|
||||||
|
constant E6 : ESTATE := "0011";
|
||||||
|
constant E7 : ESTATE := "1010";
|
||||||
|
constant E8 : ESTATE := "1011";
|
||||||
|
constant E9 : ESTATE := "1100";
|
||||||
|
constant E10 : ESTATE := "1111";
|
||||||
|
-- Illegal states
|
||||||
|
constant E20 : ESTATE := "0000";
|
||||||
|
constant E4a : ESTATE := "0001";
|
||||||
|
constant E21 : ESTATE := "1000";
|
||||||
|
constant E22 : ESTATE := "1001";
|
||||||
|
constant E23 : ESTATE := "1101";
|
||||||
|
constant E24 : ESTATE := "1110";
|
||||||
|
|
||||||
|
signal cpu_est : ESTATE;
|
||||||
|
|
||||||
|
subtype AMIGA_STATE is std_logic_vector(2 downto 0);
|
||||||
|
|
||||||
|
constant IDLE_P : AMIGA_STATE := "000";
|
||||||
|
constant IDLE_N : AMIGA_STATE := "001";
|
||||||
|
constant AS_SET_P : AMIGA_STATE := "010";
|
||||||
|
constant AS_SET_N : AMIGA_STATE := "011";
|
||||||
|
constant SAMPLE_DTACK_P: AMIGA_STATE := "100";
|
||||||
|
constant DATA_FETCH_N: AMIGA_STATE := "101";
|
||||||
|
constant DATA_FETCH_P : AMIGA_STATE := "110";
|
||||||
|
constant END_CYCLE_N : AMIGA_STATE := "111";
|
||||||
|
|
||||||
|
signal SM_AMIGA : AMIGA_STATE;
|
||||||
|
|
||||||
|
--signal Dout:STD_LOGIC_VECTOR(3 downto 0) := "0000";
|
||||||
|
signal AS_000_INT:STD_LOGIC := '1';
|
||||||
|
signal RW_000_INT:STD_LOGIC := '1';
|
||||||
|
signal AMIGA_BUS_ENABLE_INT:STD_LOGIC := '1';
|
||||||
|
signal AS_030_000_SYNC:STD_LOGIC := '1';
|
||||||
|
signal BGACK_030_INT:STD_LOGIC := '1';
|
||||||
|
signal BGACK_030_INT_D:STD_LOGIC := '1';
|
||||||
|
signal AS_000_DMA:STD_LOGIC := '1';
|
||||||
|
signal DS_000_DMA:STD_LOGIC := '1';
|
||||||
|
signal RW_000_DMA:STD_LOGIC := '1';
|
||||||
|
signal SIZE_DMA: STD_LOGIC_VECTOR ( 1 downto 0 ) := "11";
|
||||||
|
signal A0_DMA: STD_LOGIC := '1';
|
||||||
|
signal FPU_CS_INT:STD_LOGIC := '1';
|
||||||
|
signal VMA_INT: STD_LOGIC := '1';
|
||||||
|
signal VPA_D: STD_LOGIC := '1';
|
||||||
|
signal UDS_000_INT: STD_LOGIC := '1';
|
||||||
|
signal LDS_000_INT: STD_LOGIC := '1';
|
||||||
|
signal DS_000_ENABLE: STD_LOGIC := '0';
|
||||||
|
signal DSACK1_INT: STD_LOGIC := '1';
|
||||||
|
signal CLK_CNT_P: STD_LOGIC_VECTOR ( 1 downto 0 ) := "00";
|
||||||
|
signal CLK_CNT_N: STD_LOGIC_VECTOR ( 1 downto 0 ) := "00";
|
||||||
|
signal CLK_REF: STD_LOGIC_VECTOR ( 1 downto 0 ) := "10";
|
||||||
|
signal CLK_OUT_PRE_50: STD_LOGIC := '1';
|
||||||
|
signal CLK_OUT_PRE_50_D: STD_LOGIC := '1';
|
||||||
|
signal CLK_OUT_PRE_25: STD_LOGIC := '1';
|
||||||
|
signal CLK_OUT_PRE_33: STD_LOGIC := '1';
|
||||||
|
signal CLK_PRE_66:STD_LOGIC := '0';
|
||||||
|
signal CLK_OUT_PRE: STD_LOGIC := '1';
|
||||||
|
signal CLK_OUT_PRE_D: STD_LOGIC := '1';
|
||||||
|
signal CLK_OUT_NE: STD_LOGIC := '1';
|
||||||
|
signal CLK_OUT_INT: STD_LOGIC := '1';
|
||||||
|
signal CLK_030_H: STD_LOGIC := '1';
|
||||||
|
signal CLK_000_D0: STD_LOGIC := '1';
|
||||||
|
signal CLK_000_D1: STD_LOGIC := '1';
|
||||||
|
signal CLK_000_D2: STD_LOGIC := '1';
|
||||||
|
signal CLK_000_D3: STD_LOGIC := '1';
|
||||||
|
signal CLK_000_D4: STD_LOGIC := '1';
|
||||||
|
signal CLK_000_P_SYNC: STD_LOGIC_VECTOR ( 12 downto 0 ) := "0000000000000";
|
||||||
|
signal CLK_000_N_SYNC: STD_LOGIC_VECTOR ( 12 downto 0 ) := "0000000000000";
|
||||||
|
signal CLK_000_PE: STD_LOGIC := '0';
|
||||||
|
signal CLK_000_NE: STD_LOGIC := '0';
|
||||||
|
signal CLK_000_NE_D: STD_LOGIC := '0';
|
||||||
|
signal DTACK_D0: STD_LOGIC := '1';
|
||||||
|
begin
|
||||||
|
|
||||||
|
|
||||||
|
--the clocks
|
||||||
|
neg_clk: process(RST, CLK_OSZI)
|
||||||
|
begin
|
||||||
|
if(RST = '0' ) then
|
||||||
|
CLK_CNT_N <= "10";
|
||||||
|
elsif(falling_edge(CLK_OSZI)) then
|
||||||
|
if(CLK_CNT_N = "10") then
|
||||||
|
CLK_CNT_N <= "00";
|
||||||
|
else
|
||||||
|
CLK_CNT_N <= CLK_CNT_N+1;
|
||||||
|
end if;
|
||||||
|
end if;
|
||||||
|
end process neg_clk;
|
||||||
|
--the state machine
|
||||||
|
state_machine: process(RST, CLK_OSZI)
|
||||||
|
begin
|
||||||
|
if(RST = '0' ) then
|
||||||
|
CLK_CNT_P <= "00";
|
||||||
|
RESET <= '0';
|
||||||
|
CLK_OUT_PRE_50 <= '0';
|
||||||
|
CLK_OUT_PRE_50_D <= '0';
|
||||||
|
--CLK_OUT_PRE_33 <= '0';
|
||||||
|
CLK_OUT_PRE_25 <= '0';
|
||||||
|
CLK_OUT_PRE <= '0';
|
||||||
|
CLK_OUT_PRE_D <= '0';
|
||||||
|
CLK_OUT_NE <= '0';
|
||||||
|
CLK_OUT_INT <= '0';
|
||||||
|
cpu_est <= E20;
|
||||||
|
CLK_000_D0 <= '1';
|
||||||
|
CLK_000_D1 <= '1';
|
||||||
|
CLK_000_D2 <= '1';
|
||||||
|
CLK_000_D3 <= '1';
|
||||||
|
CLK_000_D4 <= '1';
|
||||||
|
VPA_D <= '1';
|
||||||
|
DTACK_D0 <= '1';
|
||||||
|
SM_AMIGA <= IDLE_P;
|
||||||
|
AS_000_INT <= '1';
|
||||||
|
RW_000_INT <= '1';
|
||||||
|
RW_000_DMA <= '1';
|
||||||
|
AS_030_000_SYNC <= '1';
|
||||||
|
UDS_000_INT <= '1';
|
||||||
|
LDS_000_INT <= '1';
|
||||||
|
DS_000_ENABLE <= '0';
|
||||||
|
CLK_REF <= "00";
|
||||||
|
VMA_INT <= '1';
|
||||||
|
FPU_CS_INT <= '1';
|
||||||
|
BG_000 <= '1';
|
||||||
|
BGACK_030_INT <= '1';
|
||||||
|
BGACK_030_INT_D <= '1';
|
||||||
|
DSACK1_INT <= '1';
|
||||||
|
IPL_030 <= "111";
|
||||||
|
CLK_000_P_SYNC <= "0000000000000";
|
||||||
|
CLK_000_N_SYNC <= "0000000000000";
|
||||||
|
CLK_000_PE <= '0';
|
||||||
|
CLK_000_NE <= '0';
|
||||||
|
CLK_000_NE_D <= '0';
|
||||||
|
AS_000_DMA <= '1';
|
||||||
|
DS_000_DMA <= '1';
|
||||||
|
SIZE_DMA <= "11";
|
||||||
|
A0_DMA <= '1';
|
||||||
|
AMIGA_BUS_ENABLE_INT <= '1';
|
||||||
|
elsif(rising_edge(CLK_OSZI)) then
|
||||||
|
--reset buffer
|
||||||
|
RESET <= '1';
|
||||||
|
|
||||||
|
--clk generation :
|
||||||
|
|
||||||
|
CLK_OUT_PRE_50 <= not CLK_OUT_PRE_50;
|
||||||
|
CLK_OUT_PRE_50_D<= CLK_OUT_PRE_50;
|
||||||
|
if(CLK_CNT_P = "10") then
|
||||||
|
CLK_CNT_P <= "00";
|
||||||
|
else
|
||||||
|
CLK_CNT_P <= CLK_CNT_P+1;
|
||||||
|
end if;
|
||||||
|
|
||||||
|
--if(CLK_CNT_P ="00" or CLK_CNT_N ="00")then --33MHz Clock
|
||||||
|
-- CLK_OUT_PRE_33 <= '0';
|
||||||
|
--else
|
||||||
|
-- CLK_OUT_PRE_33 <= '1';
|
||||||
|
--end if;
|
||||||
|
|
||||||
|
if(CLK_OUT_PRE_50='1' and CLK_OUT_PRE_50_D='0')then
|
||||||
|
CLK_OUT_PRE_25 <= not CLK_OUT_PRE_25;
|
||||||
|
end if;
|
||||||
|
|
||||||
|
--here the clock is selected
|
||||||
|
CLK_OUT_PRE <= CLK_OUT_PRE_25;
|
||||||
|
CLK_OUT_PRE_D <= CLK_OUT_PRE;
|
||||||
|
|
||||||
|
--a negative edge is comming next cycle
|
||||||
|
if(CLK_OUT_PRE_D='1' and CLK_OUT_PRE='0' )then
|
||||||
|
CLK_OUT_NE <= '1';
|
||||||
|
else
|
||||||
|
CLK_OUT_NE <= '0';
|
||||||
|
end if;
|
||||||
|
-- the external clock to the processor is generated here
|
||||||
|
CLK_OUT_INT <= CLK_OUT_PRE_D; --this way we know the clock of the next state: Its like looking in the future, cool!
|
||||||
|
--delayed Clocks and signals for edge detection
|
||||||
|
CLK_000_D0 <= CLK_000;
|
||||||
|
CLK_000_D1 <= CLK_000_D0;
|
||||||
|
CLK_000_D2 <= CLK_000_D1;
|
||||||
|
CLK_000_D3 <= CLK_000_D2;
|
||||||
|
CLK_000_D4 <= CLK_000_D3;
|
||||||
|
|
||||||
|
--shift registers for edge detection
|
||||||
|
CLK_000_P_SYNC( 12 downto 1 ) <= CLK_000_P_SYNC( 11 downto 0 );
|
||||||
|
CLK_000_P_SYNC(0) <= CLK_000_D0 AND NOT CLK_000_D1 AND NOT CLK_000_D2 AND NOT CLK_000_D3;
|
||||||
|
CLK_000_N_SYNC( 12 downto 1 ) <= CLK_000_N_SYNC( 11 downto 0 );
|
||||||
|
CLK_000_N_SYNC(0) <= NOT CLK_000_D0 AND CLK_000_D1 AND CLK_000_D2 AND CLK_000_D3;
|
||||||
|
|
||||||
|
-- values are determined empiracally for 7.09 MHz Clock
|
||||||
|
-- since the clock is not symmetrically these values differ!
|
||||||
|
CLK_000_PE <= CLK_000_P_SYNC(9);
|
||||||
|
CLK_000_NE <= CLK_000_N_SYNC(11);
|
||||||
|
CLK_000_NE_D <= CLK_000_NE;
|
||||||
|
DTACK_D0 <= DTACK;
|
||||||
|
VPA_D <= VPA;
|
||||||
|
|
||||||
|
--now: 68000 state machine and signals
|
||||||
|
|
||||||
|
-- e-clock
|
||||||
|
if(CLK_000_PE = '1') then
|
||||||
|
--if(CLK_000_D1 = '0' and CLK_000_D0 = '1') then
|
||||||
|
case (cpu_est) is
|
||||||
|
when E1 => cpu_est <= E2 ;
|
||||||
|
when E2 => cpu_est <= E3 ;
|
||||||
|
when E3 => cpu_est <= E4;
|
||||||
|
when E4 => cpu_est <= E5 ;
|
||||||
|
when E5 => cpu_est <= E6 ;
|
||||||
|
when E6 => cpu_est <= E7 ;
|
||||||
|
when E7 => cpu_est <= E8 ;
|
||||||
|
when E8 => cpu_est <= E9 ;
|
||||||
|
when E9 => cpu_est <= E10;
|
||||||
|
when E10 => cpu_est <= E1 ;
|
||||||
|
-- Illegal states
|
||||||
|
when E4a => cpu_est <= E5 ;
|
||||||
|
when E20 => cpu_est <= E10;
|
||||||
|
when E21 => cpu_est <= E10;
|
||||||
|
when E22 => cpu_est <= E9 ;
|
||||||
|
when E23 => cpu_est <= E9 ;
|
||||||
|
when E24 => cpu_est <= E10;
|
||||||
|
when others =>
|
||||||
|
null;
|
||||||
|
end case;
|
||||||
|
end if;
|
||||||
|
|
||||||
|
|
||||||
|
|
||||||
|
|
||||||
|
--bgack is simple: assert as soon as Amiga asserts but hold bg_ack for one amiga-clock
|
||||||
|
if(BGACK_000='0') then
|
||||||
|
BGACK_030_INT <= '0';
|
||||||
|
elsif ( BGACK_000='1'
|
||||||
|
AND CLK_000_PE='1'
|
||||||
|
--AND CLK_000_D1='0' and CLK_000_D0='1'
|
||||||
|
) then -- BGACK_000 is high here!
|
||||||
|
BGACK_030_INT <= '1'; --hold this signal high until 7m clock goes high
|
||||||
|
end if;
|
||||||
|
BGACK_030_INT_D <= BGACK_030_INT;
|
||||||
|
|
||||||
|
--bus grant only in idle state
|
||||||
|
if(BG_030= '1')then
|
||||||
|
BG_000 <= '1';
|
||||||
|
elsif( BG_030= '0' --AND (SM_AMIGA = IDLE_P)
|
||||||
|
and nEXP_SPACE = '1' and AS_030='1'
|
||||||
|
and CLK_000='1'
|
||||||
|
--and CLK_000_D0='1' AND CLK_000_D1='0'
|
||||||
|
) then --bus granted no local access and no AS_030 running!
|
||||||
|
BG_000 <= '0';
|
||||||
|
end if;
|
||||||
|
|
||||||
|
|
||||||
|
--interrupt buffering to avoid ghost interrupts
|
||||||
|
if(CLK_000_PE='1')then
|
||||||
|
--if(CLK_000_D1='0' and CLK_000_D0='1')then
|
||||||
|
IPL_030<=IPL;
|
||||||
|
end if;
|
||||||
|
|
||||||
|
-- as030-sampling and FPU-Select
|
||||||
|
|
||||||
|
|
||||||
|
if(AS_030 ='1' or BERR='0') then -- "async" reset of various signals
|
||||||
|
AS_030_000_SYNC <= '1';
|
||||||
|
FPU_CS_INT <= '1';
|
||||||
|
DSACK1_INT <= '1';
|
||||||
|
AS_000_INT <= '1';
|
||||||
|
DS_000_ENABLE <= '0';
|
||||||
|
elsif( --CLK_030 = '1' AND --68030 has a valid AS on high clocks
|
||||||
|
AS_030 = '0') then
|
||||||
|
if(FC(1)='1' and FC(0)='1' and A(19)='0' and A(18)='0' and A(17)='1' and A(16)='0' AND BGACK_000='1') then
|
||||||
|
FPU_CS_INT <= '0';
|
||||||
|
else
|
||||||
|
if( nEXP_SPACE ='1' and --not an expansion space cycle
|
||||||
|
SM_AMIGA = IDLE_P AND --last amiga cycle terminated
|
||||||
|
BGACK_030_INT = '1' --no dma -cycle
|
||||||
|
)then
|
||||||
|
AS_030_000_SYNC <= '0';
|
||||||
|
end if;
|
||||||
|
end if;
|
||||||
|
end if;
|
||||||
|
|
||||||
|
|
||||||
|
-- VMA generation
|
||||||
|
if(CLK_000_NE='1' AND VPA_D='0' AND cpu_est = E4)then --assert
|
||||||
|
VMA_INT <= '0';
|
||||||
|
elsif(CLK_000_PE='1' AND AS_000_INT='1' AND cpu_est=E1)then --deassert
|
||||||
|
VMA_INT <= '1';
|
||||||
|
end if;
|
||||||
|
|
||||||
|
--uds/lds precalculation
|
||||||
|
if (DS_030 = '0') then --DS: set udl/lds
|
||||||
|
if(A0='0') then
|
||||||
|
UDS_000_INT <= '0';
|
||||||
|
else
|
||||||
|
UDS_000_INT <= '1';
|
||||||
|
end if;
|
||||||
|
if((A0='1' OR SIZE(0)='0' OR SIZE(1)='1')) then
|
||||||
|
LDS_000_INT <= '0';
|
||||||
|
else
|
||||||
|
LDS_000_INT <= '1';
|
||||||
|
end if;
|
||||||
|
end if;
|
||||||
|
|
||||||
|
|
||||||
|
--Amiga statemachine
|
||||||
|
|
||||||
|
if(BERR='0')then --"async" reset on errors
|
||||||
|
SM_AMIGA<=IDLE_P;
|
||||||
|
end if;
|
||||||
|
|
||||||
|
case (SM_AMIGA) is
|
||||||
|
when IDLE_P => --68000:S0 wait for a falling edge
|
||||||
|
AMIGA_BUS_ENABLE_INT <= '1';
|
||||||
|
RW_000_INT <= '1';
|
||||||
|
if( CLK_000_D0='0' and CLK_000_D1= '1' and AS_030_000_SYNC = '0')then
|
||||||
|
if(nEXP_SPACE ='1')then -- if this a delayed expansion space detection, do not start an amiga cycle!
|
||||||
|
AMIGA_BUS_ENABLE_INT <= '0' ;--for now: allways on for amiga
|
||||||
|
SM_AMIGA<=IDLE_N; --go to s1
|
||||||
|
end if;
|
||||||
|
end if;
|
||||||
|
when IDLE_N => --68000:S1 place Adress on bus and wait for rising edge, on a rising CLK_000 look for a amiga adressrobe
|
||||||
|
if(CLK_000_PE='1')then --go to s2
|
||||||
|
--if(CLK_000_D0='1')then --go to s2
|
||||||
|
SM_AMIGA <= AS_SET_P; --as for amiga set!
|
||||||
|
AS_000_INT <= '0';
|
||||||
|
RW_000_INT <= RW;
|
||||||
|
if (RW='1' ) then --read: set udl/lds
|
||||||
|
DS_000_ENABLE <= '1';
|
||||||
|
end if;
|
||||||
|
end if;
|
||||||
|
when AS_SET_P => --68000:S2 Amiga cycle starts here: since AS is asserted during transition to this state we simply wait here
|
||||||
|
if(CLK_000_NE='1')then --go to s3
|
||||||
|
--if(CLK_000_D0='0')then --go to s3
|
||||||
|
SM_AMIGA<=AS_SET_N;
|
||||||
|
end if;
|
||||||
|
when AS_SET_N => --68000:S3: nothing happens here; on a transition to s4: assert uds/lds on write
|
||||||
|
|
||||||
|
if(CLK_000_PE='1')then --go to s4
|
||||||
|
--if(CLK_000_D0='1')then --go to s4
|
||||||
|
DS_000_ENABLE <= '1';--write: set udl/lds earlier than in the specs. this does not seem to harm anything and is saver, than sampling uds/lds too late
|
||||||
|
-- set DS-Enable without respect to rw: this simplifies the life for the syntesizer
|
||||||
|
SM_AMIGA <= SAMPLE_DTACK_P;
|
||||||
|
end if;
|
||||||
|
when SAMPLE_DTACK_P=> --68000:S4 wait for dtack or VMA
|
||||||
|
if( CLK_000_NE='1' and --falling edge
|
||||||
|
--if( CLK_000_D0 = '0' and CLK_000_D1='1' and --falling edge
|
||||||
|
((VPA = '1' AND DTACK='0') OR --DTACK end cycle
|
||||||
|
(VPA='0' AND cpu_est=E9 AND VMA_INT='0')) --VPA end cycle
|
||||||
|
)then --go to s5
|
||||||
|
SM_AMIGA<=DATA_FETCH_N;
|
||||||
|
end if;
|
||||||
|
when DATA_FETCH_N=> --68000:S5 nothing happens here just wait for positive clock
|
||||||
|
if(CLK_000_PE = '1')then --go to s6
|
||||||
|
--if(CLK_000_D0='1')then --go to s6
|
||||||
|
SM_AMIGA<=DATA_FETCH_P;
|
||||||
|
end if;
|
||||||
|
when DATA_FETCH_P => --68000:S6: READ: here comes the data on the bus!
|
||||||
|
if( CLK_000_N_SYNC(6)='1') then --go to s7 next 030-clock is not a falling edge: dsack is sampled at the falling edge
|
||||||
|
DSACK1_INT <='0';
|
||||||
|
end if;
|
||||||
|
--if( CLK_000_D3 ='1' AND CLK_000_D4 = '0' ) then --go to s7 next 030-clock is high: dsack is sampled at the falling edge
|
||||||
|
-- DSACK1_INT <='0';
|
||||||
|
--end if;
|
||||||
|
if( CLK_000_NE ='1') then --go to s7 next 030-clock is high: dsack is sampled at the falling edge
|
||||||
|
--if( CLK_000_D0 ='0') then --go to s7 next 030-clock is high: dsack is sampled at the falling edge
|
||||||
|
SM_AMIGA<=END_CYCLE_N;
|
||||||
|
if(AS_030 ='1') then
|
||||||
|
AMIGA_BUS_ENABLE_INT <= '1';
|
||||||
|
end if;
|
||||||
|
end if;
|
||||||
|
when END_CYCLE_N =>--68000:S7: Latch/Store data. Wait here for new cycle and go to IDLE on high clock
|
||||||
|
if(AS_030 ='1') then
|
||||||
|
AMIGA_BUS_ENABLE_INT <= '1';
|
||||||
|
end if;
|
||||||
|
|
||||||
|
if(CLK_000_PE='1')then --go to s0
|
||||||
|
--if(CLK_000_D0='1')then --go to s0
|
||||||
|
SM_AMIGA<=IDLE_P;
|
||||||
|
end if;
|
||||||
|
end case;
|
||||||
|
|
||||||
|
if(BGACK_030_INT='0')then
|
||||||
|
--switch amiga bus on for DMA-Cycles
|
||||||
|
AMIGA_BUS_ENABLE_INT <= '0' ;
|
||||||
|
elsif(BGACK_030_INT_D='0' and BGACK_030_INT='1')then
|
||||||
|
AMIGA_BUS_ENABLE_INT <= '1' ;
|
||||||
|
end if;
|
||||||
|
|
||||||
|
--dma stuff
|
||||||
|
--as can only be done if we know the uds/lds!
|
||||||
|
if(BGACK_030_INT='0' and AS_000='0' and (UDS_000='0' or LDS_000='0'))then
|
||||||
|
|
||||||
|
--set AS_000
|
||||||
|
if( CLK_030='1') then
|
||||||
|
AS_000_DMA <= '0'; --sampled on rising edges!
|
||||||
|
RW_000_DMA <= RW_000;
|
||||||
|
elsif(AS_000_DMA = '0' and CLK_030='0')then
|
||||||
|
CLK_030_H <= '1';
|
||||||
|
end if;
|
||||||
|
|
||||||
|
if(RW_000='1') then
|
||||||
|
DS_000_DMA <=AS_000_DMA;
|
||||||
|
elsif(RW_000='0' and CLK_030_H = '1' and CLK_030='1')then
|
||||||
|
DS_000_DMA <=AS_000_DMA; -- write: one clock delayed!
|
||||||
|
end if;
|
||||||
|
-- now determine the size: if both uds and lds is set its 16 bit else 8 bit!
|
||||||
|
if(UDS_000='0' and LDS_000='0') then
|
||||||
|
SIZE_DMA <= "10"; --16bit
|
||||||
|
else
|
||||||
|
SIZE_DMA <= "01"; --8 bit
|
||||||
|
end if;
|
||||||
|
|
||||||
|
--now calculate the offset:
|
||||||
|
--if uds is set low, a0 is so too.
|
||||||
|
--if only lds is set a1 is high
|
||||||
|
--therefore a1 = uds
|
||||||
|
--great! life is simple here!
|
||||||
|
A0_DMA <= UDS_000;
|
||||||
|
|
||||||
|
--A1 is set by the amiga side
|
||||||
|
else
|
||||||
|
AS_000_DMA <= '1';
|
||||||
|
DS_000_DMA <= '1';
|
||||||
|
SIZE_DMA <= "11";
|
||||||
|
A0_DMA <= '0';
|
||||||
|
RW_000_DMA <= '1';
|
||||||
|
CLK_030_H <= '0';
|
||||||
|
end if;
|
||||||
|
end if;
|
||||||
|
end process state_machine;
|
||||||
|
|
||||||
|
CLK_PRE_66 <= (not CLK_CNT_N(0) and CLK_CNT_P(0)) or
|
||||||
|
(CLK_CNT_N(1) and CLK_CNT_P(1));
|
||||||
|
|
||||||
|
process_33_clk:process(RST, CLK_PRE_66)
|
||||||
|
begin
|
||||||
|
if(RST = '0' ) then
|
||||||
|
CLK_OUT_PRE_33 <= '0';
|
||||||
|
elsif(rising_edge(CLK_PRE_66)) then
|
||||||
|
CLK_OUT_PRE_33 <= not CLK_OUT_PRE_33;
|
||||||
|
end if;
|
||||||
|
end process process_33_clk;
|
||||||
|
AMIGA_BUS_ENABLE_LOW <= CLK_OUT_PRE_33;
|
||||||
|
|
||||||
|
|
||||||
|
|
||||||
|
--output clock assignment
|
||||||
|
CLK_DIV_OUT <= CLK_OUT_INT;
|
||||||
|
CLK_EXP <= CLK_OUT_INT;
|
||||||
|
--CLK_DIV_OUT <= CLK_OUT_PRE_33;
|
||||||
|
--CLK_EXP <= CLK_OUT_PRE_33;
|
||||||
|
AVEC_EXP <= CLK_000_PE;
|
||||||
|
AMIGA_BUS_ENABLE <= AMIGA_BUS_ENABLE_INT;
|
||||||
|
--dma stuff
|
||||||
|
DTACK <= 'Z' when BGACK_030_INT ='1' OR nEXP_SPACE = '1' OR AS_000_DMA ='1' else
|
||||||
|
DSACK1;
|
||||||
|
AS_030 <= 'Z' when BGACK_030_INT ='1' OR nEXP_SPACE = '1' OR AS_000_DMA ='1' else
|
||||||
|
AS_000_DMA;
|
||||||
|
DS_030 <= 'Z' when BGACK_030_INT ='1' OR nEXP_SPACE = '1' OR AS_000_DMA ='1' else
|
||||||
|
DS_000_DMA;
|
||||||
|
A0 <= 'Z' when BGACK_030_INT ='1' OR nEXP_SPACE = '1' OR AS_000_DMA ='1' else
|
||||||
|
A0_DMA;
|
||||||
|
SIZE <= "ZZ" when BGACK_030_INT ='1' OR nEXP_SPACE = '1' OR AS_000_DMA ='1' else
|
||||||
|
SIZE_DMA;
|
||||||
|
|
||||||
|
--fpu
|
||||||
|
FPU_CS <= '0' when AS_030 ='0' and FC(1)='1' and FC(0)='1' and A(19)='0' and A(18)='0' and A(17)='1' and A(16)='0' AND BGACK_000='1'
|
||||||
|
else '1';
|
||||||
|
|
||||||
|
--if no copro is installed:
|
||||||
|
--BERR <= '0' when AS_030 ='0' and FC(1)='1' and FC(0)='1' and A(19)='0' and A(18)='0' and A(17)='1' and A(16)='0' AND BGACK_000='1'
|
||||||
|
-- else 'Z';
|
||||||
|
BERR <= 'Z';
|
||||||
|
|
||||||
|
|
||||||
|
|
||||||
|
--cache inhibit: For now: disable
|
||||||
|
CIIN <= '1' WHEN A(31 downto 20) = x"00F" and AS_030 ='0' ELSE
|
||||||
|
--'1' WHEN A(31 downto 20) = x"002" ELSE
|
||||||
|
--'1' WHEN A(31 downto 20) = x"004" ELSE
|
||||||
|
'Z' WHEN (not(A(31 downto 24) = x"00") and AS_030 ='0') OR nEXP_SPACE = '0' ELSE
|
||||||
|
'0';
|
||||||
|
|
||||||
|
--bus buffers
|
||||||
|
AMIGA_BUS_DATA_DIR <= '1' WHEN (RW='0' AND BGACK_030_INT ='1') ELSE --Amiga WRITE
|
||||||
|
'0' WHEN (RW='1' AND BGACK_030_INT ='1') ELSE --Amiga READ
|
||||||
|
'1' WHEN (RW='1' AND BGACK_030_INT ='0' AND nEXP_SPACE = '0' AND AS_000 = '0') ELSE --DMA READ to expansion space
|
||||||
|
'0' WHEN (RW='0' AND BGACK_030_INT ='0' AND nEXP_SPACE = '0' AND AS_000 = '0') ELSE --DMA WRITE to expansion space
|
||||||
|
'0'; --Point towarts TK
|
||||||
|
--AMIGA_BUS_ENABLE_LOW <= CLK_OUT_NE; --for now: allways off
|
||||||
|
|
||||||
|
--e and VMA
|
||||||
|
E <= cpu_est(3);
|
||||||
|
VMA <= VMA_INT;
|
||||||
|
|
||||||
|
|
||||||
|
--AVEC
|
||||||
|
AVEC <= '1';
|
||||||
|
|
||||||
|
--as and uds/lds
|
||||||
|
AS_000 <= 'Z' when BGACK_030_INT ='0' else
|
||||||
|
AS_000_INT;
|
||||||
|
RW_000 <= 'Z' when BGACK_030_INT ='0' else
|
||||||
|
RW_000_INT;
|
||||||
|
|
||||||
|
UDS_000 <= 'Z' when BGACK_030_INT ='0' else -- output on cpu cycle
|
||||||
|
'1' when DS_000_ENABLE ='0' else -- datastrobe not ready jet
|
||||||
|
UDS_000_INT;
|
||||||
|
LDS_000 <= 'Z' when BGACK_030_INT ='0' else -- output on cpu cycle
|
||||||
|
'1' when DS_000_ENABLE ='0' else -- datastrobe not ready jet
|
||||||
|
LDS_000_INT;
|
||||||
|
|
||||||
|
--dsack
|
||||||
|
DSACK1 <= 'Z' when nEXP_SPACE = '0' else -- output on amiga cycle
|
||||||
|
DSACK1_INT;
|
||||||
|
--rw
|
||||||
|
RW <= 'Z' when BGACK_030_INT ='1' else
|
||||||
|
RW_000_DMA;
|
||||||
|
|
||||||
|
BGACK_030 <= BGACK_030_INT;
|
||||||
|
end Behavioral;
|
|
@ -34,12 +34,12 @@ port(
|
||||||
CLK_DIV_OUT: out std_logic ;
|
CLK_DIV_OUT: out std_logic ;
|
||||||
CLK_EXP: out std_logic ;
|
CLK_EXP: out std_logic ;
|
||||||
FPU_CS: out std_logic ;
|
FPU_CS: out std_logic ;
|
||||||
|
FPU_SENSE: in std_logic ;
|
||||||
IPL_030: out std_logic_vector ( 2 downto 0 );
|
IPL_030: out std_logic_vector ( 2 downto 0 );
|
||||||
IPL: in std_logic_vector ( 2 downto 0 );
|
IPL: in std_logic_vector ( 2 downto 0 );
|
||||||
DSACK1: inout std_logic;
|
DSACK1: inout std_logic;
|
||||||
DTACK: inout std_logic ;
|
DTACK: inout std_logic ;
|
||||||
AVEC: out std_logic ;
|
AVEC: out std_logic ;
|
||||||
AVEC_EXP: inout std_logic ; --this is a "free pin"
|
|
||||||
E: out std_logic ;
|
E: out std_logic ;
|
||||||
VPA: in std_logic ;
|
VPA: in std_logic ;
|
||||||
VMA: out std_logic ;
|
VMA: out std_logic ;
|
||||||
|
@ -48,9 +48,10 @@ port(
|
||||||
RW: inout std_logic ;
|
RW: inout std_logic ;
|
||||||
-- D: inout std_logic_vector ( 31 downto 28 );
|
-- D: inout std_logic_vector ( 31 downto 28 );
|
||||||
FC: in std_logic_vector ( 1 downto 0 );
|
FC: in std_logic_vector ( 1 downto 0 );
|
||||||
AMIGA_BUS_ENABLE: out std_logic ;
|
AMIGA_ADDR_ENABLE: out std_logic ;
|
||||||
AMIGA_BUS_DATA_DIR: out std_logic ;
|
AMIGA_BUS_DATA_DIR: out std_logic ;
|
||||||
AMIGA_BUS_ENABLE_LOW: out std_logic;
|
AMIGA_BUS_ENABLE_LOW: out std_logic;
|
||||||
|
AMIGA_BUS_ENABLE_HIGH: out std_logic;
|
||||||
CIIN: out std_logic
|
CIIN: out std_logic
|
||||||
);
|
);
|
||||||
end BUS68030;
|
end BUS68030;
|
||||||
|
@ -97,6 +98,8 @@ signal SM_AMIGA : AMIGA_STATE;
|
||||||
signal AS_000_INT:STD_LOGIC := '1';
|
signal AS_000_INT:STD_LOGIC := '1';
|
||||||
signal RW_000_INT:STD_LOGIC := '1';
|
signal RW_000_INT:STD_LOGIC := '1';
|
||||||
signal AMIGA_BUS_ENABLE_INT:STD_LOGIC := '1';
|
signal AMIGA_BUS_ENABLE_INT:STD_LOGIC := '1';
|
||||||
|
signal AS_030_D0:STD_LOGIC := '1';
|
||||||
|
signal DS_030_D0:STD_LOGIC := '1';
|
||||||
signal AS_030_000_SYNC:STD_LOGIC := '1';
|
signal AS_030_000_SYNC:STD_LOGIC := '1';
|
||||||
signal BGACK_030_INT:STD_LOGIC := '1';
|
signal BGACK_030_INT:STD_LOGIC := '1';
|
||||||
signal BGACK_030_INT_D:STD_LOGIC := '1';
|
signal BGACK_030_INT_D:STD_LOGIC := '1';
|
||||||
|
@ -105,7 +108,6 @@ signal DS_000_DMA:STD_LOGIC := '1';
|
||||||
signal RW_000_DMA:STD_LOGIC := '1';
|
signal RW_000_DMA:STD_LOGIC := '1';
|
||||||
signal SIZE_DMA: STD_LOGIC_VECTOR ( 1 downto 0 ) := "11";
|
signal SIZE_DMA: STD_LOGIC_VECTOR ( 1 downto 0 ) := "11";
|
||||||
signal A0_DMA: STD_LOGIC := '1';
|
signal A0_DMA: STD_LOGIC := '1';
|
||||||
signal FPU_CS_INT:STD_LOGIC := '1';
|
|
||||||
signal VMA_INT: STD_LOGIC := '1';
|
signal VMA_INT: STD_LOGIC := '1';
|
||||||
signal VPA_D: STD_LOGIC := '1';
|
signal VPA_D: STD_LOGIC := '1';
|
||||||
signal UDS_000_INT: STD_LOGIC := '1';
|
signal UDS_000_INT: STD_LOGIC := '1';
|
||||||
|
@ -134,8 +136,9 @@ signal CLK_000_P_SYNC: STD_LOGIC_VECTOR ( 12 downto 0 ) := "0000000000000";
|
||||||
signal CLK_000_N_SYNC: STD_LOGIC_VECTOR ( 12 downto 0 ) := "0000000000000";
|
signal CLK_000_N_SYNC: STD_LOGIC_VECTOR ( 12 downto 0 ) := "0000000000000";
|
||||||
signal CLK_000_PE: STD_LOGIC := '0';
|
signal CLK_000_PE: STD_LOGIC := '0';
|
||||||
signal CLK_000_NE: STD_LOGIC := '0';
|
signal CLK_000_NE: STD_LOGIC := '0';
|
||||||
signal CLK_000_NE_D: STD_LOGIC := '0';
|
signal CLK_000_E_ADVANCE: STD_LOGIC := '0';
|
||||||
signal DTACK_D0: STD_LOGIC := '1';
|
signal DTACK_D0: STD_LOGIC := '1';
|
||||||
|
|
||||||
begin
|
begin
|
||||||
|
|
||||||
|
|
||||||
|
@ -184,7 +187,6 @@ begin
|
||||||
DS_000_ENABLE <= '0';
|
DS_000_ENABLE <= '0';
|
||||||
CLK_REF <= "00";
|
CLK_REF <= "00";
|
||||||
VMA_INT <= '1';
|
VMA_INT <= '1';
|
||||||
FPU_CS_INT <= '1';
|
|
||||||
BG_000 <= '1';
|
BG_000 <= '1';
|
||||||
BGACK_030_INT <= '1';
|
BGACK_030_INT <= '1';
|
||||||
BGACK_030_INT_D <= '1';
|
BGACK_030_INT_D <= '1';
|
||||||
|
@ -194,12 +196,14 @@ begin
|
||||||
CLK_000_N_SYNC <= "0000000000000";
|
CLK_000_N_SYNC <= "0000000000000";
|
||||||
CLK_000_PE <= '0';
|
CLK_000_PE <= '0';
|
||||||
CLK_000_NE <= '0';
|
CLK_000_NE <= '0';
|
||||||
CLK_000_NE_D <= '0';
|
CLK_000_E_ADVANCE <= '0';
|
||||||
AS_000_DMA <= '1';
|
AS_000_DMA <= '1';
|
||||||
DS_000_DMA <= '1';
|
DS_000_DMA <= '1';
|
||||||
SIZE_DMA <= "11";
|
SIZE_DMA <= "11";
|
||||||
A0_DMA <= '1';
|
A0_DMA <= '1';
|
||||||
AMIGA_BUS_ENABLE_INT <= '1';
|
AMIGA_BUS_ENABLE_INT <= '1';
|
||||||
|
AS_030_D0 <= '1';
|
||||||
|
DS_030_D0 <= '1';
|
||||||
elsif(rising_edge(CLK_OSZI)) then
|
elsif(rising_edge(CLK_OSZI)) then
|
||||||
--reset buffer
|
--reset buffer
|
||||||
RESET <= '1';
|
RESET <= '1';
|
||||||
|
@ -245,23 +249,23 @@ begin
|
||||||
|
|
||||||
--shift registers for edge detection
|
--shift registers for edge detection
|
||||||
CLK_000_P_SYNC( 12 downto 1 ) <= CLK_000_P_SYNC( 11 downto 0 );
|
CLK_000_P_SYNC( 12 downto 1 ) <= CLK_000_P_SYNC( 11 downto 0 );
|
||||||
CLK_000_P_SYNC(0) <= CLK_000_D0 AND NOT CLK_000_D1 AND NOT CLK_000_D2 AND NOT CLK_000_D3;
|
CLK_000_P_SYNC(0) <= CLK_000_D0 AND NOT CLK_000_D1;
|
||||||
CLK_000_N_SYNC( 12 downto 1 ) <= CLK_000_N_SYNC( 11 downto 0 );
|
CLK_000_N_SYNC( 12 downto 1 ) <= CLK_000_N_SYNC( 11 downto 0 );
|
||||||
CLK_000_N_SYNC(0) <= NOT CLK_000_D0 AND CLK_000_D1 AND CLK_000_D2 AND CLK_000_D3;
|
CLK_000_N_SYNC(0) <= NOT CLK_000_D0 AND CLK_000_D1;
|
||||||
|
|
||||||
-- values are determined empiracally for 7.09 MHz Clock
|
-- values are determined empiracally for 7.09 MHz Clock
|
||||||
-- since the clock is not symmetrically these values differ!
|
-- since the clock is not symmetrically these values differ!
|
||||||
CLK_000_PE <= CLK_000_P_SYNC(9);
|
CLK_000_PE <= CLK_000_P_SYNC(9);
|
||||||
CLK_000_NE <= CLK_000_N_SYNC(11);
|
CLK_000_NE <= CLK_000_N_SYNC(11);
|
||||||
CLK_000_NE_D <= CLK_000_NE;
|
CLK_000_E_ADVANCE <= CLK_000_NE;
|
||||||
DTACK_D0 <= DTACK;
|
DTACK_D0 <= DTACK;
|
||||||
VPA_D <= VPA;
|
VPA_D <= VPA;
|
||||||
|
|
||||||
--now: 68000 state machine and signals
|
--now: 68000 state machine and signals
|
||||||
|
|
||||||
-- e-clock
|
-- e-clock is changed on the FALLING edge!
|
||||||
if(CLK_000_PE = '1') then
|
|
||||||
--if(CLK_000_D1 = '0' and CLK_000_D0 = '1') then
|
if(CLK_000_E_ADVANCE = '1' ) then
|
||||||
case (cpu_est) is
|
case (cpu_est) is
|
||||||
when E1 => cpu_est <= E2 ;
|
when E1 => cpu_est <= E2 ;
|
||||||
when E2 => cpu_est <= E3 ;
|
when E2 => cpu_est <= E3 ;
|
||||||
|
@ -285,7 +289,8 @@ begin
|
||||||
end case;
|
end case;
|
||||||
end if;
|
end if;
|
||||||
|
|
||||||
|
AS_030_D0 <= AS_030;
|
||||||
|
DS_030_D0 <= DS_030;
|
||||||
|
|
||||||
|
|
||||||
--bgack is simple: assert as soon as Amiga asserts but hold bg_ack for one amiga-clock
|
--bgack is simple: assert as soon as Amiga asserts but hold bg_ack for one amiga-clock
|
||||||
|
@ -299,12 +304,14 @@ begin
|
||||||
end if;
|
end if;
|
||||||
BGACK_030_INT_D <= BGACK_030_INT;
|
BGACK_030_INT_D <= BGACK_030_INT;
|
||||||
|
|
||||||
|
|
||||||
|
|
||||||
--bus grant only in idle state
|
--bus grant only in idle state
|
||||||
if(BG_030= '1')then
|
if(BG_030= '1')then
|
||||||
BG_000 <= '1';
|
BG_000 <= '1';
|
||||||
elsif( BG_030= '0' --AND (SM_AMIGA = IDLE_P)
|
elsif( BG_030= '0' --AND (SM_AMIGA = IDLE_P)
|
||||||
and nEXP_SPACE = '1' and AS_030='1'
|
and nEXP_SPACE = '1' and AS_030_D0='1'
|
||||||
and CLK_000='1'
|
and CLK_000_D0='1'
|
||||||
--and CLK_000_D0='1' AND CLK_000_D1='0'
|
--and CLK_000_D0='1' AND CLK_000_D1='0'
|
||||||
) then --bus granted no local access and no AS_030 running!
|
) then --bus granted no local access and no AS_030 running!
|
||||||
BG_000 <= '0';
|
BG_000 <= '0';
|
||||||
|
@ -312,7 +319,7 @@ begin
|
||||||
|
|
||||||
|
|
||||||
--interrupt buffering to avoid ghost interrupts
|
--interrupt buffering to avoid ghost interrupts
|
||||||
if(CLK_000_PE='1')then
|
if(CLK_000_NE='1')then
|
||||||
--if(CLK_000_D1='0' and CLK_000_D0='1')then
|
--if(CLK_000_D1='0' and CLK_000_D0='1')then
|
||||||
IPL_030<=IPL;
|
IPL_030<=IPL;
|
||||||
end if;
|
end if;
|
||||||
|
@ -320,36 +327,32 @@ begin
|
||||||
-- as030-sampling and FPU-Select
|
-- as030-sampling and FPU-Select
|
||||||
|
|
||||||
|
|
||||||
if(AS_030 ='1' or BERR='0') then -- "async" reset of various signals
|
if(AS_030_D0 ='1' or BERR='0') then -- "async" reset of various signals
|
||||||
AS_030_000_SYNC <= '1';
|
AS_030_000_SYNC <= '1';
|
||||||
FPU_CS_INT <= '1';
|
|
||||||
DSACK1_INT <= '1';
|
DSACK1_INT <= '1';
|
||||||
AS_000_INT <= '1';
|
AS_000_INT <= '1';
|
||||||
DS_000_ENABLE <= '0';
|
DS_000_ENABLE <= '0';
|
||||||
|
AMIGA_BUS_ENABLE_INT <= '1';
|
||||||
elsif( --CLK_030 = '1' AND --68030 has a valid AS on high clocks
|
elsif( --CLK_030 = '1' AND --68030 has a valid AS on high clocks
|
||||||
AS_030 = '0') then
|
AS_030_D0 = '0' AND --as set
|
||||||
if(FC(1)='1' and FC(0)='1' and A(19)='0' and A(18)='0' and A(17)='1' and A(16)='0' AND BGACK_000='1') then
|
BGACK_000='1' AND --no dma -cycle
|
||||||
FPU_CS_INT <= '0';
|
NOT (FC(1)='1' and FC(0)='1' and A(19)='0' and A(18)='0' and A(17)='1' and A(16)='0') AND --FPU-Select
|
||||||
else
|
nEXP_SPACE ='1' and --not an expansion space cycle
|
||||||
if( nEXP_SPACE ='1' and --not an expansion space cycle
|
SM_AMIGA = IDLE_P --last amiga cycle terminated
|
||||||
SM_AMIGA = IDLE_P AND --last amiga cycle terminated
|
) then
|
||||||
BGACK_030_INT = '1' --no dma -cycle
|
AS_030_000_SYNC <= '0';
|
||||||
)then
|
|
||||||
AS_030_000_SYNC <= '0';
|
|
||||||
end if;
|
|
||||||
end if;
|
|
||||||
end if;
|
end if;
|
||||||
|
|
||||||
|
|
||||||
-- VMA generation
|
-- VMA generation
|
||||||
if(CLK_000_NE='1' AND VPA_D='0' AND cpu_est = E4)then --assert
|
if(CLK_000_NE='1' AND VPA_D='0' AND cpu_est = E4)then --assert
|
||||||
VMA_INT <= '0';
|
VMA_INT <= '0';
|
||||||
elsif(CLK_000_PE='1' AND AS_000_INT='1' AND cpu_est=E1)then --deassert
|
--elsif(CLK_000_PE='1' AND AS_000_INT='1' AND cpu_est=E1)then --deassert
|
||||||
VMA_INT <= '1';
|
|
||||||
end if;
|
end if;
|
||||||
|
|
||||||
--uds/lds precalculation
|
--uds/lds precalculation
|
||||||
if (DS_030 = '0') then --DS: set udl/lds
|
if (DS_030_D0 = '0') then --DS: set udl/lds
|
||||||
if(A0='0') then
|
if(A0='0') then
|
||||||
UDS_000_INT <= '0';
|
UDS_000_INT <= '0';
|
||||||
else
|
else
|
||||||
|
@ -371,8 +374,7 @@ begin
|
||||||
|
|
||||||
case (SM_AMIGA) is
|
case (SM_AMIGA) is
|
||||||
when IDLE_P => --68000:S0 wait for a falling edge
|
when IDLE_P => --68000:S0 wait for a falling edge
|
||||||
AMIGA_BUS_ENABLE_INT <= '1';
|
|
||||||
RW_000_INT <= '1';
|
|
||||||
if( CLK_000_D0='0' and CLK_000_D1= '1' and AS_030_000_SYNC = '0')then
|
if( CLK_000_D0='0' and CLK_000_D1= '1' and AS_030_000_SYNC = '0')then
|
||||||
if(nEXP_SPACE ='1')then -- if this a delayed expansion space detection, do not start an amiga cycle!
|
if(nEXP_SPACE ='1')then -- if this a delayed expansion space detection, do not start an amiga cycle!
|
||||||
AMIGA_BUS_ENABLE_INT <= '0' ;--for now: allways on for amiga
|
AMIGA_BUS_ENABLE_INT <= '0' ;--for now: allways on for amiga
|
||||||
|
@ -380,8 +382,8 @@ begin
|
||||||
end if;
|
end if;
|
||||||
end if;
|
end if;
|
||||||
when IDLE_N => --68000:S1 place Adress on bus and wait for rising edge, on a rising CLK_000 look for a amiga adressrobe
|
when IDLE_N => --68000:S1 place Adress on bus and wait for rising edge, on a rising CLK_000 look for a amiga adressrobe
|
||||||
if(CLK_000_PE='1')then --go to s2
|
--if(CLK_000_PE='1')then --go to s2
|
||||||
--if(CLK_000_D0='1')then --go to s2
|
if(CLK_000_D0='1')then --go to s2
|
||||||
SM_AMIGA <= AS_SET_P; --as for amiga set!
|
SM_AMIGA <= AS_SET_P; --as for amiga set!
|
||||||
AS_000_INT <= '0';
|
AS_000_INT <= '0';
|
||||||
RW_000_INT <= RW;
|
RW_000_INT <= RW;
|
||||||
|
@ -416,7 +418,8 @@ begin
|
||||||
SM_AMIGA<=DATA_FETCH_P;
|
SM_AMIGA<=DATA_FETCH_P;
|
||||||
end if;
|
end if;
|
||||||
when DATA_FETCH_P => --68000:S6: READ: here comes the data on the bus!
|
when DATA_FETCH_P => --68000:S6: READ: here comes the data on the bus!
|
||||||
if( CLK_000_N_SYNC(6)='1') then --go to s7 next 030-clock is not a falling edge: dsack is sampled at the falling edge
|
if( (CLK_000_N_SYNC( 5)='1' AND not (CLK_030 ='1' and CLK_OUT_PRE_D='0')) OR
|
||||||
|
(CLK_000_N_SYNC( 6)='1' )) then --go to s7 next 030-clock is not a falling edge: dsack is sampled at the falling edge
|
||||||
DSACK1_INT <='0';
|
DSACK1_INT <='0';
|
||||||
end if;
|
end if;
|
||||||
--if( CLK_000_D3 ='1' AND CLK_000_D4 = '0' ) then --go to s7 next 030-clock is high: dsack is sampled at the falling edge
|
--if( CLK_000_D3 ='1' AND CLK_000_D4 = '0' ) then --go to s7 next 030-clock is high: dsack is sampled at the falling edge
|
||||||
|
@ -424,19 +427,14 @@ begin
|
||||||
--end if;
|
--end if;
|
||||||
if( CLK_000_NE ='1') then --go to s7 next 030-clock is high: dsack is sampled at the falling edge
|
if( CLK_000_NE ='1') then --go to s7 next 030-clock is high: dsack is sampled at the falling edge
|
||||||
--if( CLK_000_D0 ='0') then --go to s7 next 030-clock is high: dsack is sampled at the falling edge
|
--if( CLK_000_D0 ='0') then --go to s7 next 030-clock is high: dsack is sampled at the falling edge
|
||||||
|
|
||||||
SM_AMIGA<=END_CYCLE_N;
|
SM_AMIGA<=END_CYCLE_N;
|
||||||
if(AS_030 ='1') then
|
|
||||||
AMIGA_BUS_ENABLE_INT <= '1';
|
|
||||||
end if;
|
|
||||||
end if;
|
end if;
|
||||||
when END_CYCLE_N =>--68000:S7: Latch/Store data. Wait here for new cycle and go to IDLE on high clock
|
when END_CYCLE_N =>--68000:S7: Latch/Store data. Wait here for new cycle and go to IDLE on high clock
|
||||||
if(AS_030 ='1') then
|
|
||||||
AMIGA_BUS_ENABLE_INT <= '1';
|
|
||||||
end if;
|
|
||||||
|
|
||||||
if(CLK_000_PE='1')then --go to s0
|
if(CLK_000_PE='1')then --go to s0
|
||||||
--if(CLK_000_D0='1')then --go to s0
|
--if(CLK_000_D0='1')then --go to s0
|
||||||
SM_AMIGA<=IDLE_P;
|
SM_AMIGA<=IDLE_P;
|
||||||
|
VMA_INT <= '1';
|
||||||
end if;
|
end if;
|
||||||
end case;
|
end case;
|
||||||
|
|
||||||
|
@ -501,7 +499,7 @@ begin
|
||||||
CLK_OUT_PRE_33 <= not CLK_OUT_PRE_33;
|
CLK_OUT_PRE_33 <= not CLK_OUT_PRE_33;
|
||||||
end if;
|
end if;
|
||||||
end process process_33_clk;
|
end process process_33_clk;
|
||||||
AMIGA_BUS_ENABLE_LOW <= CLK_OUT_PRE_33;
|
AMIGA_BUS_ENABLE_LOW <= '1';
|
||||||
|
|
||||||
|
|
||||||
|
|
||||||
|
@ -510,8 +508,8 @@ begin
|
||||||
CLK_EXP <= CLK_OUT_INT;
|
CLK_EXP <= CLK_OUT_INT;
|
||||||
--CLK_DIV_OUT <= CLK_OUT_PRE_33;
|
--CLK_DIV_OUT <= CLK_OUT_PRE_33;
|
||||||
--CLK_EXP <= CLK_OUT_PRE_33;
|
--CLK_EXP <= CLK_OUT_PRE_33;
|
||||||
AVEC_EXP <= CLK_000_PE;
|
AMIGA_ADDR_ENABLE <= AMIGA_BUS_ENABLE_INT;
|
||||||
AMIGA_BUS_ENABLE <= AMIGA_BUS_ENABLE_INT;
|
AMIGA_BUS_ENABLE_HIGH <= AMIGA_BUS_ENABLE_INT;
|
||||||
--dma stuff
|
--dma stuff
|
||||||
DTACK <= 'Z' when BGACK_030_INT ='1' OR nEXP_SPACE = '1' OR AS_000_DMA ='1' else
|
DTACK <= 'Z' when BGACK_030_INT ='1' OR nEXP_SPACE = '1' OR AS_000_DMA ='1' else
|
||||||
DSACK1;
|
DSACK1;
|
||||||
|
@ -525,28 +523,28 @@ begin
|
||||||
SIZE_DMA;
|
SIZE_DMA;
|
||||||
|
|
||||||
--fpu
|
--fpu
|
||||||
FPU_CS <= '0' when AS_030 ='0' and FC(1)='1' and FC(0)='1' and A(19)='0' and A(18)='0' and A(17)='1' and A(16)='0' AND BGACK_000='1'
|
FPU_CS <= '0' when AS_030 ='0' and FC(1)='1' and FC(0)='1' and A(19)='0' and A(18)='0' and A(17)='1' and A(16)='0' AND BGACK_000='1' AND FPU_SENSE ='0'
|
||||||
else '1';
|
else '1';
|
||||||
|
|
||||||
--if no copro is installed:
|
--if no copro is installed:
|
||||||
--BERR <= '0' when AS_030 ='0' and FC(1)='1' and FC(0)='1' and A(19)='0' and A(18)='0' and A(17)='1' and A(16)='0' AND BGACK_000='1'
|
BERR <= '0' when AS_030 ='0' and FC(1)='1' and FC(0)='1' and A(19)='0' and A(18)='0' and A(17)='1' and A(16)='0' AND BGACK_000='1' AND FPU_SENSE ='1'
|
||||||
-- else 'Z';
|
else 'Z';
|
||||||
BERR <= 'Z';
|
--BERR <= 'Z';
|
||||||
|
|
||||||
|
|
||||||
|
|
||||||
--cache inhibit: For now: disable
|
--cache inhibit: For now: disable
|
||||||
CIIN <= '1' WHEN A(31 downto 20) = x"00F" and AS_030 ='0' ELSE
|
CIIN <= '1' WHEN A(31 downto 20) = x"00F" and AS_030_D0 ='0' ELSE
|
||||||
--'1' WHEN A(31 downto 20) = x"002" ELSE
|
--'1' WHEN A(31 downto 20) = x"002" ELSE
|
||||||
--'1' WHEN A(31 downto 20) = x"004" ELSE
|
--'1' WHEN A(31 downto 20) = x"004" ELSE
|
||||||
'Z' WHEN (not(A(31 downto 24) = x"00") and AS_030 ='0') OR nEXP_SPACE = '0' ELSE
|
'Z' WHEN (not(A(31 downto 24) = x"00") and AS_030 ='0') OR nEXP_SPACE = '0' ELSE
|
||||||
'0';
|
'0';
|
||||||
|
|
||||||
--bus buffers
|
--bus buffers
|
||||||
AMIGA_BUS_DATA_DIR <= '1' WHEN (RW='0' AND BGACK_030_INT ='1') ELSE --Amiga WRITE
|
AMIGA_BUS_DATA_DIR <= '1' WHEN (RW_000='0' AND BGACK_030_INT ='1') ELSE --Amiga WRITE
|
||||||
'0' WHEN (RW='1' AND BGACK_030_INT ='1') ELSE --Amiga READ
|
'0' WHEN (RW_000='1' AND BGACK_030_INT ='1') ELSE --Amiga READ
|
||||||
'1' WHEN (RW='1' AND BGACK_030_INT ='0' AND nEXP_SPACE = '0' AND AS_000 = '0') ELSE --DMA READ to expansion space
|
'1' WHEN (RW_000='1' AND BGACK_030_INT ='0' AND nEXP_SPACE = '0' AND AS_000 = '0') ELSE --DMA READ to expansion space
|
||||||
'0' WHEN (RW='0' AND BGACK_030_INT ='0' AND nEXP_SPACE = '0' AND AS_000 = '0') ELSE --DMA WRITE to expansion space
|
'0' WHEN (RW_000='0' AND BGACK_030_INT ='0' AND nEXP_SPACE = '0' AND AS_000 = '0') ELSE --DMA WRITE to expansion space
|
||||||
'0'; --Point towarts TK
|
'0'; --Point towarts TK
|
||||||
--AMIGA_BUS_ENABLE_LOW <= CLK_OUT_NE; --for now: allways off
|
--AMIGA_BUS_ENABLE_LOW <= CLK_OUT_NE; --for now: allways off
|
||||||
|
|
||||||
|
|
|
@ -1,4 +1,6 @@
|
||||||
[STRATEGY-LIST]
|
|
||||||
Normal=True, 1385910337
|
|
||||||
[synthesis-type]
|
[synthesis-type]
|
||||||
tool=Synplify
|
tool=Synplify
|
||||||
|
[STRATEGY-LIST]
|
||||||
|
Normal=True, 1385910337
|
||||||
|
[TOUCHED-REPORT]
|
||||||
|
Design.tt4File=1405595332
|
||||||
|
|
|
@ -1,9 +1,9 @@
|
||||||
[WINDOWS]
|
[WINDOWS]
|
||||||
MAIN_WINDOW_POSITION=0,0,1920,1200
|
MAIN_WINDOW_POSITION=0,0,1920,1200
|
||||||
LEFT_PANE_WIDTH=634
|
LEFT_PANE_WIDTH=634
|
||||||
CHILD_FRAME_STATE=Normal
|
CHILD_FRAME_STATE=Maximal
|
||||||
CHILD_WINDOW_SIZE=950,901
|
CHILD_WINDOW_SIZE=1920,974
|
||||||
CHILD_WINDOW_POS=950,0
|
CHILD_WINDOW_POS=-8,-30
|
||||||
[GUI SETTING]
|
[GUI SETTING]
|
||||||
Remember_Setting=1
|
Remember_Setting=1
|
||||||
Open_PV_Opt=2
|
Open_PV_Opt=2
|
||||||
|
@ -18,7 +18,7 @@ Sort_Type=0
|
||||||
Sort_Direction=0
|
Sort_Direction=0
|
||||||
Skip_Next_Pin=0
|
Skip_Next_Pin=0
|
||||||
[Pin Attributes]
|
[Pin Attributes]
|
||||||
sort_column_-1=Type
|
sort_column_-1=Pin
|
||||||
Type=42,no
|
Type=42,no
|
||||||
Signal/Group Name=209,no
|
Signal/Group Name=209,no
|
||||||
Group Members=111,no
|
Group Members=111,no
|
||||||
|
|
|
@ -12,8 +12,8 @@ EN_PinMacrocell = Yes;
|
||||||
|
|
||||||
[Revision]
|
[Revision]
|
||||||
Parent = m4a5.lci;
|
Parent = m4a5.lci;
|
||||||
DATE = 06/08/2014;
|
DATE = 07/17/2014;
|
||||||
TIME = 11:30:13;
|
TIME = 13:08:52;
|
||||||
Source_Format = Pure_VHDL;
|
Source_Format = Pure_VHDL;
|
||||||
Synthesis = Synplify;
|
Synthesis = Synplify;
|
||||||
|
|
||||||
|
@ -69,17 +69,14 @@ IPL_030_2_ = Pin, 9, -, B, -;
|
||||||
LDS_000 = Pin, 31, -, D, -;
|
LDS_000 = Pin, 31, -, D, -;
|
||||||
UDS_000 = Pin, 32, -, D, -;
|
UDS_000 = Pin, 32, -, D, -;
|
||||||
VMA = Pin, 35, -, D, -;
|
VMA = Pin, 35, -, D, -;
|
||||||
AS_000 = Pin, 33, -, D, -;
|
|
||||||
DTACK = Pin, 30, -, D, -;
|
DTACK = Pin, 30, -, D, -;
|
||||||
RESET = Pin, 3, -, B, -;
|
RESET = Pin, 3, -, B, -;
|
||||||
AMIGA_BUS_DATA_DIR = Pin, 48, -, E, -;
|
AMIGA_BUS_DATA_DIR = Pin, 48, -, E, -;
|
||||||
AMIGA_BUS_ENABLE = Pin, 34, -, D, -;
|
|
||||||
AMIGA_BUS_ENABLE_LOW = Pin, 20, -, C, -;
|
AMIGA_BUS_ENABLE_LOW = Pin, 20, -, C, -;
|
||||||
CIIN = Pin, 47, -, E, -;
|
CIIN = Pin, 47, -, E, -;
|
||||||
A_20_ = Pin, 93, -, A, -;
|
A_20_ = Pin, 93, -, A, -;
|
||||||
A_21_ = Pin, 94, -, A, -;
|
A_21_ = Pin, 94, -, A, -;
|
||||||
A_22_ = Pin, 85, -, H, -;
|
A_22_ = Pin, 84, -, H, -;
|
||||||
A_23_ = Pin, 84, -, H, -;
|
|
||||||
A_24_ = Pin, 19, -, C, -;
|
A_24_ = Pin, 19, -, C, -;
|
||||||
A_25_ = Pin, 18, -, C, -;
|
A_25_ = Pin, 18, -, C, -;
|
||||||
A_26_ = Pin, 17, -, C, -;
|
A_26_ = Pin, 17, -, C, -;
|
||||||
|
@ -89,12 +86,16 @@ A_29_ = Pin, 6, -, B, -;
|
||||||
A_30_ = Pin, 5, -, B, -;
|
A_30_ = Pin, 5, -, B, -;
|
||||||
A_31_ = Pin, 4, -, B, -;
|
A_31_ = Pin, 4, -, B, -;
|
||||||
DS_030 = Pin, 98, -, A, -;
|
DS_030 = Pin, 98, -, A, -;
|
||||||
AVEC_EXP = Pin, 22, -, C, -;
|
|
||||||
BERR = Pin, 41, -, E, -;
|
BERR = Pin, 41, -, E, -;
|
||||||
nEXP_SPACE = Pin, 14, -, -, -;
|
nEXP_SPACE = Pin, 14, -, -, -;
|
||||||
A0 = Pin, 69, -, G, -;
|
A0 = Pin, 69, -, G, -;
|
||||||
DSACK1 = Pin, 81, -, H, -;
|
DSACK1 = Pin, 81, -, H, -;
|
||||||
RW_000 = Pin, 80, -, H, -;
|
RW_000 = Pin, 80, -, H, -;
|
||||||
|
AS_000 = Pin, 42, -, E, -;
|
||||||
|
AMIGA_ADDR_ENABLE = Pin, 33, -, D, -;
|
||||||
|
AMIGA_BUS_ENABLE_HIGH = Pin, 34, -, D, -;
|
||||||
|
A_23_ = Pin, 85, -, H, -;
|
||||||
|
FPU_SENSE = Pin, 91, -, A, -;
|
||||||
|
|
||||||
[Group Assignments]
|
[Group Assignments]
|
||||||
layer = OFF;
|
layer = OFF;
|
||||||
|
|
|
@ -12,8 +12,8 @@ EN_PinMacrocell = Yes;
|
||||||
|
|
||||||
[Revision]
|
[Revision]
|
||||||
Parent = m4a5.lci;
|
Parent = m4a5.lci;
|
||||||
DATE = 06/08/2014;
|
DATE = 07/17/2014;
|
||||||
TIME = 11:30:13;
|
TIME = 13:08:52;
|
||||||
Source_Format = Pure_VHDL;
|
Source_Format = Pure_VHDL;
|
||||||
Synthesis = Synplify;
|
Synthesis = Synplify;
|
||||||
|
|
||||||
|
@ -69,17 +69,14 @@ IPL_030_2_ = Pin, 9, -, B, -;
|
||||||
LDS_000 = Pin, 31, -, D, -;
|
LDS_000 = Pin, 31, -, D, -;
|
||||||
UDS_000 = Pin, 32, -, D, -;
|
UDS_000 = Pin, 32, -, D, -;
|
||||||
VMA = Pin, 35, -, D, -;
|
VMA = Pin, 35, -, D, -;
|
||||||
AS_000 = Pin, 33, -, D, -;
|
|
||||||
DTACK = Pin, 30, -, D, -;
|
DTACK = Pin, 30, -, D, -;
|
||||||
RESET = Pin, 3, -, B, -;
|
RESET = Pin, 3, -, B, -;
|
||||||
AMIGA_BUS_DATA_DIR = Pin, 48, -, E, -;
|
AMIGA_BUS_DATA_DIR = Pin, 48, -, E, -;
|
||||||
AMIGA_BUS_ENABLE = Pin, 34, -, D, -;
|
|
||||||
AMIGA_BUS_ENABLE_LOW = Pin, 20, -, C, -;
|
AMIGA_BUS_ENABLE_LOW = Pin, 20, -, C, -;
|
||||||
CIIN = Pin, 47, -, E, -;
|
CIIN = Pin, 47, -, E, -;
|
||||||
A_20_ = Pin, 93, -, A, -;
|
A_20_ = Pin, 93, -, A, -;
|
||||||
A_21_ = Pin, 94, -, A, -;
|
A_21_ = Pin, 94, -, A, -;
|
||||||
A_22_ = Pin, 85, -, H, -;
|
A_22_ = Pin, 84, -, H, -;
|
||||||
A_23_ = Pin, 84, -, H, -;
|
|
||||||
A_24_ = Pin, 19, -, C, -;
|
A_24_ = Pin, 19, -, C, -;
|
||||||
A_25_ = Pin, 18, -, C, -;
|
A_25_ = Pin, 18, -, C, -;
|
||||||
A_26_ = Pin, 17, -, C, -;
|
A_26_ = Pin, 17, -, C, -;
|
||||||
|
@ -89,12 +86,16 @@ A_29_ = Pin, 6, -, B, -;
|
||||||
A_30_ = Pin, 5, -, B, -;
|
A_30_ = Pin, 5, -, B, -;
|
||||||
A_31_ = Pin, 4, -, B, -;
|
A_31_ = Pin, 4, -, B, -;
|
||||||
DS_030 = Pin, 98, -, A, -;
|
DS_030 = Pin, 98, -, A, -;
|
||||||
AVEC_EXP = Pin, 22, -, C, -;
|
|
||||||
BERR = Pin, 41, -, E, -;
|
BERR = Pin, 41, -, E, -;
|
||||||
nEXP_SPACE = Pin, 14, -, -, -;
|
nEXP_SPACE = Pin, 14, -, -, -;
|
||||||
A0 = Pin, 69, -, G, -;
|
A0 = Pin, 69, -, G, -;
|
||||||
DSACK1 = Pin, 81, -, H, -;
|
DSACK1 = Pin, 81, -, H, -;
|
||||||
RW_000 = Pin, 80, -, H, -;
|
RW_000 = Pin, 80, -, H, -;
|
||||||
|
AS_000 = Pin, 42, -, E, -;
|
||||||
|
AMIGA_ADDR_ENABLE = Pin, 33, -, D, -;
|
||||||
|
AMIGA_BUS_ENABLE_HIGH = Pin, 34, -, D, -;
|
||||||
|
A_23_ = Pin, 85, -, H, -;
|
||||||
|
FPU_SENSE = Pin, 91, -, A, -;
|
||||||
|
|
||||||
[Group Assignments]
|
[Group Assignments]
|
||||||
layer = OFF;
|
layer = OFF;
|
||||||
|
|
32185
Logic/68030_TK.tcl
32185
Logic/68030_TK.tcl
File diff suppressed because it is too large
Load Diff
|
@ -1 +0,0 @@
|
||||||
-collapse all -pterms 16 -nmax 32 -clust 5 -reduce bypin choose -xorsyn -dev M4A5_clk
|
|
2199
Logic/68030_tk.bl2
2199
Logic/68030_tk.bl2
File diff suppressed because it is too large
Load Diff
1312
Logic/68030_tk.bl3
1312
Logic/68030_tk.bl3
File diff suppressed because it is too large
Load Diff
|
@ -1,7 +1,7 @@
|
||||||
// Signal Name Cross Reference File
|
// Signal Name Cross Reference File
|
||||||
// ispLEVER Classic 1.7.00.05.28.13
|
// ispLEVER Classic 1.7.00.05.28.13
|
||||||
|
|
||||||
// Design '68030_tk' created Sun Jun 22 21:24:20 2014
|
// Design '68030_tk' created Fri Jul 18 14:05:32 2014
|
||||||
|
|
||||||
|
|
||||||
// LEGEND: '>' Functional Block Port Separator
|
// LEGEND: '>' Functional Block Port Separator
|
||||||
|
|
|
@ -1 +0,0 @@
|
||||||
-dev mach4a_DT_NCE -clust 5
|
|
|
@ -1,861 +0,0 @@
|
||||||
ispLEVER Classic 1.7.00.05.28.13 Linked Equations File
|
|
||||||
Copyright(C), 1992-2013, Lattice Semiconductor Corp.
|
|
||||||
All Rights Reserved.
|
|
||||||
|
|
||||||
Design bus68030 created Sun Jun 22 21:24:20 2014
|
|
||||||
|
|
||||||
|
|
||||||
P-Terms Fan-in Fan-out Type Name (attributes)
|
|
||||||
--------- ------ ------- ---- -----------------
|
|
||||||
1 2 1 Pin UDS_000-
|
|
||||||
1 1 1 Pin UDS_000.OE
|
|
||||||
1 2 1 Pin LDS_000-
|
|
||||||
1 1 1 Pin LDS_000.OE
|
|
||||||
1 1 1 Pin CLK_DIV_OUT.AR
|
|
||||||
1 1 1 Pin CLK_DIV_OUT.D
|
|
||||||
1 1 1 Pin CLK_DIV_OUT.C
|
|
||||||
1 8 1 Pin FPU_CS-
|
|
||||||
1 1 1 Pin DTACK
|
|
||||||
1 3 1 Pin DTACK.OE
|
|
||||||
1 0 1 Pin AVEC
|
|
||||||
2 4 1 Pin AMIGA_BUS_DATA_DIR
|
|
||||||
1 13 1 Pin CIIN
|
|
||||||
1 1 1 Pin CIIN.OE
|
|
||||||
1 3 1 Pin SIZE_1_.OE
|
|
||||||
2 4 1 Pin SIZE_1_.D-
|
|
||||||
1 1 1 Pin SIZE_1_.AP
|
|
||||||
1 1 1 Pin SIZE_1_.C
|
|
||||||
2 3 1 Pin IPL_030_2_.D
|
|
||||||
1 1 1 Pin IPL_030_2_.AP
|
|
||||||
1 1 1 Pin IPL_030_2_.C
|
|
||||||
1 3 1 Pin AS_030.OE
|
|
||||||
4 6 1 Pin AS_030.D
|
|
||||||
1 1 1 Pin AS_030.AP
|
|
||||||
1 1 1 Pin AS_030.C
|
|
||||||
1 1 1 Pin AS_000.OE
|
|
||||||
2 5 1 Pin AS_000.D-
|
|
||||||
1 1 1 Pin AS_000.AP
|
|
||||||
1 1 1 Pin AS_000.C
|
|
||||||
1 1 1 Pin RW_000.OE
|
|
||||||
3 5 1 Pin RW_000.D-
|
|
||||||
1 1 1 Pin RW_000.AP
|
|
||||||
1 1 1 Pin RW_000.C
|
|
||||||
1 3 1 Pin SIZE_0_.OE
|
|
||||||
1 4 1 Pin SIZE_0_.D-
|
|
||||||
1 1 1 Pin SIZE_0_.AP
|
|
||||||
1 1 1 Pin SIZE_0_.C
|
|
||||||
1 3 1 Pin DS_030.OE
|
|
||||||
7 9 1 Pin DS_030.D
|
|
||||||
1 1 1 Pin DS_030.AP
|
|
||||||
1 1 1 Pin DS_030.C
|
|
||||||
1 3 1 Pin A0.OE
|
|
||||||
1 4 1 Pin A0.D
|
|
||||||
1 1 1 Pin A0.AP
|
|
||||||
1 1 1 Pin A0.C
|
|
||||||
2 5 1 Pin BG_000.D-
|
|
||||||
1 1 1 Pin BG_000.AP
|
|
||||||
1 1 1 Pin BG_000.C
|
|
||||||
2 3 1 Pin BGACK_030.D
|
|
||||||
1 1 1 Pin BGACK_030.AP
|
|
||||||
1 1 1 Pin BGACK_030.C
|
|
||||||
1 1 1 Pin CLK_EXP.AR
|
|
||||||
1 1 1 Pin CLK_EXP.D
|
|
||||||
1 1 1 Pin CLK_EXP.C
|
|
||||||
2 3 1 Pin IPL_030_1_.D
|
|
||||||
1 1 1 Pin IPL_030_1_.AP
|
|
||||||
1 1 1 Pin IPL_030_1_.C
|
|
||||||
1 1 1 Pin DSACK1.OE
|
|
||||||
2 5 1 Pin DSACK1.D-
|
|
||||||
1 1 1 Pin DSACK1.AP
|
|
||||||
1 1 1 Pin DSACK1.C
|
|
||||||
2 3 1 Pin IPL_030_0_.D
|
|
||||||
1 1 1 Pin IPL_030_0_.AP
|
|
||||||
1 1 1 Pin IPL_030_0_.C
|
|
||||||
1 1 1 Pin AVEC_EXP.AR
|
|
||||||
1 1 1 Pin AVEC_EXP.D
|
|
||||||
1 1 1 Pin AVEC_EXP.C
|
|
||||||
1 1 1 Pin E.AR
|
|
||||||
4 5 1 Pin E.D-
|
|
||||||
1 1 1 Pin E.C
|
|
||||||
2 7 1 PinX1 VMA.D.X1
|
|
||||||
1 5 1 PinX2 VMA.D.X2
|
|
||||||
1 1 1 Pin VMA.AP
|
|
||||||
1 1 1 Pin VMA.C
|
|
||||||
1 1 1 Pin RESET.AR
|
|
||||||
1 0 1 Pin RESET.D
|
|
||||||
1 1 1 Pin RESET.C
|
|
||||||
1 1 1 Pin RW.OE
|
|
||||||
4 7 1 Pin RW.D-
|
|
||||||
1 1 1 Pin RW.AP
|
|
||||||
1 1 1 Pin RW.C
|
|
||||||
6 12 1 Pin AMIGA_BUS_ENABLE.D-
|
|
||||||
1 1 1 Pin AMIGA_BUS_ENABLE.AP
|
|
||||||
1 1 1 Pin AMIGA_BUS_ENABLE.C
|
|
||||||
1 1 1 Pin AMIGA_BUS_ENABLE_LOW.AR
|
|
||||||
1 1 1 Pin AMIGA_BUS_ENABLE_LOW.D
|
|
||||||
1 1 1 Pin AMIGA_BUS_ENABLE_LOW.C
|
|
||||||
6 13 1 Node inst_AS_030_000_SYNC.D
|
|
||||||
1 1 1 Node inst_AS_030_000_SYNC.AP
|
|
||||||
1 1 1 Node inst_AS_030_000_SYNC.C
|
|
||||||
1 1 1 Node inst_BGACK_030_INT_D.D
|
|
||||||
1 1 1 Node inst_BGACK_030_INT_D.AP
|
|
||||||
1 1 1 Node inst_BGACK_030_INT_D.C
|
|
||||||
1 1 1 Node inst_VPA_D.D
|
|
||||||
1 1 1 Node inst_VPA_D.AP
|
|
||||||
1 1 1 Node inst_VPA_D.C
|
|
||||||
1 1 1 Node inst_CLK_OUT_PRE_50_D.AR
|
|
||||||
1 1 1 Node inst_CLK_OUT_PRE_50_D.D
|
|
||||||
1 1 1 Node inst_CLK_OUT_PRE_50_D.C
|
|
||||||
1 1 1 Node CLK_CNT_N_0_.AR
|
|
||||||
2 2 1 Node CLK_CNT_N_0_.D
|
|
||||||
1 1 1 Node CLK_CNT_N_0_.C
|
|
||||||
1 1 1 Node inst_CLK_OUT_PRE_50.AR
|
|
||||||
1 1 1 Node inst_CLK_OUT_PRE_50.D
|
|
||||||
1 1 1 Node inst_CLK_OUT_PRE_50.C
|
|
||||||
1 1 1 Node inst_CLK_OUT_PRE_25.AR
|
|
||||||
3 3 1 Node inst_CLK_OUT_PRE_25.D
|
|
||||||
1 1 1 Node inst_CLK_OUT_PRE_25.C
|
|
||||||
1 1 1 Node inst_CLK_000_D1.D
|
|
||||||
1 1 1 Node inst_CLK_000_D1.AP
|
|
||||||
1 1 1 Node inst_CLK_000_D1.C
|
|
||||||
1 1 1 Node inst_CLK_000_D2.D
|
|
||||||
1 1 1 Node inst_CLK_000_D2.AP
|
|
||||||
1 1 1 Node inst_CLK_000_D2.C
|
|
||||||
1 1 1 Node inst_CLK_000_D3.D
|
|
||||||
1 1 1 Node inst_CLK_000_D3.AP
|
|
||||||
1 1 1 Node inst_CLK_000_D3.C
|
|
||||||
1 1 1 Node inst_CLK_000_D0.D
|
|
||||||
1 1 1 Node inst_CLK_000_D0.AP
|
|
||||||
1 1 1 Node inst_CLK_000_D0.C
|
|
||||||
1 1 1 Node inst_CLK_000_NE.AR
|
|
||||||
1 1 1 Node inst_CLK_000_NE.D
|
|
||||||
1 1 1 Node inst_CLK_000_NE.C
|
|
||||||
1 1 1 Node inst_CLK_OUT_PRE_D.AR
|
|
||||||
1 1 1 Node inst_CLK_OUT_PRE_D.D
|
|
||||||
1 1 1 Node inst_CLK_OUT_PRE_D.C
|
|
||||||
1 1 1 Node inst_CLK_OUT_PRE.AR
|
|
||||||
1 1 1 Node inst_CLK_OUT_PRE.D
|
|
||||||
1 1 1 Node inst_CLK_OUT_PRE.C
|
|
||||||
1 1 1 Node CLK_000_P_SYNC_9_.AR
|
|
||||||
1 1 1 Node CLK_000_P_SYNC_9_.D
|
|
||||||
1 1 1 Node CLK_000_P_SYNC_9_.C
|
|
||||||
1 1 1 Node CLK_000_N_SYNC_11_.AR
|
|
||||||
1 1 1 Node CLK_000_N_SYNC_11_.D
|
|
||||||
1 1 1 Node CLK_000_N_SYNC_11_.C
|
|
||||||
13 17 1 Node SM_AMIGA_7_.D
|
|
||||||
1 1 1 Node SM_AMIGA_7_.AP
|
|
||||||
1 1 1 Node SM_AMIGA_7_.C
|
|
||||||
1 1 1 Node SM_AMIGA_6_.AR
|
|
||||||
2 8 1 Node SM_AMIGA_6_.D
|
|
||||||
1 1 1 Node SM_AMIGA_6_.C
|
|
||||||
1 1 1 Node SM_AMIGA_1_.AR
|
|
||||||
2 5 1 Node SM_AMIGA_1_.D
|
|
||||||
1 1 1 Node SM_AMIGA_1_.C
|
|
||||||
1 1 1 Node SM_AMIGA_0_.AR
|
|
||||||
2 5 1 Node SM_AMIGA_0_.D
|
|
||||||
1 1 1 Node SM_AMIGA_0_.C
|
|
||||||
1 1 1 Node SM_AMIGA_4_.AR
|
|
||||||
2 5 1 Node SM_AMIGA_4_.D
|
|
||||||
1 1 1 Node SM_AMIGA_4_.C
|
|
||||||
1 1 1 Node CLK_000_N_SYNC_6_.AR
|
|
||||||
1 1 1 Node CLK_000_N_SYNC_6_.D
|
|
||||||
1 1 1 Node CLK_000_N_SYNC_6_.C
|
|
||||||
5 8 1 Node inst_CLK_030_H.D
|
|
||||||
1 1 1 Node inst_CLK_030_H.C
|
|
||||||
1 1 1 Node CLK_CNT_P_1_.AR
|
|
||||||
1 1 1 Node CLK_CNT_P_1_.D
|
|
||||||
1 1 1 Node CLK_CNT_P_1_.C
|
|
||||||
1 1 1 Node CLK_CNT_N_1_.D
|
|
||||||
1 1 1 Node CLK_CNT_N_1_.AP
|
|
||||||
1 1 1 Node CLK_CNT_N_1_.C
|
|
||||||
1 1 1 Node CLK_CNT_P_0_.AR
|
|
||||||
2 2 1 Node CLK_CNT_P_0_.D
|
|
||||||
1 1 1 Node CLK_CNT_P_0_.C
|
|
||||||
2 5 1 Node inst_LDS_000_INT.D
|
|
||||||
1 1 1 Node inst_LDS_000_INT.AP
|
|
||||||
1 1 1 Node inst_LDS_000_INT.C
|
|
||||||
1 1 1 Node inst_DS_000_ENABLE.AR
|
|
||||||
3 7 1 Node inst_DS_000_ENABLE.D
|
|
||||||
1 1 1 Node inst_DS_000_ENABLE.C
|
|
||||||
2 3 1 Node inst_UDS_000_INT.D
|
|
||||||
1 1 1 Node inst_UDS_000_INT.AP
|
|
||||||
1 1 1 Node inst_UDS_000_INT.C
|
|
||||||
1 1 1 Node CLK_000_P_SYNC_0_.AR
|
|
||||||
1 4 1 Node CLK_000_P_SYNC_0_.D
|
|
||||||
1 1 1 Node CLK_000_P_SYNC_0_.C
|
|
||||||
1 1 1 Node CLK_000_P_SYNC_1_.AR
|
|
||||||
1 1 1 Node CLK_000_P_SYNC_1_.D
|
|
||||||
1 1 1 Node CLK_000_P_SYNC_1_.C
|
|
||||||
1 1 1 Node CLK_000_P_SYNC_2_.AR
|
|
||||||
1 1 1 Node CLK_000_P_SYNC_2_.D
|
|
||||||
1 1 1 Node CLK_000_P_SYNC_2_.C
|
|
||||||
1 1 1 Node CLK_000_P_SYNC_3_.AR
|
|
||||||
1 1 1 Node CLK_000_P_SYNC_3_.D
|
|
||||||
1 1 1 Node CLK_000_P_SYNC_3_.C
|
|
||||||
1 1 1 Node CLK_000_P_SYNC_4_.AR
|
|
||||||
1 1 1 Node CLK_000_P_SYNC_4_.D
|
|
||||||
1 1 1 Node CLK_000_P_SYNC_4_.C
|
|
||||||
1 1 1 Node CLK_000_P_SYNC_5_.AR
|
|
||||||
1 1 1 Node CLK_000_P_SYNC_5_.D
|
|
||||||
1 1 1 Node CLK_000_P_SYNC_5_.C
|
|
||||||
1 1 1 Node CLK_000_P_SYNC_6_.AR
|
|
||||||
1 1 1 Node CLK_000_P_SYNC_6_.D
|
|
||||||
1 1 1 Node CLK_000_P_SYNC_6_.C
|
|
||||||
1 1 1 Node CLK_000_P_SYNC_7_.AR
|
|
||||||
1 1 1 Node CLK_000_P_SYNC_7_.D
|
|
||||||
1 1 1 Node CLK_000_P_SYNC_7_.C
|
|
||||||
1 1 1 Node CLK_000_P_SYNC_8_.AR
|
|
||||||
1 1 1 Node CLK_000_P_SYNC_8_.D
|
|
||||||
1 1 1 Node CLK_000_P_SYNC_8_.C
|
|
||||||
1 1 1 Node CLK_000_N_SYNC_0_.AR
|
|
||||||
1 4 1 Node CLK_000_N_SYNC_0_.D
|
|
||||||
1 1 1 Node CLK_000_N_SYNC_0_.C
|
|
||||||
1 1 1 Node CLK_000_N_SYNC_1_.AR
|
|
||||||
1 1 1 Node CLK_000_N_SYNC_1_.D
|
|
||||||
1 1 1 Node CLK_000_N_SYNC_1_.C
|
|
||||||
1 1 1 Node CLK_000_N_SYNC_2_.AR
|
|
||||||
1 1 1 Node CLK_000_N_SYNC_2_.D
|
|
||||||
1 1 1 Node CLK_000_N_SYNC_2_.C
|
|
||||||
1 1 1 Node CLK_000_N_SYNC_3_.AR
|
|
||||||
1 1 1 Node CLK_000_N_SYNC_3_.D
|
|
||||||
1 1 1 Node CLK_000_N_SYNC_3_.C
|
|
||||||
1 1 1 Node CLK_000_N_SYNC_4_.AR
|
|
||||||
1 1 1 Node CLK_000_N_SYNC_4_.D
|
|
||||||
1 1 1 Node CLK_000_N_SYNC_4_.C
|
|
||||||
1 1 1 Node CLK_000_N_SYNC_5_.AR
|
|
||||||
1 1 1 Node CLK_000_N_SYNC_5_.D
|
|
||||||
1 1 1 Node CLK_000_N_SYNC_5_.C
|
|
||||||
1 1 1 Node CLK_000_N_SYNC_7_.AR
|
|
||||||
1 1 1 Node CLK_000_N_SYNC_7_.D
|
|
||||||
1 1 1 Node CLK_000_N_SYNC_7_.C
|
|
||||||
1 1 1 Node CLK_000_N_SYNC_8_.AR
|
|
||||||
1 1 1 Node CLK_000_N_SYNC_8_.D
|
|
||||||
1 1 1 Node CLK_000_N_SYNC_8_.C
|
|
||||||
1 1 1 Node CLK_000_N_SYNC_9_.AR
|
|
||||||
1 1 1 Node CLK_000_N_SYNC_9_.D
|
|
||||||
1 1 1 Node CLK_000_N_SYNC_9_.C
|
|
||||||
1 1 1 Node CLK_000_N_SYNC_10_.AR
|
|
||||||
1 1 1 Node CLK_000_N_SYNC_10_.D
|
|
||||||
1 1 1 Node CLK_000_N_SYNC_10_.C
|
|
||||||
1 1 1 Node SM_AMIGA_5_.AR
|
|
||||||
2 5 1 Node SM_AMIGA_5_.D
|
|
||||||
1 1 1 Node SM_AMIGA_5_.C
|
|
||||||
1 1 1 Node SM_AMIGA_3_.AR
|
|
||||||
6 10 1 Node SM_AMIGA_3_.D
|
|
||||||
1 1 1 Node SM_AMIGA_3_.C
|
|
||||||
1 1 1 Node SM_AMIGA_2_.AR
|
|
||||||
3 10 1 Node SM_AMIGA_2_.D
|
|
||||||
1 1 1 Node SM_AMIGA_2_.C
|
|
||||||
3 14 1 Node un16_ciin-
|
|
||||||
1 1 1 Node cpu_est_0_.AR
|
|
||||||
2 2 1 Node cpu_est_0_.D
|
|
||||||
1 1 1 Node cpu_est_0_.C
|
|
||||||
1 1 1 Node cpu_est_1_.AR
|
|
||||||
5 5 1 Node cpu_est_1_.D
|
|
||||||
1 1 1 Node cpu_est_1_.C
|
|
||||||
1 1 1 Node cpu_est_2_.AR
|
|
||||||
4 5 1 Node cpu_est_2_.D
|
|
||||||
1 1 1 Node cpu_est_2_.C
|
|
||||||
2 4 1 Node AMIGA_BUS_ENABLE_LOW_0
|
|
||||||
4 11 1 Node SM_AMIGA_7__0
|
|
||||||
=========
|
|
||||||
338 P-Term Total: 338
|
|
||||||
Total Pins: 59
|
|
||||||
Total Nodes: 57
|
|
||||||
Average P-Term/Output: 2
|
|
||||||
|
|
||||||
|
|
||||||
Equations:
|
|
||||||
|
|
||||||
!UDS_000 = (inst_DS_000_ENABLE.Q & !inst_UDS_000_INT.Q);
|
|
||||||
|
|
||||||
UDS_000.OE = (BGACK_030.Q);
|
|
||||||
|
|
||||||
!LDS_000 = (!inst_LDS_000_INT.Q & inst_DS_000_ENABLE.Q);
|
|
||||||
|
|
||||||
LDS_000.OE = (BGACK_030.Q);
|
|
||||||
|
|
||||||
CLK_DIV_OUT.AR = (!RST);
|
|
||||||
|
|
||||||
CLK_DIV_OUT.D = (inst_CLK_OUT_PRE_D.Q);
|
|
||||||
|
|
||||||
CLK_DIV_OUT.C = (CLK_OSZI);
|
|
||||||
|
|
||||||
!FPU_CS = (FC_1_ & BGACK_000 & !A_19_ & !A_18_ & A_17_ & !A_16_ & FC_0_ & !AS_030.PIN);
|
|
||||||
|
|
||||||
DTACK = (DSACK1.PIN);
|
|
||||||
|
|
||||||
DTACK.OE = (!nEXP_SPACE & !BGACK_030.Q & !AS_030.Q);
|
|
||||||
|
|
||||||
AVEC = (1);
|
|
||||||
|
|
||||||
AMIGA_BUS_DATA_DIR = (BGACK_030.Q & !RW.PIN
|
|
||||||
# !nEXP_SPACE & !BGACK_030.Q & !AS_000.PIN & RW.PIN);
|
|
||||||
|
|
||||||
CIIN = (!A_31_ & !A_30_ & !A_29_ & !A_28_ & !A_27_ & !A_26_ & !A_25_ & !A_24_ & A_23_ & A_22_ & A_21_ & A_20_ & !AS_030.PIN);
|
|
||||||
|
|
||||||
CIIN.OE = (!un16_ciin);
|
|
||||||
|
|
||||||
SIZE_1_.OE = (!nEXP_SPACE & !BGACK_030.Q & !AS_030.Q);
|
|
||||||
|
|
||||||
!SIZE_1_.D = (!BGACK_030.Q & !AS_000.PIN & !UDS_000.PIN & LDS_000.PIN
|
|
||||||
# !BGACK_030.Q & !AS_000.PIN & UDS_000.PIN & !LDS_000.PIN);
|
|
||||||
|
|
||||||
SIZE_1_.AP = (!RST);
|
|
||||||
|
|
||||||
SIZE_1_.C = (CLK_OSZI);
|
|
||||||
|
|
||||||
IPL_030_2_.D = (IPL_2_ & AVEC_EXP.Q
|
|
||||||
# !AVEC_EXP.Q & IPL_030_2_.Q);
|
|
||||||
|
|
||||||
IPL_030_2_.AP = (!RST);
|
|
||||||
|
|
||||||
IPL_030_2_.C = (CLK_OSZI);
|
|
||||||
|
|
||||||
AS_030.OE = (!nEXP_SPACE & !BGACK_030.Q & !AS_030.Q);
|
|
||||||
|
|
||||||
AS_030.D = (BGACK_030.Q
|
|
||||||
# AS_000.PIN
|
|
||||||
# !CLK_030 & AS_030.Q
|
|
||||||
# UDS_000.PIN & LDS_000.PIN);
|
|
||||||
|
|
||||||
AS_030.AP = (!RST);
|
|
||||||
|
|
||||||
AS_030.C = (CLK_OSZI);
|
|
||||||
|
|
||||||
AS_000.OE = (BGACK_030.Q);
|
|
||||||
|
|
||||||
!AS_000.D = (AVEC_EXP.Q & SM_AMIGA_6_.Q
|
|
||||||
# BERR & !AS_000.Q & !AS_030.PIN);
|
|
||||||
|
|
||||||
AS_000.AP = (!RST);
|
|
||||||
|
|
||||||
AS_000.C = (CLK_OSZI);
|
|
||||||
|
|
||||||
RW_000.OE = (BGACK_030.Q);
|
|
||||||
|
|
||||||
!RW_000.D = (!AVEC_EXP.Q & !SM_AMIGA_7_.Q & !RW_000.Q
|
|
||||||
# !SM_AMIGA_7_.Q & !SM_AMIGA_6_.Q & !RW_000.Q
|
|
||||||
# AVEC_EXP.Q & !SM_AMIGA_7_.Q & SM_AMIGA_6_.Q & !RW.PIN);
|
|
||||||
|
|
||||||
RW_000.AP = (!RST);
|
|
||||||
|
|
||||||
RW_000.C = (CLK_OSZI);
|
|
||||||
|
|
||||||
SIZE_0_.OE = (!nEXP_SPACE & !BGACK_030.Q & !AS_030.Q);
|
|
||||||
|
|
||||||
!SIZE_0_.D = (!BGACK_030.Q & !AS_000.PIN & !UDS_000.PIN & !LDS_000.PIN);
|
|
||||||
|
|
||||||
SIZE_0_.AP = (!RST);
|
|
||||||
|
|
||||||
SIZE_0_.C = (CLK_OSZI);
|
|
||||||
|
|
||||||
DS_030.OE = (!nEXP_SPACE & !BGACK_030.Q & !AS_030.Q);
|
|
||||||
|
|
||||||
DS_030.D = (BGACK_030.Q
|
|
||||||
# AS_000.PIN
|
|
||||||
# AS_030.Q & RW_000.PIN
|
|
||||||
# UDS_000.PIN & LDS_000.PIN
|
|
||||||
# CLK_030 & AS_030.Q & inst_CLK_030_H.Q
|
|
||||||
# !CLK_030 & DS_030.Q & !RW_000.PIN
|
|
||||||
# !inst_CLK_030_H.Q & DS_030.Q & !RW_000.PIN);
|
|
||||||
|
|
||||||
DS_030.AP = (!RST);
|
|
||||||
|
|
||||||
DS_030.C = (CLK_OSZI);
|
|
||||||
|
|
||||||
A0.OE = (!nEXP_SPACE & !BGACK_030.Q & !AS_030.Q);
|
|
||||||
|
|
||||||
A0.D = (!BGACK_030.Q & !AS_000.PIN & UDS_000.PIN & !LDS_000.PIN);
|
|
||||||
|
|
||||||
A0.AP = (!RST);
|
|
||||||
|
|
||||||
A0.C = (CLK_OSZI);
|
|
||||||
|
|
||||||
!BG_000.D = (!BG_030 & !BG_000.Q
|
|
||||||
# nEXP_SPACE & !BG_030 & CLK_000 & AS_030.PIN);
|
|
||||||
|
|
||||||
BG_000.AP = (!RST);
|
|
||||||
|
|
||||||
BG_000.C = (CLK_OSZI);
|
|
||||||
|
|
||||||
BGACK_030.D = (BGACK_000 & BGACK_030.Q
|
|
||||||
# BGACK_000 & AVEC_EXP.Q);
|
|
||||||
|
|
||||||
BGACK_030.AP = (!RST);
|
|
||||||
|
|
||||||
BGACK_030.C = (CLK_OSZI);
|
|
||||||
|
|
||||||
CLK_EXP.AR = (!RST);
|
|
||||||
|
|
||||||
CLK_EXP.D = (inst_CLK_OUT_PRE_D.Q);
|
|
||||||
|
|
||||||
CLK_EXP.C = (CLK_OSZI);
|
|
||||||
|
|
||||||
IPL_030_1_.D = (IPL_1_ & AVEC_EXP.Q
|
|
||||||
# !AVEC_EXP.Q & IPL_030_1_.Q);
|
|
||||||
|
|
||||||
IPL_030_1_.AP = (!RST);
|
|
||||||
|
|
||||||
IPL_030_1_.C = (CLK_OSZI);
|
|
||||||
|
|
||||||
DSACK1.OE = (nEXP_SPACE);
|
|
||||||
|
|
||||||
!DSACK1.D = (SM_AMIGA_1_.Q & CLK_000_N_SYNC_6_.Q
|
|
||||||
# BERR & !DSACK1.Q & !AS_030.PIN);
|
|
||||||
|
|
||||||
DSACK1.AP = (!RST);
|
|
||||||
|
|
||||||
DSACK1.C = (CLK_OSZI);
|
|
||||||
|
|
||||||
IPL_030_0_.D = (IPL_0_ & AVEC_EXP.Q
|
|
||||||
# !AVEC_EXP.Q & IPL_030_0_.Q);
|
|
||||||
|
|
||||||
IPL_030_0_.AP = (!RST);
|
|
||||||
|
|
||||||
IPL_030_0_.C = (CLK_OSZI);
|
|
||||||
|
|
||||||
AVEC_EXP.AR = (!RST);
|
|
||||||
|
|
||||||
AVEC_EXP.D = (CLK_000_P_SYNC_9_.Q);
|
|
||||||
|
|
||||||
AVEC_EXP.C = (CLK_OSZI);
|
|
||||||
|
|
||||||
E.AR = (!RST);
|
|
||||||
|
|
||||||
!E.D = (!AVEC_EXP.Q & !E.Q
|
|
||||||
# cpu_est_2_.Q & !E.Q
|
|
||||||
# AVEC_EXP.Q & cpu_est_1_.Q & cpu_est_2_.Q
|
|
||||||
# !cpu_est_0_.Q & cpu_est_1_.Q & !E.Q);
|
|
||||||
|
|
||||||
E.C = (CLK_OSZI);
|
|
||||||
|
|
||||||
VMA.D.X1 = (VMA.Q
|
|
||||||
# AVEC_EXP.Q & !VMA.Q & AS_000.Q & !cpu_est_0_.Q & cpu_est_1_.Q & cpu_est_2_.Q & !E.Q);
|
|
||||||
|
|
||||||
VMA.D.X2 = (VMA.Q & !inst_VPA_D.Q & inst_CLK_000_NE.Q & cpu_est_0_.Q & !cpu_est_1_.Q);
|
|
||||||
|
|
||||||
VMA.AP = (!RST);
|
|
||||||
|
|
||||||
VMA.C = (CLK_OSZI);
|
|
||||||
|
|
||||||
RESET.AR = (!RST);
|
|
||||||
|
|
||||||
RESET.D = (1);
|
|
||||||
|
|
||||||
RESET.C = (CLK_OSZI);
|
|
||||||
|
|
||||||
RW.OE = (!BGACK_030.Q);
|
|
||||||
|
|
||||||
!RW.D = (!CLK_030 & !BGACK_030.Q & !RW.Q & !AS_000.PIN & !UDS_000.PIN
|
|
||||||
# CLK_030 & !BGACK_030.Q & !AS_000.PIN & !RW_000.PIN & !UDS_000.PIN
|
|
||||||
# !CLK_030 & !BGACK_030.Q & !RW.Q & !AS_000.PIN & !LDS_000.PIN
|
|
||||||
# CLK_030 & !BGACK_030.Q & !AS_000.PIN & !RW_000.PIN & !LDS_000.PIN);
|
|
||||||
|
|
||||||
RW.AP = (!RST);
|
|
||||||
|
|
||||||
RW.C = (CLK_OSZI);
|
|
||||||
|
|
||||||
!AMIGA_BUS_ENABLE.D = (!BGACK_030.Q
|
|
||||||
# !AMIGA_BUS_ENABLE.Q & inst_BGACK_030_INT_D.Q & SM_AMIGA_1_.Q & !AS_030.PIN
|
|
||||||
# !AMIGA_BUS_ENABLE.Q & inst_BGACK_030_INT_D.Q & SM_AMIGA_0_.Q & !AS_030.PIN
|
|
||||||
# !AMIGA_BUS_ENABLE.Q & inst_BGACK_030_INT_D.Q & !inst_CLK_000_NE.Q & !SM_AMIGA_7_.Q & !SM_AMIGA_0_.Q
|
|
||||||
# !AMIGA_BUS_ENABLE.Q & inst_BGACK_030_INT_D.Q & !SM_AMIGA_7_.Q & !SM_AMIGA_1_.Q & !SM_AMIGA_0_.Q
|
|
||||||
# nEXP_SPACE & !inst_AS_030_000_SYNC.Q & inst_BGACK_030_INT_D.Q & inst_CLK_000_D1.Q & !inst_CLK_000_D0.Q & SM_AMIGA_7_.Q & !SM_AMIGA_1_.Q & !SM_AMIGA_0_.Q);
|
|
||||||
|
|
||||||
AMIGA_BUS_ENABLE.AP = (!RST);
|
|
||||||
|
|
||||||
AMIGA_BUS_ENABLE.C = (CLK_OSZI);
|
|
||||||
|
|
||||||
AMIGA_BUS_ENABLE_LOW.AR = (!RST);
|
|
||||||
|
|
||||||
AMIGA_BUS_ENABLE_LOW.D = (!AMIGA_BUS_ENABLE_LOW.Q);
|
|
||||||
|
|
||||||
AMIGA_BUS_ENABLE_LOW.C = (AMIGA_BUS_ENABLE_LOW_0);
|
|
||||||
|
|
||||||
inst_AS_030_000_SYNC.D = (!BERR
|
|
||||||
# AS_030.PIN
|
|
||||||
# !nEXP_SPACE & inst_AS_030_000_SYNC.Q
|
|
||||||
# !BGACK_030.Q & inst_AS_030_000_SYNC.Q
|
|
||||||
# inst_AS_030_000_SYNC.Q & !SM_AMIGA_7_.Q
|
|
||||||
# FC_1_ & BGACK_000 & !A_19_ & !A_18_ & A_17_ & !A_16_ & FC_0_ & inst_AS_030_000_SYNC.Q);
|
|
||||||
|
|
||||||
inst_AS_030_000_SYNC.AP = (!RST);
|
|
||||||
|
|
||||||
inst_AS_030_000_SYNC.C = (CLK_OSZI);
|
|
||||||
|
|
||||||
inst_BGACK_030_INT_D.D = (BGACK_030.Q);
|
|
||||||
|
|
||||||
inst_BGACK_030_INT_D.AP = (!RST);
|
|
||||||
|
|
||||||
inst_BGACK_030_INT_D.C = (CLK_OSZI);
|
|
||||||
|
|
||||||
inst_VPA_D.D = (VPA);
|
|
||||||
|
|
||||||
inst_VPA_D.AP = (!RST);
|
|
||||||
|
|
||||||
inst_VPA_D.C = (CLK_OSZI);
|
|
||||||
|
|
||||||
inst_CLK_OUT_PRE_50_D.AR = (!RST);
|
|
||||||
|
|
||||||
inst_CLK_OUT_PRE_50_D.D = (inst_CLK_OUT_PRE_50.Q);
|
|
||||||
|
|
||||||
inst_CLK_OUT_PRE_50_D.C = (CLK_OSZI);
|
|
||||||
|
|
||||||
CLK_CNT_N_0_.AR = (!RST);
|
|
||||||
|
|
||||||
CLK_CNT_N_0_.D = (CLK_CNT_N_0_.Q & CLK_CNT_N_1_.Q
|
|
||||||
# !CLK_CNT_N_0_.Q & !CLK_CNT_N_1_.Q);
|
|
||||||
|
|
||||||
CLK_CNT_N_0_.C = (!CLK_OSZI);
|
|
||||||
|
|
||||||
inst_CLK_OUT_PRE_50.AR = (!RST);
|
|
||||||
|
|
||||||
inst_CLK_OUT_PRE_50.D = (!inst_CLK_OUT_PRE_50.Q);
|
|
||||||
|
|
||||||
inst_CLK_OUT_PRE_50.C = (CLK_OSZI);
|
|
||||||
|
|
||||||
inst_CLK_OUT_PRE_25.AR = (!RST);
|
|
||||||
|
|
||||||
inst_CLK_OUT_PRE_25.D = (inst_CLK_OUT_PRE_50_D.Q & inst_CLK_OUT_PRE_25.Q
|
|
||||||
# !inst_CLK_OUT_PRE_50.Q & inst_CLK_OUT_PRE_25.Q
|
|
||||||
# !inst_CLK_OUT_PRE_50_D.Q & inst_CLK_OUT_PRE_50.Q & !inst_CLK_OUT_PRE_25.Q);
|
|
||||||
|
|
||||||
inst_CLK_OUT_PRE_25.C = (CLK_OSZI);
|
|
||||||
|
|
||||||
inst_CLK_000_D1.D = (inst_CLK_000_D0.Q);
|
|
||||||
|
|
||||||
inst_CLK_000_D1.AP = (!RST);
|
|
||||||
|
|
||||||
inst_CLK_000_D1.C = (CLK_OSZI);
|
|
||||||
|
|
||||||
inst_CLK_000_D2.D = (inst_CLK_000_D1.Q);
|
|
||||||
|
|
||||||
inst_CLK_000_D2.AP = (!RST);
|
|
||||||
|
|
||||||
inst_CLK_000_D2.C = (CLK_OSZI);
|
|
||||||
|
|
||||||
inst_CLK_000_D3.D = (inst_CLK_000_D2.Q);
|
|
||||||
|
|
||||||
inst_CLK_000_D3.AP = (!RST);
|
|
||||||
|
|
||||||
inst_CLK_000_D3.C = (CLK_OSZI);
|
|
||||||
|
|
||||||
inst_CLK_000_D0.D = (CLK_000);
|
|
||||||
|
|
||||||
inst_CLK_000_D0.AP = (!RST);
|
|
||||||
|
|
||||||
inst_CLK_000_D0.C = (CLK_OSZI);
|
|
||||||
|
|
||||||
inst_CLK_000_NE.AR = (!RST);
|
|
||||||
|
|
||||||
inst_CLK_000_NE.D = (CLK_000_N_SYNC_11_.Q);
|
|
||||||
|
|
||||||
inst_CLK_000_NE.C = (CLK_OSZI);
|
|
||||||
|
|
||||||
inst_CLK_OUT_PRE_D.AR = (!RST);
|
|
||||||
|
|
||||||
inst_CLK_OUT_PRE_D.D = (inst_CLK_OUT_PRE.Q);
|
|
||||||
|
|
||||||
inst_CLK_OUT_PRE_D.C = (CLK_OSZI);
|
|
||||||
|
|
||||||
inst_CLK_OUT_PRE.AR = (!RST);
|
|
||||||
|
|
||||||
inst_CLK_OUT_PRE.D = (inst_CLK_OUT_PRE_25.Q);
|
|
||||||
|
|
||||||
inst_CLK_OUT_PRE.C = (CLK_OSZI);
|
|
||||||
|
|
||||||
CLK_000_P_SYNC_9_.AR = (!RST);
|
|
||||||
|
|
||||||
CLK_000_P_SYNC_9_.D = (CLK_000_P_SYNC_8_.Q);
|
|
||||||
|
|
||||||
CLK_000_P_SYNC_9_.C = (CLK_OSZI);
|
|
||||||
|
|
||||||
CLK_000_N_SYNC_11_.AR = (!RST);
|
|
||||||
|
|
||||||
CLK_000_N_SYNC_11_.D = (CLK_000_N_SYNC_10_.Q);
|
|
||||||
|
|
||||||
CLK_000_N_SYNC_11_.C = (CLK_OSZI);
|
|
||||||
|
|
||||||
SM_AMIGA_7_.D = (SM_AMIGA_7__0
|
|
||||||
# !BERR & SM_AMIGA_0_.Q
|
|
||||||
# !BERR & !AVEC_EXP.Q & SM_AMIGA_6_.Q
|
|
||||||
# !BERR & !inst_CLK_000_NE.Q & SM_AMIGA_1_.Q
|
|
||||||
# !BERR & !AVEC_EXP.Q & SM_AMIGA_4_.Q
|
|
||||||
# !BERR & !inst_CLK_000_NE.Q & SM_AMIGA_5_.Q
|
|
||||||
# !BERR & !inst_CLK_000_NE.Q & SM_AMIGA_3_.Q
|
|
||||||
# !BERR & !AVEC_EXP.Q & SM_AMIGA_2_.Q
|
|
||||||
# !BERR & !VPA & VMA.Q & SM_AMIGA_3_.Q
|
|
||||||
# !BERR & !VPA & SM_AMIGA_3_.Q & cpu_est_1_.Q
|
|
||||||
# !BERR & !VPA & SM_AMIGA_3_.Q & !E.Q
|
|
||||||
# !BERR & VPA & SM_AMIGA_3_.Q & DTACK.PIN
|
|
||||||
# !nEXP_SPACE & !SM_AMIGA_6_.Q & !SM_AMIGA_1_.Q & !SM_AMIGA_0_.Q & !SM_AMIGA_4_.Q & !SM_AMIGA_5_.Q & !SM_AMIGA_3_.Q & !SM_AMIGA_2_.Q);
|
|
||||||
|
|
||||||
SM_AMIGA_7_.AP = (!RST);
|
|
||||||
|
|
||||||
SM_AMIGA_7_.C = (CLK_OSZI);
|
|
||||||
|
|
||||||
SM_AMIGA_6_.AR = (!RST);
|
|
||||||
|
|
||||||
SM_AMIGA_6_.D = (BERR & !AVEC_EXP.Q & SM_AMIGA_6_.Q
|
|
||||||
# nEXP_SPACE & !inst_AS_030_000_SYNC.Q & inst_CLK_000_D1.Q & !inst_CLK_000_D0.Q & SM_AMIGA_7_.Q);
|
|
||||||
|
|
||||||
SM_AMIGA_6_.C = (CLK_OSZI);
|
|
||||||
|
|
||||||
SM_AMIGA_1_.AR = (!RST);
|
|
||||||
|
|
||||||
SM_AMIGA_1_.D = (AVEC_EXP.Q & SM_AMIGA_2_.Q
|
|
||||||
# BERR & !inst_CLK_000_NE.Q & SM_AMIGA_1_.Q);
|
|
||||||
|
|
||||||
SM_AMIGA_1_.C = (CLK_OSZI);
|
|
||||||
|
|
||||||
SM_AMIGA_0_.AR = (!RST);
|
|
||||||
|
|
||||||
SM_AMIGA_0_.D = (BERR & !AVEC_EXP.Q & SM_AMIGA_0_.Q
|
|
||||||
# inst_CLK_000_NE.Q & SM_AMIGA_1_.Q & !SM_AMIGA_0_.Q);
|
|
||||||
|
|
||||||
SM_AMIGA_0_.C = (CLK_OSZI);
|
|
||||||
|
|
||||||
SM_AMIGA_4_.AR = (!RST);
|
|
||||||
|
|
||||||
SM_AMIGA_4_.D = (inst_CLK_000_NE.Q & SM_AMIGA_5_.Q
|
|
||||||
# BERR & !AVEC_EXP.Q & SM_AMIGA_4_.Q);
|
|
||||||
|
|
||||||
SM_AMIGA_4_.C = (CLK_OSZI);
|
|
||||||
|
|
||||||
CLK_000_N_SYNC_6_.AR = (!RST);
|
|
||||||
|
|
||||||
CLK_000_N_SYNC_6_.D = (CLK_000_N_SYNC_5_.Q);
|
|
||||||
|
|
||||||
CLK_000_N_SYNC_6_.C = (CLK_OSZI);
|
|
||||||
|
|
||||||
inst_CLK_030_H.D = (!RST & inst_CLK_030_H.Q
|
|
||||||
# !BGACK_030.Q & inst_CLK_030_H.Q & !AS_000.PIN & !UDS_000.PIN
|
|
||||||
# !BGACK_030.Q & inst_CLK_030_H.Q & !AS_000.PIN & !LDS_000.PIN
|
|
||||||
# !CLK_030 & RST & !BGACK_030.Q & !AS_030.Q & !AS_000.PIN & !UDS_000.PIN
|
|
||||||
# !CLK_030 & RST & !BGACK_030.Q & !AS_030.Q & !AS_000.PIN & !LDS_000.PIN);
|
|
||||||
|
|
||||||
inst_CLK_030_H.C = (CLK_OSZI);
|
|
||||||
|
|
||||||
CLK_CNT_P_1_.AR = (!RST);
|
|
||||||
|
|
||||||
CLK_CNT_P_1_.D = (CLK_CNT_P_0_.Q);
|
|
||||||
|
|
||||||
CLK_CNT_P_1_.C = (CLK_OSZI);
|
|
||||||
|
|
||||||
CLK_CNT_N_1_.D = (CLK_CNT_N_0_.Q);
|
|
||||||
|
|
||||||
CLK_CNT_N_1_.AP = (!RST);
|
|
||||||
|
|
||||||
CLK_CNT_N_1_.C = (!CLK_OSZI);
|
|
||||||
|
|
||||||
CLK_CNT_P_0_.AR = (!RST);
|
|
||||||
|
|
||||||
CLK_CNT_P_0_.D = (CLK_CNT_P_1_.Q & CLK_CNT_P_0_.Q
|
|
||||||
# !CLK_CNT_P_1_.Q & !CLK_CNT_P_0_.Q);
|
|
||||||
|
|
||||||
CLK_CNT_P_0_.C = (CLK_OSZI);
|
|
||||||
|
|
||||||
inst_LDS_000_INT.D = (inst_LDS_000_INT.Q & DS_030.PIN
|
|
||||||
# !DS_030.PIN & SIZE_0_.PIN & !SIZE_1_.PIN & !A0.PIN);
|
|
||||||
|
|
||||||
inst_LDS_000_INT.AP = (!RST);
|
|
||||||
|
|
||||||
inst_LDS_000_INT.C = (CLK_OSZI);
|
|
||||||
|
|
||||||
inst_DS_000_ENABLE.AR = (!RST);
|
|
||||||
|
|
||||||
inst_DS_000_ENABLE.D = (AVEC_EXP.Q & SM_AMIGA_4_.Q
|
|
||||||
# BERR & inst_DS_000_ENABLE.Q & !AS_030.PIN
|
|
||||||
# AVEC_EXP.Q & SM_AMIGA_6_.Q & RW.PIN);
|
|
||||||
|
|
||||||
inst_DS_000_ENABLE.C = (CLK_OSZI);
|
|
||||||
|
|
||||||
inst_UDS_000_INT.D = (inst_UDS_000_INT.Q & DS_030.PIN
|
|
||||||
# !DS_030.PIN & A0.PIN);
|
|
||||||
|
|
||||||
inst_UDS_000_INT.AP = (!RST);
|
|
||||||
|
|
||||||
inst_UDS_000_INT.C = (CLK_OSZI);
|
|
||||||
|
|
||||||
CLK_000_P_SYNC_0_.AR = (!RST);
|
|
||||||
|
|
||||||
CLK_000_P_SYNC_0_.D = (!inst_CLK_000_D1.Q & !inst_CLK_000_D2.Q & !inst_CLK_000_D3.Q & inst_CLK_000_D0.Q);
|
|
||||||
|
|
||||||
CLK_000_P_SYNC_0_.C = (CLK_OSZI);
|
|
||||||
|
|
||||||
CLK_000_P_SYNC_1_.AR = (!RST);
|
|
||||||
|
|
||||||
CLK_000_P_SYNC_1_.D = (CLK_000_P_SYNC_0_.Q);
|
|
||||||
|
|
||||||
CLK_000_P_SYNC_1_.C = (CLK_OSZI);
|
|
||||||
|
|
||||||
CLK_000_P_SYNC_2_.AR = (!RST);
|
|
||||||
|
|
||||||
CLK_000_P_SYNC_2_.D = (CLK_000_P_SYNC_1_.Q);
|
|
||||||
|
|
||||||
CLK_000_P_SYNC_2_.C = (CLK_OSZI);
|
|
||||||
|
|
||||||
CLK_000_P_SYNC_3_.AR = (!RST);
|
|
||||||
|
|
||||||
CLK_000_P_SYNC_3_.D = (CLK_000_P_SYNC_2_.Q);
|
|
||||||
|
|
||||||
CLK_000_P_SYNC_3_.C = (CLK_OSZI);
|
|
||||||
|
|
||||||
CLK_000_P_SYNC_4_.AR = (!RST);
|
|
||||||
|
|
||||||
CLK_000_P_SYNC_4_.D = (CLK_000_P_SYNC_3_.Q);
|
|
||||||
|
|
||||||
CLK_000_P_SYNC_4_.C = (CLK_OSZI);
|
|
||||||
|
|
||||||
CLK_000_P_SYNC_5_.AR = (!RST);
|
|
||||||
|
|
||||||
CLK_000_P_SYNC_5_.D = (CLK_000_P_SYNC_4_.Q);
|
|
||||||
|
|
||||||
CLK_000_P_SYNC_5_.C = (CLK_OSZI);
|
|
||||||
|
|
||||||
CLK_000_P_SYNC_6_.AR = (!RST);
|
|
||||||
|
|
||||||
CLK_000_P_SYNC_6_.D = (CLK_000_P_SYNC_5_.Q);
|
|
||||||
|
|
||||||
CLK_000_P_SYNC_6_.C = (CLK_OSZI);
|
|
||||||
|
|
||||||
CLK_000_P_SYNC_7_.AR = (!RST);
|
|
||||||
|
|
||||||
CLK_000_P_SYNC_7_.D = (CLK_000_P_SYNC_6_.Q);
|
|
||||||
|
|
||||||
CLK_000_P_SYNC_7_.C = (CLK_OSZI);
|
|
||||||
|
|
||||||
CLK_000_P_SYNC_8_.AR = (!RST);
|
|
||||||
|
|
||||||
CLK_000_P_SYNC_8_.D = (CLK_000_P_SYNC_7_.Q);
|
|
||||||
|
|
||||||
CLK_000_P_SYNC_8_.C = (CLK_OSZI);
|
|
||||||
|
|
||||||
CLK_000_N_SYNC_0_.AR = (!RST);
|
|
||||||
|
|
||||||
CLK_000_N_SYNC_0_.D = (inst_CLK_000_D1.Q & inst_CLK_000_D2.Q & inst_CLK_000_D3.Q & !inst_CLK_000_D0.Q);
|
|
||||||
|
|
||||||
CLK_000_N_SYNC_0_.C = (CLK_OSZI);
|
|
||||||
|
|
||||||
CLK_000_N_SYNC_1_.AR = (!RST);
|
|
||||||
|
|
||||||
CLK_000_N_SYNC_1_.D = (CLK_000_N_SYNC_0_.Q);
|
|
||||||
|
|
||||||
CLK_000_N_SYNC_1_.C = (CLK_OSZI);
|
|
||||||
|
|
||||||
CLK_000_N_SYNC_2_.AR = (!RST);
|
|
||||||
|
|
||||||
CLK_000_N_SYNC_2_.D = (CLK_000_N_SYNC_1_.Q);
|
|
||||||
|
|
||||||
CLK_000_N_SYNC_2_.C = (CLK_OSZI);
|
|
||||||
|
|
||||||
CLK_000_N_SYNC_3_.AR = (!RST);
|
|
||||||
|
|
||||||
CLK_000_N_SYNC_3_.D = (CLK_000_N_SYNC_2_.Q);
|
|
||||||
|
|
||||||
CLK_000_N_SYNC_3_.C = (CLK_OSZI);
|
|
||||||
|
|
||||||
CLK_000_N_SYNC_4_.AR = (!RST);
|
|
||||||
|
|
||||||
CLK_000_N_SYNC_4_.D = (CLK_000_N_SYNC_3_.Q);
|
|
||||||
|
|
||||||
CLK_000_N_SYNC_4_.C = (CLK_OSZI);
|
|
||||||
|
|
||||||
CLK_000_N_SYNC_5_.AR = (!RST);
|
|
||||||
|
|
||||||
CLK_000_N_SYNC_5_.D = (CLK_000_N_SYNC_4_.Q);
|
|
||||||
|
|
||||||
CLK_000_N_SYNC_5_.C = (CLK_OSZI);
|
|
||||||
|
|
||||||
CLK_000_N_SYNC_7_.AR = (!RST);
|
|
||||||
|
|
||||||
CLK_000_N_SYNC_7_.D = (CLK_000_N_SYNC_6_.Q);
|
|
||||||
|
|
||||||
CLK_000_N_SYNC_7_.C = (CLK_OSZI);
|
|
||||||
|
|
||||||
CLK_000_N_SYNC_8_.AR = (!RST);
|
|
||||||
|
|
||||||
CLK_000_N_SYNC_8_.D = (CLK_000_N_SYNC_7_.Q);
|
|
||||||
|
|
||||||
CLK_000_N_SYNC_8_.C = (CLK_OSZI);
|
|
||||||
|
|
||||||
CLK_000_N_SYNC_9_.AR = (!RST);
|
|
||||||
|
|
||||||
CLK_000_N_SYNC_9_.D = (CLK_000_N_SYNC_8_.Q);
|
|
||||||
|
|
||||||
CLK_000_N_SYNC_9_.C = (CLK_OSZI);
|
|
||||||
|
|
||||||
CLK_000_N_SYNC_10_.AR = (!RST);
|
|
||||||
|
|
||||||
CLK_000_N_SYNC_10_.D = (CLK_000_N_SYNC_9_.Q);
|
|
||||||
|
|
||||||
CLK_000_N_SYNC_10_.C = (CLK_OSZI);
|
|
||||||
|
|
||||||
SM_AMIGA_5_.AR = (!RST);
|
|
||||||
|
|
||||||
SM_AMIGA_5_.D = (AVEC_EXP.Q & SM_AMIGA_6_.Q
|
|
||||||
# BERR & !inst_CLK_000_NE.Q & SM_AMIGA_5_.Q);
|
|
||||||
|
|
||||||
SM_AMIGA_5_.C = (CLK_OSZI);
|
|
||||||
|
|
||||||
SM_AMIGA_3_.AR = (!RST);
|
|
||||||
|
|
||||||
SM_AMIGA_3_.D = (AVEC_EXP.Q & SM_AMIGA_4_.Q
|
|
||||||
# BERR & !inst_CLK_000_NE.Q & SM_AMIGA_3_.Q
|
|
||||||
# BERR & !VPA & VMA.Q & SM_AMIGA_3_.Q
|
|
||||||
# BERR & !VPA & SM_AMIGA_3_.Q & cpu_est_1_.Q
|
|
||||||
# BERR & !VPA & SM_AMIGA_3_.Q & !E.Q
|
|
||||||
# BERR & VPA & SM_AMIGA_3_.Q & DTACK.PIN);
|
|
||||||
|
|
||||||
SM_AMIGA_3_.C = (CLK_OSZI);
|
|
||||||
|
|
||||||
SM_AMIGA_2_.AR = (!RST);
|
|
||||||
|
|
||||||
SM_AMIGA_2_.D = (BERR & !AVEC_EXP.Q & SM_AMIGA_2_.Q
|
|
||||||
# VPA & inst_CLK_000_NE.Q & SM_AMIGA_3_.Q & !DTACK.PIN
|
|
||||||
# !VPA & !VMA.Q & inst_CLK_000_NE.Q & SM_AMIGA_3_.Q & !cpu_est_1_.Q & E.Q);
|
|
||||||
|
|
||||||
SM_AMIGA_2_.C = (CLK_OSZI);
|
|
||||||
|
|
||||||
!un16_ciin = (nEXP_SPACE & AS_030.PIN
|
|
||||||
# !A_31_ & nEXP_SPACE & !A_30_ & !A_29_ & !A_28_ & !A_27_ & !A_26_ & !A_25_ & !A_24_
|
|
||||||
# !A_31_ & !A_30_ & !A_29_ & !A_28_ & !A_27_ & !A_26_ & !A_25_ & !A_24_ & A_23_ & A_22_ & A_21_ & A_20_ & !AS_030.PIN);
|
|
||||||
|
|
||||||
cpu_est_0_.AR = (!RST);
|
|
||||||
|
|
||||||
cpu_est_0_.D = (!AVEC_EXP.Q & cpu_est_0_.Q
|
|
||||||
# AVEC_EXP.Q & !cpu_est_0_.Q);
|
|
||||||
|
|
||||||
cpu_est_0_.C = (CLK_OSZI);
|
|
||||||
|
|
||||||
cpu_est_1_.AR = (!RST);
|
|
||||||
|
|
||||||
cpu_est_1_.D = (!AVEC_EXP.Q & cpu_est_1_.Q
|
|
||||||
# !cpu_est_0_.Q & cpu_est_1_.Q
|
|
||||||
# AVEC_EXP.Q & cpu_est_0_.Q & !cpu_est_1_.Q
|
|
||||||
# AVEC_EXP.Q & cpu_est_2_.Q & E.Q
|
|
||||||
# AVEC_EXP.Q & !cpu_est_2_.Q & !E.Q);
|
|
||||||
|
|
||||||
cpu_est_1_.C = (CLK_OSZI);
|
|
||||||
|
|
||||||
cpu_est_2_.AR = (!RST);
|
|
||||||
|
|
||||||
cpu_est_2_.D = (!AVEC_EXP.Q & cpu_est_2_.Q
|
|
||||||
# cpu_est_1_.Q & cpu_est_2_.Q
|
|
||||||
# AVEC_EXP.Q & !cpu_est_0_.Q & !cpu_est_1_.Q
|
|
||||||
# AVEC_EXP.Q & cpu_est_0_.Q & E.Q);
|
|
||||||
|
|
||||||
cpu_est_2_.C = (CLK_OSZI);
|
|
||||||
|
|
||||||
AMIGA_BUS_ENABLE_LOW_0 = (CLK_CNT_P_1_.Q & CLK_CNT_N_1_.Q
|
|
||||||
# !CLK_CNT_N_0_.Q & CLK_CNT_P_0_.Q);
|
|
||||||
|
|
||||||
SM_AMIGA_7__0 = (AVEC_EXP.Q & SM_AMIGA_0_.Q
|
|
||||||
# inst_AS_030_000_SYNC.Q & !SM_AMIGA_6_.Q & !SM_AMIGA_1_.Q & !SM_AMIGA_0_.Q & !SM_AMIGA_4_.Q & !SM_AMIGA_5_.Q & !SM_AMIGA_3_.Q & !SM_AMIGA_2_.Q
|
|
||||||
# !inst_CLK_000_D1.Q & !SM_AMIGA_6_.Q & !SM_AMIGA_1_.Q & !SM_AMIGA_0_.Q & !SM_AMIGA_4_.Q & !SM_AMIGA_5_.Q & !SM_AMIGA_3_.Q & !SM_AMIGA_2_.Q
|
|
||||||
# inst_CLK_000_D0.Q & !SM_AMIGA_6_.Q & !SM_AMIGA_1_.Q & !SM_AMIGA_0_.Q & !SM_AMIGA_4_.Q & !SM_AMIGA_5_.Q & !SM_AMIGA_3_.Q & !SM_AMIGA_2_.Q);
|
|
||||||
|
|
||||||
|
|
||||||
Reverse-Polarity Equations:
|
|
||||||
|
|
|
@ -1,440 +0,0 @@
|
||||||
#PLAFILE 68030_tk.tt4
|
|
||||||
#DATE 06/08/2014
|
|
||||||
#DESIGN <no design name>
|
|
||||||
#DEVICE mach447a
|
|
||||||
|
|
||||||
DATA LOCATION A0:G_8_69 // IO
|
|
||||||
DATA LOCATION AMIGA_BUS_DATA_DIR:E_0_48 // OUT
|
|
||||||
DATA LOCATION AMIGA_BUS_ENABLE:D_5_34 // IO {RN_AMIGA_BUS_ENABLE}
|
|
||||||
DATA LOCATION AMIGA_BUS_ENABLE_LOW:C_12_20 // IO {RN_AMIGA_BUS_ENABLE_LOW}
|
|
||||||
DATA LOCATION AMIGA_BUS_ENABLE_LOW_0:E_5 // NOD
|
|
||||||
DATA LOCATION AS_000:D_4_33 // IO {RN_AS_000}
|
|
||||||
DATA LOCATION AS_030:H_8_82 // IO {RN_AS_030}
|
|
||||||
DATA LOCATION AVEC:A_4_92 // OUT
|
|
||||||
DATA LOCATION AVEC_EXP:C_0_22 // IO {RN_AVEC_EXP}
|
|
||||||
DATA LOCATION A_16_:A_*_96 // INP
|
|
||||||
DATA LOCATION A_17_:F_*_59 // INP
|
|
||||||
DATA LOCATION A_18_:A_*_95 // INP
|
|
||||||
DATA LOCATION A_19_:A_*_97 // INP
|
|
||||||
DATA LOCATION A_20_:A_*_93 // INP
|
|
||||||
DATA LOCATION A_21_:A_*_94 // INP
|
|
||||||
DATA LOCATION A_22_:H_*_85 // INP
|
|
||||||
DATA LOCATION A_23_:H_*_84 // INP
|
|
||||||
DATA LOCATION A_24_:C_*_19 // INP
|
|
||||||
DATA LOCATION A_25_:C_*_18 // INP
|
|
||||||
DATA LOCATION A_26_:C_*_17 // INP
|
|
||||||
DATA LOCATION A_27_:C_*_16 // INP
|
|
||||||
DATA LOCATION A_28_:C_*_15 // INP
|
|
||||||
DATA LOCATION A_29_:B_*_6 // INP
|
|
||||||
DATA LOCATION A_30_:B_*_5 // INP
|
|
||||||
DATA LOCATION A_31_:B_*_4 // INP
|
|
||||||
DATA LOCATION BERR:E_*_41 // INP
|
|
||||||
DATA LOCATION BGACK_000:D_*_28 // INP
|
|
||||||
DATA LOCATION BGACK_030:H_4_83 // IO {RN_BGACK_030}
|
|
||||||
DATA LOCATION BG_000:D_13_29 // IO {RN_BG_000}
|
|
||||||
DATA LOCATION BG_030:C_*_21 // INP
|
|
||||||
DATA LOCATION CIIN:E_12_47 // OUT
|
|
||||||
DATA LOCATION CLK_000:*_*_11 // INP
|
|
||||||
DATA LOCATION CLK_000_N_SYNC_0_:A_5 // NOD
|
|
||||||
DATA LOCATION CLK_000_N_SYNC_10_:E_13 // NOD
|
|
||||||
DATA LOCATION CLK_000_N_SYNC_11_:A_6 // NOD
|
|
||||||
DATA LOCATION CLK_000_N_SYNC_1_:B_2 // NOD
|
|
||||||
DATA LOCATION CLK_000_N_SYNC_2_:F_2 // NOD
|
|
||||||
DATA LOCATION CLK_000_N_SYNC_3_:A_1 // NOD
|
|
||||||
DATA LOCATION CLK_000_N_SYNC_4_:G_2 // NOD
|
|
||||||
DATA LOCATION CLK_000_N_SYNC_5_:C_5 // NOD
|
|
||||||
DATA LOCATION CLK_000_N_SYNC_6_:C_6 // NOD
|
|
||||||
DATA LOCATION CLK_000_N_SYNC_7_:H_2 // NOD
|
|
||||||
DATA LOCATION CLK_000_N_SYNC_8_:C_1 // NOD
|
|
||||||
DATA LOCATION CLK_000_N_SYNC_9_:F_13 // NOD
|
|
||||||
DATA LOCATION CLK_000_P_SYNC_0_:A_2 // NOD
|
|
||||||
DATA LOCATION CLK_000_P_SYNC_1_:B_10 // NOD
|
|
||||||
DATA LOCATION CLK_000_P_SYNC_2_:B_6 // NOD
|
|
||||||
DATA LOCATION CLK_000_P_SYNC_3_:A_13 // NOD
|
|
||||||
DATA LOCATION CLK_000_P_SYNC_4_:E_2 // NOD
|
|
||||||
DATA LOCATION CLK_000_P_SYNC_5_:C_13 // NOD
|
|
||||||
DATA LOCATION CLK_000_P_SYNC_6_:C_9 // NOD
|
|
||||||
DATA LOCATION CLK_000_P_SYNC_7_:F_6 // NOD
|
|
||||||
DATA LOCATION CLK_000_P_SYNC_8_:A_9 // NOD
|
|
||||||
DATA LOCATION CLK_000_P_SYNC_9_:G_6 // NOD
|
|
||||||
DATA LOCATION CLK_030:*_*_64 // INP
|
|
||||||
DATA LOCATION CLK_CNT_N_0_:E_9 // NOD
|
|
||||||
DATA LOCATION CLK_CNT_N_1_:E_6 // NOD
|
|
||||||
DATA LOCATION CLK_CNT_P_0_:E_8 // NOD
|
|
||||||
DATA LOCATION CLK_CNT_P_1_:C_2 // NOD
|
|
||||||
DATA LOCATION CLK_DIV_OUT:G_1_65 // OUT
|
|
||||||
DATA LOCATION CLK_EXP:B_0_10 // OUT
|
|
||||||
DATA LOCATION CLK_OSZI:*_*_61 // Cin
|
|
||||||
DATA LOCATION DSACK1:H_12_81 // IO {RN_DSACK1}
|
|
||||||
DATA LOCATION DS_030:A_0_98 // IO {RN_DS_030}
|
|
||||||
DATA LOCATION DTACK:D_0_30 // IO
|
|
||||||
DATA LOCATION E:G_4_66 // IO {RN_E}
|
|
||||||
DATA LOCATION FC_0_:F_*_57 // INP
|
|
||||||
DATA LOCATION FC_1_:F_*_58 // INP
|
|
||||||
DATA LOCATION FPU_CS:H_5_78 // OUT
|
|
||||||
DATA LOCATION IPL_030_0_:B_8_8 // IO {RN_IPL_030_0_}
|
|
||||||
DATA LOCATION IPL_030_1_:B_12_7 // IO {RN_IPL_030_1_}
|
|
||||||
DATA LOCATION IPL_030_2_:B_4_9 // IO {RN_IPL_030_2_}
|
|
||||||
DATA LOCATION IPL_0_:G_*_67 // INP
|
|
||||||
DATA LOCATION IPL_1_:F_*_56 // INP
|
|
||||||
DATA LOCATION IPL_2_:G_*_68 // INP
|
|
||||||
DATA LOCATION LDS_000:D_12_31 // IO
|
|
||||||
DATA LOCATION RESET:B_1_3 // OUT
|
|
||||||
DATA LOCATION RN_AMIGA_BUS_ENABLE:D_5 // NOD {AMIGA_BUS_ENABLE}
|
|
||||||
DATA LOCATION RN_AMIGA_BUS_ENABLE_LOW:C_12 // NOD {AMIGA_BUS_ENABLE_LOW}
|
|
||||||
DATA LOCATION RN_AS_000:D_4 // NOD {AS_000}
|
|
||||||
DATA LOCATION RN_AS_030:H_8 // NOD {AS_030}
|
|
||||||
DATA LOCATION RN_AVEC_EXP:C_0 // NOD {AVEC_EXP}
|
|
||||||
DATA LOCATION RN_BGACK_030:H_4 // NOD {BGACK_030}
|
|
||||||
DATA LOCATION RN_BG_000:D_13 // NOD {BG_000}
|
|
||||||
DATA LOCATION RN_DSACK1:H_12 // NOD {DSACK1}
|
|
||||||
DATA LOCATION RN_DS_030:A_0 // NOD {DS_030}
|
|
||||||
DATA LOCATION RN_E:G_4 // NOD {E}
|
|
||||||
DATA LOCATION RN_IPL_030_0_:B_8 // NOD {IPL_030_0_}
|
|
||||||
DATA LOCATION RN_IPL_030_1_:B_12 // NOD {IPL_030_1_}
|
|
||||||
DATA LOCATION RN_IPL_030_2_:B_4 // NOD {IPL_030_2_}
|
|
||||||
DATA LOCATION RN_RW:G_0 // NOD {RW}
|
|
||||||
DATA LOCATION RN_RW_000:H_0 // NOD {RW_000}
|
|
||||||
DATA LOCATION RN_VMA:D_1 // NOD {VMA}
|
|
||||||
DATA LOCATION RST:*_*_86 // INP
|
|
||||||
DATA LOCATION RW:G_0_71 // IO {RN_RW}
|
|
||||||
DATA LOCATION RW_000:H_0_80 // IO {RN_RW_000}
|
|
||||||
DATA LOCATION SIZE_0_:G_12_70 // IO
|
|
||||||
DATA LOCATION SIZE_1_:H_1_79 // IO
|
|
||||||
DATA LOCATION SM_AMIGA_0_:D_6 // NOD
|
|
||||||
DATA LOCATION SM_AMIGA_1_:F_8 // NOD
|
|
||||||
DATA LOCATION SM_AMIGA_2_:F_9 // NOD
|
|
||||||
DATA LOCATION SM_AMIGA_3_:F_1 // NOD
|
|
||||||
DATA LOCATION SM_AMIGA_4_:B_13 // NOD
|
|
||||||
DATA LOCATION SM_AMIGA_5_:F_12 // NOD
|
|
||||||
DATA LOCATION SM_AMIGA_6_:D_9 // NOD
|
|
||||||
DATA LOCATION SM_AMIGA_7_:F_4 // NOD
|
|
||||||
DATA LOCATION SM_AMIGA_7__0:F_5 // NOD
|
|
||||||
DATA LOCATION UDS_000:D_8_32 // IO
|
|
||||||
DATA LOCATION VMA:D_1_35 // IO {RN_VMA}
|
|
||||||
DATA LOCATION VPA:*_*_36 // INP
|
|
||||||
DATA LOCATION cpu_est_0_:D_2 // NOD
|
|
||||||
DATA LOCATION cpu_est_1_:G_5 // NOD
|
|
||||||
DATA LOCATION cpu_est_2_:G_9 // NOD
|
|
||||||
DATA LOCATION inst_AS_030_000_SYNC:C_4 // NOD
|
|
||||||
DATA LOCATION inst_BGACK_030_INT_D:D_10 // NOD
|
|
||||||
DATA LOCATION inst_CLK_000_D0:F_0 // NOD
|
|
||||||
DATA LOCATION inst_CLK_000_D1:E_4 // NOD
|
|
||||||
DATA LOCATION inst_CLK_000_D2:G_10 // NOD
|
|
||||||
DATA LOCATION inst_CLK_000_D3:A_10 // NOD
|
|
||||||
DATA LOCATION inst_CLK_000_NE:C_8 // NOD
|
|
||||||
DATA LOCATION inst_CLK_030_H:A_12 // NOD
|
|
||||||
DATA LOCATION inst_CLK_OUT_PRE:E_10 // NOD
|
|
||||||
DATA LOCATION inst_CLK_OUT_PRE_25:A_8 // NOD
|
|
||||||
DATA LOCATION inst_CLK_OUT_PRE_50:H_13 // NOD
|
|
||||||
DATA LOCATION inst_CLK_OUT_PRE_50_D:H_6 // NOD
|
|
||||||
DATA LOCATION inst_CLK_OUT_PRE_D:H_9 // NOD
|
|
||||||
DATA LOCATION inst_DS_000_ENABLE:B_5 // NOD
|
|
||||||
DATA LOCATION inst_LDS_000_INT:G_13 // NOD
|
|
||||||
DATA LOCATION inst_UDS_000_INT:B_9 // NOD
|
|
||||||
DATA LOCATION inst_VPA_D:C_10 // NOD
|
|
||||||
DATA LOCATION nEXP_SPACE:*_*_14 // INP
|
|
||||||
DATA LOCATION un16_ciin:E_1 // NOD
|
|
||||||
DATA IO_DIR A0:BI
|
|
||||||
DATA IO_DIR AMIGA_BUS_DATA_DIR:OUT
|
|
||||||
DATA IO_DIR AMIGA_BUS_ENABLE:OUT
|
|
||||||
DATA IO_DIR AMIGA_BUS_ENABLE_LOW:OUT
|
|
||||||
DATA IO_DIR AS_000:BI
|
|
||||||
DATA IO_DIR AS_030:BI
|
|
||||||
DATA IO_DIR AVEC:OUT
|
|
||||||
DATA IO_DIR AVEC_EXP:OUT
|
|
||||||
DATA IO_DIR A_16_:IN
|
|
||||||
DATA IO_DIR A_17_:IN
|
|
||||||
DATA IO_DIR A_18_:IN
|
|
||||||
DATA IO_DIR A_19_:IN
|
|
||||||
DATA IO_DIR A_20_:IN
|
|
||||||
DATA IO_DIR A_21_:IN
|
|
||||||
DATA IO_DIR A_22_:IN
|
|
||||||
DATA IO_DIR A_23_:IN
|
|
||||||
DATA IO_DIR A_24_:IN
|
|
||||||
DATA IO_DIR A_25_:IN
|
|
||||||
DATA IO_DIR A_26_:IN
|
|
||||||
DATA IO_DIR A_27_:IN
|
|
||||||
DATA IO_DIR A_28_:IN
|
|
||||||
DATA IO_DIR A_29_:IN
|
|
||||||
DATA IO_DIR A_30_:IN
|
|
||||||
DATA IO_DIR A_31_:IN
|
|
||||||
DATA IO_DIR BERR:IN
|
|
||||||
DATA IO_DIR BGACK_000:IN
|
|
||||||
DATA IO_DIR BGACK_030:OUT
|
|
||||||
DATA IO_DIR BG_000:OUT
|
|
||||||
DATA IO_DIR BG_030:IN
|
|
||||||
DATA IO_DIR CIIN:OUT
|
|
||||||
DATA IO_DIR CLK_000:IN
|
|
||||||
DATA IO_DIR CLK_030:IN
|
|
||||||
DATA IO_DIR CLK_DIV_OUT:OUT
|
|
||||||
DATA IO_DIR CLK_EXP:OUT
|
|
||||||
DATA IO_DIR CLK_OSZI:IN
|
|
||||||
DATA IO_DIR DSACK1:BI
|
|
||||||
DATA IO_DIR DS_030:BI
|
|
||||||
DATA IO_DIR DTACK:BI
|
|
||||||
DATA IO_DIR E:OUT
|
|
||||||
DATA IO_DIR FC_0_:IN
|
|
||||||
DATA IO_DIR FC_1_:IN
|
|
||||||
DATA IO_DIR FPU_CS:OUT
|
|
||||||
DATA IO_DIR IPL_030_0_:OUT
|
|
||||||
DATA IO_DIR IPL_030_1_:OUT
|
|
||||||
DATA IO_DIR IPL_030_2_:OUT
|
|
||||||
DATA IO_DIR IPL_0_:IN
|
|
||||||
DATA IO_DIR IPL_1_:IN
|
|
||||||
DATA IO_DIR IPL_2_:IN
|
|
||||||
DATA IO_DIR LDS_000:BI
|
|
||||||
DATA IO_DIR RESET:OUT
|
|
||||||
DATA IO_DIR RST:IN
|
|
||||||
DATA IO_DIR RW:BI
|
|
||||||
DATA IO_DIR RW_000:BI
|
|
||||||
DATA IO_DIR SIZE_0_:BI
|
|
||||||
DATA IO_DIR SIZE_1_:BI
|
|
||||||
DATA IO_DIR UDS_000:BI
|
|
||||||
DATA IO_DIR VMA:OUT
|
|
||||||
DATA IO_DIR VPA:IN
|
|
||||||
DATA IO_DIR nEXP_SPACE:IN
|
|
||||||
DATA GLB_CLOCK CLK_OSZI
|
|
||||||
DATA PW_LEVEL A_31_:0
|
|
||||||
DATA SLEW A_31_:1
|
|
||||||
DATA PW_LEVEL IPL_2_:0
|
|
||||||
DATA SLEW IPL_2_:1
|
|
||||||
DATA PW_LEVEL FC_1_:0
|
|
||||||
DATA SLEW FC_1_:1
|
|
||||||
DATA PW_LEVEL A_30_:0
|
|
||||||
DATA SLEW A_30_:1
|
|
||||||
DATA PW_LEVEL UDS_000:0
|
|
||||||
DATA SLEW UDS_000:1
|
|
||||||
DATA PW_LEVEL A_29_:0
|
|
||||||
DATA SLEW A_29_:1
|
|
||||||
DATA PW_LEVEL LDS_000:0
|
|
||||||
DATA SLEW LDS_000:1
|
|
||||||
DATA PW_LEVEL A_28_:0
|
|
||||||
DATA SLEW A_28_:1
|
|
||||||
DATA PW_LEVEL A_27_:0
|
|
||||||
DATA SLEW A_27_:1
|
|
||||||
DATA SLEW nEXP_SPACE:1
|
|
||||||
DATA PW_LEVEL A_26_:0
|
|
||||||
DATA SLEW A_26_:1
|
|
||||||
DATA PW_LEVEL BERR:0
|
|
||||||
DATA SLEW BERR:1
|
|
||||||
DATA PW_LEVEL A_25_:0
|
|
||||||
DATA SLEW A_25_:1
|
|
||||||
DATA PW_LEVEL BG_030:0
|
|
||||||
DATA SLEW BG_030:1
|
|
||||||
DATA PW_LEVEL A_24_:0
|
|
||||||
DATA SLEW A_24_:1
|
|
||||||
DATA PW_LEVEL A_23_:0
|
|
||||||
DATA SLEW A_23_:1
|
|
||||||
DATA PW_LEVEL A_22_:0
|
|
||||||
DATA SLEW A_22_:1
|
|
||||||
DATA PW_LEVEL BGACK_000:0
|
|
||||||
DATA SLEW BGACK_000:1
|
|
||||||
DATA PW_LEVEL A_21_:0
|
|
||||||
DATA SLEW A_21_:1
|
|
||||||
DATA SLEW CLK_030:1
|
|
||||||
DATA PW_LEVEL A_20_:0
|
|
||||||
DATA SLEW A_20_:1
|
|
||||||
DATA SLEW CLK_000:1
|
|
||||||
DATA PW_LEVEL A_19_:0
|
|
||||||
DATA SLEW A_19_:1
|
|
||||||
DATA SLEW CLK_OSZI:1
|
|
||||||
DATA PW_LEVEL A_18_:0
|
|
||||||
DATA SLEW A_18_:1
|
|
||||||
DATA PW_LEVEL CLK_DIV_OUT:0
|
|
||||||
DATA SLEW CLK_DIV_OUT:1
|
|
||||||
DATA PW_LEVEL A_17_:0
|
|
||||||
DATA SLEW A_17_:1
|
|
||||||
DATA PW_LEVEL A_16_:0
|
|
||||||
DATA SLEW A_16_:1
|
|
||||||
DATA PW_LEVEL FPU_CS:0
|
|
||||||
DATA SLEW FPU_CS:1
|
|
||||||
DATA PW_LEVEL DTACK:0
|
|
||||||
DATA SLEW DTACK:1
|
|
||||||
DATA PW_LEVEL IPL_1_:0
|
|
||||||
DATA SLEW IPL_1_:1
|
|
||||||
DATA PW_LEVEL AVEC:0
|
|
||||||
DATA SLEW AVEC:1
|
|
||||||
DATA PW_LEVEL IPL_0_:0
|
|
||||||
DATA SLEW IPL_0_:1
|
|
||||||
DATA PW_LEVEL FC_0_:0
|
|
||||||
DATA SLEW FC_0_:1
|
|
||||||
DATA SLEW VPA:1
|
|
||||||
DATA SLEW RST:1
|
|
||||||
DATA PW_LEVEL AMIGA_BUS_DATA_DIR:0
|
|
||||||
DATA SLEW AMIGA_BUS_DATA_DIR:1
|
|
||||||
DATA PW_LEVEL CIIN:0
|
|
||||||
DATA SLEW CIIN:1
|
|
||||||
DATA PW_LEVEL SIZE_1_:0
|
|
||||||
DATA SLEW SIZE_1_:1
|
|
||||||
DATA PW_LEVEL IPL_030_2_:0
|
|
||||||
DATA SLEW IPL_030_2_:1
|
|
||||||
DATA PW_LEVEL AS_030:0
|
|
||||||
DATA SLEW AS_030:1
|
|
||||||
DATA PW_LEVEL AS_000:0
|
|
||||||
DATA SLEW AS_000:1
|
|
||||||
DATA PW_LEVEL RW_000:0
|
|
||||||
DATA SLEW RW_000:1
|
|
||||||
DATA PW_LEVEL SIZE_0_:0
|
|
||||||
DATA SLEW SIZE_0_:1
|
|
||||||
DATA PW_LEVEL DS_030:0
|
|
||||||
DATA SLEW DS_030:1
|
|
||||||
DATA PW_LEVEL A0:0
|
|
||||||
DATA SLEW A0:1
|
|
||||||
DATA PW_LEVEL BG_000:0
|
|
||||||
DATA SLEW BG_000:1
|
|
||||||
DATA PW_LEVEL BGACK_030:0
|
|
||||||
DATA SLEW BGACK_030:1
|
|
||||||
DATA PW_LEVEL CLK_EXP:0
|
|
||||||
DATA SLEW CLK_EXP:1
|
|
||||||
DATA PW_LEVEL IPL_030_1_:0
|
|
||||||
DATA SLEW IPL_030_1_:1
|
|
||||||
DATA PW_LEVEL DSACK1:0
|
|
||||||
DATA SLEW DSACK1:1
|
|
||||||
DATA PW_LEVEL IPL_030_0_:0
|
|
||||||
DATA SLEW IPL_030_0_:1
|
|
||||||
DATA PW_LEVEL AVEC_EXP:0
|
|
||||||
DATA SLEW AVEC_EXP:1
|
|
||||||
DATA PW_LEVEL E:0
|
|
||||||
DATA SLEW E:1
|
|
||||||
DATA PW_LEVEL VMA:0
|
|
||||||
DATA SLEW VMA:1
|
|
||||||
DATA PW_LEVEL RESET:0
|
|
||||||
DATA SLEW RESET:1
|
|
||||||
DATA PW_LEVEL RW:0
|
|
||||||
DATA SLEW RW:1
|
|
||||||
DATA PW_LEVEL AMIGA_BUS_ENABLE:0
|
|
||||||
DATA SLEW AMIGA_BUS_ENABLE:1
|
|
||||||
DATA PW_LEVEL AMIGA_BUS_ENABLE_LOW:0
|
|
||||||
DATA SLEW AMIGA_BUS_ENABLE_LOW:1
|
|
||||||
DATA PW_LEVEL inst_AS_030_000_SYNC:0
|
|
||||||
DATA SLEW inst_AS_030_000_SYNC:1
|
|
||||||
DATA PW_LEVEL inst_BGACK_030_INT_D:0
|
|
||||||
DATA SLEW inst_BGACK_030_INT_D:1
|
|
||||||
DATA PW_LEVEL inst_VPA_D:0
|
|
||||||
DATA SLEW inst_VPA_D:1
|
|
||||||
DATA PW_LEVEL inst_CLK_OUT_PRE_50_D:0
|
|
||||||
DATA SLEW inst_CLK_OUT_PRE_50_D:1
|
|
||||||
DATA PW_LEVEL CLK_CNT_N_0_:0
|
|
||||||
DATA SLEW CLK_CNT_N_0_:1
|
|
||||||
DATA PW_LEVEL inst_CLK_OUT_PRE_50:0
|
|
||||||
DATA SLEW inst_CLK_OUT_PRE_50:1
|
|
||||||
DATA PW_LEVEL inst_CLK_OUT_PRE_25:0
|
|
||||||
DATA SLEW inst_CLK_OUT_PRE_25:1
|
|
||||||
DATA PW_LEVEL inst_CLK_000_D1:0
|
|
||||||
DATA SLEW inst_CLK_000_D1:1
|
|
||||||
DATA PW_LEVEL inst_CLK_000_D2:0
|
|
||||||
DATA SLEW inst_CLK_000_D2:1
|
|
||||||
DATA PW_LEVEL inst_CLK_000_D3:0
|
|
||||||
DATA SLEW inst_CLK_000_D3:1
|
|
||||||
DATA PW_LEVEL inst_CLK_000_D0:0
|
|
||||||
DATA SLEW inst_CLK_000_D0:1
|
|
||||||
DATA PW_LEVEL inst_CLK_000_NE:0
|
|
||||||
DATA SLEW inst_CLK_000_NE:1
|
|
||||||
DATA PW_LEVEL inst_CLK_OUT_PRE_D:0
|
|
||||||
DATA SLEW inst_CLK_OUT_PRE_D:1
|
|
||||||
DATA PW_LEVEL inst_CLK_OUT_PRE:0
|
|
||||||
DATA SLEW inst_CLK_OUT_PRE:1
|
|
||||||
DATA PW_LEVEL CLK_000_P_SYNC_9_:0
|
|
||||||
DATA SLEW CLK_000_P_SYNC_9_:1
|
|
||||||
DATA PW_LEVEL CLK_000_N_SYNC_11_:0
|
|
||||||
DATA SLEW CLK_000_N_SYNC_11_:1
|
|
||||||
DATA PW_LEVEL SM_AMIGA_7_:0
|
|
||||||
DATA SLEW SM_AMIGA_7_:1
|
|
||||||
DATA PW_LEVEL SM_AMIGA_6_:0
|
|
||||||
DATA SLEW SM_AMIGA_6_:1
|
|
||||||
DATA PW_LEVEL SM_AMIGA_1_:0
|
|
||||||
DATA SLEW SM_AMIGA_1_:1
|
|
||||||
DATA PW_LEVEL SM_AMIGA_0_:0
|
|
||||||
DATA SLEW SM_AMIGA_0_:1
|
|
||||||
DATA PW_LEVEL SM_AMIGA_4_:0
|
|
||||||
DATA SLEW SM_AMIGA_4_:1
|
|
||||||
DATA PW_LEVEL CLK_000_N_SYNC_6_:0
|
|
||||||
DATA SLEW CLK_000_N_SYNC_6_:1
|
|
||||||
DATA PW_LEVEL inst_CLK_030_H:0
|
|
||||||
DATA SLEW inst_CLK_030_H:1
|
|
||||||
DATA PW_LEVEL CLK_CNT_P_1_:0
|
|
||||||
DATA SLEW CLK_CNT_P_1_:1
|
|
||||||
DATA PW_LEVEL CLK_CNT_N_1_:0
|
|
||||||
DATA SLEW CLK_CNT_N_1_:1
|
|
||||||
DATA PW_LEVEL CLK_CNT_P_0_:0
|
|
||||||
DATA SLEW CLK_CNT_P_0_:1
|
|
||||||
DATA PW_LEVEL inst_LDS_000_INT:0
|
|
||||||
DATA SLEW inst_LDS_000_INT:1
|
|
||||||
DATA PW_LEVEL inst_DS_000_ENABLE:0
|
|
||||||
DATA SLEW inst_DS_000_ENABLE:1
|
|
||||||
DATA PW_LEVEL inst_UDS_000_INT:0
|
|
||||||
DATA SLEW inst_UDS_000_INT:1
|
|
||||||
DATA PW_LEVEL CLK_000_P_SYNC_0_:0
|
|
||||||
DATA SLEW CLK_000_P_SYNC_0_:1
|
|
||||||
DATA PW_LEVEL CLK_000_P_SYNC_1_:0
|
|
||||||
DATA SLEW CLK_000_P_SYNC_1_:1
|
|
||||||
DATA PW_LEVEL CLK_000_P_SYNC_2_:0
|
|
||||||
DATA SLEW CLK_000_P_SYNC_2_:1
|
|
||||||
DATA PW_LEVEL CLK_000_P_SYNC_3_:0
|
|
||||||
DATA SLEW CLK_000_P_SYNC_3_:1
|
|
||||||
DATA PW_LEVEL CLK_000_P_SYNC_4_:0
|
|
||||||
DATA SLEW CLK_000_P_SYNC_4_:1
|
|
||||||
DATA PW_LEVEL CLK_000_P_SYNC_5_:0
|
|
||||||
DATA SLEW CLK_000_P_SYNC_5_:1
|
|
||||||
DATA PW_LEVEL CLK_000_P_SYNC_6_:0
|
|
||||||
DATA SLEW CLK_000_P_SYNC_6_:1
|
|
||||||
DATA PW_LEVEL CLK_000_P_SYNC_7_:0
|
|
||||||
DATA SLEW CLK_000_P_SYNC_7_:1
|
|
||||||
DATA PW_LEVEL CLK_000_P_SYNC_8_:0
|
|
||||||
DATA SLEW CLK_000_P_SYNC_8_:1
|
|
||||||
DATA PW_LEVEL CLK_000_N_SYNC_0_:0
|
|
||||||
DATA SLEW CLK_000_N_SYNC_0_:1
|
|
||||||
DATA PW_LEVEL CLK_000_N_SYNC_1_:0
|
|
||||||
DATA SLEW CLK_000_N_SYNC_1_:1
|
|
||||||
DATA PW_LEVEL CLK_000_N_SYNC_2_:0
|
|
||||||
DATA SLEW CLK_000_N_SYNC_2_:1
|
|
||||||
DATA PW_LEVEL CLK_000_N_SYNC_3_:0
|
|
||||||
DATA SLEW CLK_000_N_SYNC_3_:1
|
|
||||||
DATA PW_LEVEL CLK_000_N_SYNC_4_:0
|
|
||||||
DATA SLEW CLK_000_N_SYNC_4_:1
|
|
||||||
DATA PW_LEVEL CLK_000_N_SYNC_5_:0
|
|
||||||
DATA SLEW CLK_000_N_SYNC_5_:1
|
|
||||||
DATA PW_LEVEL CLK_000_N_SYNC_7_:0
|
|
||||||
DATA SLEW CLK_000_N_SYNC_7_:1
|
|
||||||
DATA PW_LEVEL CLK_000_N_SYNC_8_:0
|
|
||||||
DATA SLEW CLK_000_N_SYNC_8_:1
|
|
||||||
DATA PW_LEVEL CLK_000_N_SYNC_9_:0
|
|
||||||
DATA SLEW CLK_000_N_SYNC_9_:1
|
|
||||||
DATA PW_LEVEL CLK_000_N_SYNC_10_:0
|
|
||||||
DATA SLEW CLK_000_N_SYNC_10_:1
|
|
||||||
DATA PW_LEVEL SM_AMIGA_5_:0
|
|
||||||
DATA SLEW SM_AMIGA_5_:1
|
|
||||||
DATA PW_LEVEL SM_AMIGA_3_:0
|
|
||||||
DATA SLEW SM_AMIGA_3_:1
|
|
||||||
DATA PW_LEVEL SM_AMIGA_2_:0
|
|
||||||
DATA SLEW SM_AMIGA_2_:1
|
|
||||||
DATA PW_LEVEL un16_ciin:0
|
|
||||||
DATA SLEW un16_ciin:1
|
|
||||||
DATA PW_LEVEL cpu_est_0_:0
|
|
||||||
DATA SLEW cpu_est_0_:1
|
|
||||||
DATA PW_LEVEL cpu_est_1_:0
|
|
||||||
DATA SLEW cpu_est_1_:1
|
|
||||||
DATA PW_LEVEL cpu_est_2_:0
|
|
||||||
DATA SLEW cpu_est_2_:1
|
|
||||||
DATA PW_LEVEL AMIGA_BUS_ENABLE_LOW_0:0
|
|
||||||
DATA SLEW AMIGA_BUS_ENABLE_LOW_0:1
|
|
||||||
DATA PW_LEVEL SM_AMIGA_7__0:0
|
|
||||||
DATA SLEW SM_AMIGA_7__0:1
|
|
||||||
DATA PW_LEVEL RN_IPL_030_2_:0
|
|
||||||
DATA PW_LEVEL RN_AS_030:0
|
|
||||||
DATA PW_LEVEL RN_AS_000:0
|
|
||||||
DATA PW_LEVEL RN_RW_000:0
|
|
||||||
DATA PW_LEVEL RN_DS_030:0
|
|
||||||
DATA PW_LEVEL RN_BG_000:0
|
|
||||||
DATA PW_LEVEL RN_BGACK_030:0
|
|
||||||
DATA PW_LEVEL RN_IPL_030_1_:0
|
|
||||||
DATA PW_LEVEL RN_DSACK1:0
|
|
||||||
DATA PW_LEVEL RN_IPL_030_0_:0
|
|
||||||
DATA PW_LEVEL RN_AVEC_EXP:0
|
|
||||||
DATA PW_LEVEL RN_E:0
|
|
||||||
DATA PW_LEVEL RN_VMA:0
|
|
||||||
DATA PW_LEVEL RN_RW:0
|
|
||||||
DATA PW_LEVEL RN_AMIGA_BUS_ENABLE:0
|
|
||||||
DATA PW_LEVEL RN_AMIGA_BUS_ENABLE_LOW:0
|
|
||||||
END
|
|
|
@ -1,26 +0,0 @@
|
||||||
|
|
||||||
GROUP MACH_SEG_A DS_030 RN_DS_030 CLK_000_P_SYNC_0_ CLK_000_N_SYNC_0_ inst_CLK_OUT_PRE_25
|
|
||||||
CLK_000_N_SYNC_11_ CLK_000_P_SYNC_3_ CLK_000_P_SYNC_8_ CLK_000_N_SYNC_3_
|
|
||||||
inst_CLK_000_D3 AVEC inst_CLK_030_H
|
|
||||||
GROUP MACH_SEG_B IPL_030_1_ RN_IPL_030_1_ IPL_030_0_ RN_IPL_030_0_ IPL_030_2_
|
|
||||||
RN_IPL_030_2_ CLK_EXP RESET inst_DS_000_ENABLE SM_AMIGA_4_ inst_UDS_000_INT
|
|
||||||
CLK_000_P_SYNC_1_ CLK_000_P_SYNC_2_ CLK_000_N_SYNC_1_
|
|
||||||
GROUP MACH_SEG_C AMIGA_BUS_ENABLE_LOW RN_AMIGA_BUS_ENABLE_LOW AVEC_EXP
|
|
||||||
RN_AVEC_EXP inst_AS_030_000_SYNC inst_CLK_000_NE CLK_000_N_SYNC_6_
|
|
||||||
CLK_000_P_SYNC_5_ CLK_000_P_SYNC_6_ CLK_000_N_SYNC_5_ CLK_000_N_SYNC_8_
|
|
||||||
CLK_CNT_P_1_ inst_VPA_D
|
|
||||||
GROUP MACH_SEG_D AMIGA_BUS_ENABLE RN_AMIGA_BUS_ENABLE VMA RN_VMA AS_000
|
|
||||||
RN_AS_000 BG_000 RN_BG_000 SM_AMIGA_6_ SM_AMIGA_0_ cpu_est_0_ inst_BGACK_030_INT_D
|
|
||||||
DTACK LDS_000 UDS_000
|
|
||||||
GROUP MACH_SEG_E CLK_CNT_N_0_ CLK_CNT_P_0_ CLK_000_P_SYNC_4_ CLK_000_N_SYNC_10_
|
|
||||||
inst_CLK_OUT_PRE CLK_CNT_N_1_ inst_CLK_000_D1 CIIN AMIGA_BUS_DATA_DIR
|
|
||||||
un16_ciin AMIGA_BUS_ENABLE_LOW_0
|
|
||||||
GROUP MACH_SEG_F SM_AMIGA_7_ SM_AMIGA_3_ SM_AMIGA_2_ SM_AMIGA_1_ SM_AMIGA_5_
|
|
||||||
CLK_000_P_SYNC_7_ CLK_000_N_SYNC_2_ CLK_000_N_SYNC_9_ inst_CLK_000_D0
|
|
||||||
SM_AMIGA_7__0
|
|
||||||
GROUP MACH_SEG_G RW RN_RW A0 SIZE_0_ E RN_E CLK_DIV_OUT inst_LDS_000_INT
|
|
||||||
cpu_est_1_ cpu_est_2_ CLK_000_P_SYNC_9_ CLK_000_N_SYNC_4_ inst_CLK_000_D2
|
|
||||||
|
|
||||||
GROUP MACH_SEG_H AS_030 RN_AS_030 DSACK1 RN_DSACK1 RW_000 RN_RW_000 SIZE_1_
|
|
||||||
BGACK_030 RN_BGACK_030 inst_CLK_OUT_PRE_D CLK_000_N_SYNC_7_ inst_CLK_OUT_PRE_50_D
|
|
||||||
inst_CLK_OUT_PRE_50 FPU_CS
|
|
|
@ -1,2 +0,0 @@
|
||||||
No pin assignment or valid property.
|
|
||||||
No source constraints were imported.
|
|
|
@ -1 +1 @@
|
||||||
<LATTICE_ENCRYPTED_BLIF>1726215JW3x4r'
|
<LATTICE_ENCRYPTED_BLIF>3236<332f[6P(
|
File diff suppressed because it is too large
Load Diff
|
@ -1 +0,0 @@
|
||||||
-ck Min -ce On -ar On -ap On -oe On -split 16 -clust 5 -xor on -speed -ifb yes -sr no -device M4A5
|
|
|
@ -1,239 +0,0 @@
|
||||||
[DEVICE]
|
|
||||||
Family = M4A5;
|
|
||||||
PartType = M4A5-128/64;
|
|
||||||
Package = 100TQFP;
|
|
||||||
PartNumber = M4A5-128/64-10VC;
|
|
||||||
Speed = -10;
|
|
||||||
Operating_condition = COM;
|
|
||||||
EN_Segment = No;
|
|
||||||
Pin_MC_1to1 = No;
|
|
||||||
EN_PinReserve_IO = Yes;
|
|
||||||
EN_PinReserve_BIDIR = Yes;
|
|
||||||
Voltage = 5.0;
|
|
||||||
|
|
||||||
[REVISION]
|
|
||||||
RCS = "$Revision: 1.2 $";
|
|
||||||
Parent = m4a5.lci;
|
|
||||||
SDS_File = m4a5.sds;
|
|
||||||
Design = 68030_tk.tt4;
|
|
||||||
DATE = 6/22/14;
|
|
||||||
TIME = 21:24:26;
|
|
||||||
Source_Format = Pure_VHDL;
|
|
||||||
Type = TT2;
|
|
||||||
Pre_Fit_Time = 1;
|
|
||||||
|
|
||||||
[IGNORE ASSIGNMENTS]
|
|
||||||
Pin_Assignments = No;
|
|
||||||
Pin_Keep_Block = No;
|
|
||||||
Pin_Keep_Segment = No;
|
|
||||||
Group_Assignments = No;
|
|
||||||
Macrocell_Assignments = No;
|
|
||||||
Macrocell_Keep_Block = No;
|
|
||||||
Macrocell_Keep_Segment = No;
|
|
||||||
Pin_Reservation = No;
|
|
||||||
Block_Reservation = No;
|
|
||||||
Segment_Reservation = No;
|
|
||||||
Timing_Constraints = No;
|
|
||||||
|
|
||||||
[CLEAR ASSIGNMENTS]
|
|
||||||
Pin_Assignments = No;
|
|
||||||
Pin_Keep_Block = No;
|
|
||||||
Pin_Keep_Segment = No;
|
|
||||||
Group_Assignments = No;
|
|
||||||
Macrocell_Assignments = No;
|
|
||||||
Macrocell_Keep_Block = No;
|
|
||||||
Macrocell_Keep_Segment = No;
|
|
||||||
Pin_Reservation = No;
|
|
||||||
Block_Reservation = No;
|
|
||||||
Segment_Reservation = No;
|
|
||||||
Timing_Constraints = No;
|
|
||||||
|
|
||||||
[BACKANNOTATE ASSIGNMENTS]
|
|
||||||
Pin_Block = No;
|
|
||||||
Pin_Macrocell_Block = No;
|
|
||||||
Routing = No;
|
|
||||||
|
|
||||||
[GLOBAL CONSTRAINTS]
|
|
||||||
Max_PTerm_Split = 16;
|
|
||||||
Max_PTerm_Collapse = 16;
|
|
||||||
Max_Pin_Percent = 100;
|
|
||||||
Max_Macrocell_Percent = 100;
|
|
||||||
Max_GLB_Input_Percent = 100;
|
|
||||||
Max_Seg_In_Percent = 100;
|
|
||||||
Logic_Reduction = Yes;
|
|
||||||
XOR_Synthesis = Yes;
|
|
||||||
DT_Synthesis = Yes;
|
|
||||||
Node_Collapse = Yes;
|
|
||||||
Run_Time = 0;
|
|
||||||
Set_Reset_Dont_Care = Yes;
|
|
||||||
Clock_Optimize = No;
|
|
||||||
In_Reg_Optimize = Yes;
|
|
||||||
Balanced_Partitioning = Yes;
|
|
||||||
Device_max_fanin = 33;
|
|
||||||
Device_max_pterms = 20;
|
|
||||||
Usercode = 0;
|
|
||||||
Usercode_Format = Hex;
|
|
||||||
|
|
||||||
[LOCATION ASSIGNMENTS]
|
|
||||||
Layer = OFF;
|
|
||||||
A_31_ = pin,4,-,B,-;
|
|
||||||
IPL_2_ = pin,68,-,G,-;
|
|
||||||
FC_1_ = pin,58,-,F,-;
|
|
||||||
A_30_ = pin,5,-,B,-;
|
|
||||||
UDS_000 = pin,32,-,D,-;
|
|
||||||
A_29_ = pin,6,-,B,-;
|
|
||||||
LDS_000 = pin,31,-,D,-;
|
|
||||||
A_28_ = pin,15,-,C,-;
|
|
||||||
A_27_ = pin,16,-,C,-;
|
|
||||||
nEXP_SPACE = pin,14,-,-,-;
|
|
||||||
A_26_ = pin,17,-,C,-;
|
|
||||||
BERR = pin,41,-,E,-;
|
|
||||||
A_25_ = pin,18,-,C,-;
|
|
||||||
BG_030 = pin,21,-,C,-;
|
|
||||||
A_24_ = pin,19,-,C,-;
|
|
||||||
A_23_ = pin,84,-,H,-;
|
|
||||||
A_22_ = pin,85,-,H,-;
|
|
||||||
BGACK_000 = pin,28,-,D,-;
|
|
||||||
A_21_ = pin,94,-,A,-;
|
|
||||||
CLK_030 = pin,64,-,-,-;
|
|
||||||
A_20_ = pin,93,-,A,-;
|
|
||||||
CLK_000 = pin,11,-,-,-;
|
|
||||||
A_19_ = pin,97,-,A,-;
|
|
||||||
CLK_OSZI = pin,61,-,-,-;
|
|
||||||
A_18_ = pin,95,-,A,-;
|
|
||||||
CLK_DIV_OUT = pin,65,-,G,-;
|
|
||||||
A_17_ = pin,59,-,F,-;
|
|
||||||
A_16_ = pin,96,-,A,-;
|
|
||||||
FPU_CS = pin,78,-,H,-;
|
|
||||||
DTACK = pin,30,-,D,-;
|
|
||||||
IPL_1_ = pin,56,-,F,-;
|
|
||||||
AVEC = pin,92,-,A,-;
|
|
||||||
IPL_0_ = pin,67,-,G,-;
|
|
||||||
FC_0_ = pin,57,-,F,-;
|
|
||||||
VPA = pin,36,-,-,-;
|
|
||||||
RST = pin,86,-,-,-;
|
|
||||||
AMIGA_BUS_DATA_DIR = pin,48,-,E,-;
|
|
||||||
CIIN = pin,47,-,E,-;
|
|
||||||
SIZE_1_ = pin,79,-,H,-;
|
|
||||||
IPL_030_2_ = pin,9,-,B,-;
|
|
||||||
AS_030 = pin,82,-,H,-;
|
|
||||||
AS_000 = pin,33,-,D,-;
|
|
||||||
RW_000 = pin,80,-,H,-;
|
|
||||||
SIZE_0_ = pin,70,-,G,-;
|
|
||||||
DS_030 = pin,98,-,A,-;
|
|
||||||
A0 = pin,69,-,G,-;
|
|
||||||
BG_000 = pin,29,-,D,-;
|
|
||||||
BGACK_030 = pin,83,-,H,-;
|
|
||||||
CLK_EXP = pin,10,-,B,-;
|
|
||||||
IPL_030_1_ = pin,7,-,B,-;
|
|
||||||
DSACK1 = pin,81,-,H,-;
|
|
||||||
IPL_030_0_ = pin,8,-,B,-;
|
|
||||||
AVEC_EXP = pin,22,-,C,-;
|
|
||||||
E = pin,66,-,G,-;
|
|
||||||
VMA = pin,35,-,D,-;
|
|
||||||
RESET = pin,3,-,B,-;
|
|
||||||
RW = pin,71,-,G,-;
|
|
||||||
AMIGA_BUS_ENABLE = pin,34,-,D,-;
|
|
||||||
AMIGA_BUS_ENABLE_LOW = pin,20,-,C,-;
|
|
||||||
inst_AS_030_000_SYNC = node,-,-,C,4;
|
|
||||||
inst_BGACK_030_INT_D = node,-,-,D,10;
|
|
||||||
inst_VPA_D = node,-,-,C,10;
|
|
||||||
inst_CLK_OUT_PRE_50_D = node,-,-,H,6;
|
|
||||||
CLK_CNT_N_0_ = node,-,-,E,9;
|
|
||||||
inst_CLK_OUT_PRE_50 = node,-,-,H,13;
|
|
||||||
inst_CLK_OUT_PRE_25 = node,-,-,A,8;
|
|
||||||
inst_CLK_000_D1 = node,-,-,E,4;
|
|
||||||
inst_CLK_000_D2 = node,-,-,G,10;
|
|
||||||
inst_CLK_000_D3 = node,-,-,A,10;
|
|
||||||
inst_CLK_000_D0 = node,-,-,F,0;
|
|
||||||
inst_CLK_000_NE = node,-,-,C,8;
|
|
||||||
inst_CLK_OUT_PRE_D = node,-,-,H,9;
|
|
||||||
inst_CLK_OUT_PRE = node,-,-,E,10;
|
|
||||||
CLK_000_P_SYNC_9_ = node,-,-,G,6;
|
|
||||||
CLK_000_N_SYNC_11_ = node,-,-,A,6;
|
|
||||||
SM_AMIGA_7_ = node,-,-,F,4;
|
|
||||||
SM_AMIGA_6_ = node,-,-,D,9;
|
|
||||||
SM_AMIGA_1_ = node,-,-,F,8;
|
|
||||||
SM_AMIGA_0_ = node,-,-,D,6;
|
|
||||||
SM_AMIGA_4_ = node,-,-,B,13;
|
|
||||||
CLK_000_N_SYNC_6_ = node,-,-,C,6;
|
|
||||||
inst_CLK_030_H = node,-,-,A,12;
|
|
||||||
CLK_CNT_P_1_ = node,-,-,C,2;
|
|
||||||
CLK_CNT_N_1_ = node,-,-,E,6;
|
|
||||||
CLK_CNT_P_0_ = node,-,-,E,8;
|
|
||||||
inst_LDS_000_INT = node,-,-,G,13;
|
|
||||||
inst_DS_000_ENABLE = node,-,-,B,5;
|
|
||||||
inst_UDS_000_INT = node,-,-,B,9;
|
|
||||||
CLK_000_P_SYNC_0_ = node,-,-,A,2;
|
|
||||||
CLK_000_P_SYNC_1_ = node,-,-,B,10;
|
|
||||||
CLK_000_P_SYNC_2_ = node,-,-,B,6;
|
|
||||||
CLK_000_P_SYNC_3_ = node,-,-,A,13;
|
|
||||||
CLK_000_P_SYNC_4_ = node,-,-,E,2;
|
|
||||||
CLK_000_P_SYNC_5_ = node,-,-,C,13;
|
|
||||||
CLK_000_P_SYNC_6_ = node,-,-,C,9;
|
|
||||||
CLK_000_P_SYNC_7_ = node,-,-,F,6;
|
|
||||||
CLK_000_P_SYNC_8_ = node,-,-,A,9;
|
|
||||||
CLK_000_N_SYNC_0_ = node,-,-,A,5;
|
|
||||||
CLK_000_N_SYNC_1_ = node,-,-,B,2;
|
|
||||||
CLK_000_N_SYNC_2_ = node,-,-,F,2;
|
|
||||||
CLK_000_N_SYNC_3_ = node,-,-,A,1;
|
|
||||||
CLK_000_N_SYNC_4_ = node,-,-,G,2;
|
|
||||||
CLK_000_N_SYNC_5_ = node,-,-,C,5;
|
|
||||||
CLK_000_N_SYNC_7_ = node,-,-,H,2;
|
|
||||||
CLK_000_N_SYNC_8_ = node,-,-,C,1;
|
|
||||||
CLK_000_N_SYNC_9_ = node,-,-,F,13;
|
|
||||||
CLK_000_N_SYNC_10_ = node,-,-,E,13;
|
|
||||||
SM_AMIGA_5_ = node,-,-,F,12;
|
|
||||||
SM_AMIGA_3_ = node,-,-,F,1;
|
|
||||||
SM_AMIGA_2_ = node,-,-,F,9;
|
|
||||||
un16_ciin = node,-,-,E,1;
|
|
||||||
cpu_est_0_ = node,-,-,D,2;
|
|
||||||
cpu_est_1_ = node,-,-,G,5;
|
|
||||||
cpu_est_2_ = node,-,-,G,9;
|
|
||||||
AMIGA_BUS_ENABLE_LOW_0 = node,-,-,E,5;
|
|
||||||
SM_AMIGA_7__0 = node,-,-,F,5;
|
|
||||||
|
|
||||||
[GROUP ASSIGNMENTS]
|
|
||||||
Layer = OFF;
|
|
||||||
|
|
||||||
[RESOURCE RESERVATIONS]
|
|
||||||
Layer = OFF;
|
|
||||||
|
|
||||||
[SLEWRATE]
|
|
||||||
Default = SLOW;
|
|
||||||
|
|
||||||
[PULLUP]
|
|
||||||
Default = Up;
|
|
||||||
|
|
||||||
[NETLIST/DELAY FORMAT]
|
|
||||||
Delay_File = SDF;
|
|
||||||
Netlist = VHDL;
|
|
||||||
|
|
||||||
[OSM BYPASS]
|
|
||||||
|
|
||||||
[FITTER REPORT FORMAT]
|
|
||||||
Fitter_Options = Yes;
|
|
||||||
Pinout_Diagram = No;
|
|
||||||
Pinout_Listing = Yes;
|
|
||||||
Detailed_Block_Segment_Summary = Yes;
|
|
||||||
Input_Signal_List = Yes;
|
|
||||||
Output_Signal_List = Yes;
|
|
||||||
Bidir_Signal_List = Yes;
|
|
||||||
Node_Signal_List = Yes;
|
|
||||||
Signal_Fanout_List = Yes;
|
|
||||||
Block_Segment_Fanin_List = Yes;
|
|
||||||
Postfit_Eqn = Yes;
|
|
||||||
Prefit_Eqn = Yes;
|
|
||||||
Page_Break = Yes;
|
|
||||||
|
|
||||||
[POWER]
|
|
||||||
Powerlevel = Low,High;
|
|
||||||
Default = High;
|
|
||||||
Type = GLB;
|
|
||||||
|
|
||||||
[SOURCE CONSTRAINT OPTION]
|
|
||||||
|
|
||||||
[TIMING ANALYZER]
|
|
||||||
Last_source=;
|
|
||||||
Last_source_type=Fmax;
|
|
||||||
|
|
100030
Logic/68030_tk.out
100030
Logic/68030_tk.out
File diff suppressed because it is too large
Load Diff
|
@ -1,153 +0,0 @@
|
||||||
|--------------------------------------------|
|
|
||||||
|- ispLEVER Fitter Report File -|
|
|
||||||
|- Version 1.7.00.05.28.13 -|
|
|
||||||
|- (c)Copyright, Lattice Semiconductor 2002 -|
|
|
||||||
|--------------------------------------------|
|
|
||||||
|
|
||||||
|
|
||||||
; Source file 68030_tk.tt4
|
|
||||||
; FITTER-generated Placements.
|
|
||||||
; DEVICE mach447a
|
|
||||||
; DATE Sun Jun 22 21:24:26 2014
|
|
||||||
|
|
||||||
|
|
||||||
Pin 4 A_31_
|
|
||||||
Pin 68 IPL_2_
|
|
||||||
Pin 58 FC_1_
|
|
||||||
Pin 5 A_30_
|
|
||||||
Pin 32 UDS_000 Comb ; S6=1 S9=1 Pair 185
|
|
||||||
Pin 6 A_29_
|
|
||||||
Pin 31 LDS_000 Comb ; S6=1 S9=1 Pair 191
|
|
||||||
Pin 15 A_28_
|
|
||||||
Pin 16 A_27_
|
|
||||||
Pin 14 nEXP_SPACE
|
|
||||||
Pin 17 A_26_
|
|
||||||
Pin 41 BERR
|
|
||||||
Pin 18 A_25_
|
|
||||||
Pin 21 BG_030
|
|
||||||
Pin 19 A_24_
|
|
||||||
Pin 84 A_23_
|
|
||||||
Pin 85 A_22_
|
|
||||||
Pin 28 BGACK_000
|
|
||||||
Pin 94 A_21_
|
|
||||||
Pin 64 CLK_030
|
|
||||||
Pin 93 A_20_
|
|
||||||
Pin 11 CLK_000
|
|
||||||
Pin 97 A_19_
|
|
||||||
Pin 61 CLK_OSZI
|
|
||||||
Pin 95 A_18_
|
|
||||||
Pin 65 CLK_DIV_OUT Reg ; S6=0 S9=1 Pair 247
|
|
||||||
Pin 59 A_17_
|
|
||||||
Pin 96 A_16_
|
|
||||||
Pin 78 FPU_CS Comb ; S6=1 S9=1 Pair 277
|
|
||||||
Pin 30 DTACK Comb ; S6=1 S9=1 Pair 173
|
|
||||||
Pin 56 IPL_1_
|
|
||||||
Pin 92 AVEC Comb ; S6=1 S9=1 Pair 107
|
|
||||||
Pin 67 IPL_0_
|
|
||||||
Pin 57 FC_0_
|
|
||||||
Pin 36 VPA
|
|
||||||
Pin 86 RST
|
|
||||||
Pin 48 AMIGA_BUS_DATA_DIR Comb ; S6=1 S9=1 Pair 197
|
|
||||||
Pin 47 CIIN Comb ; S6=1 S9=1 Pair 215
|
|
||||||
Pin 79 SIZE_1_ Reg ; S6=1 S9=1 Pair 271
|
|
||||||
Pin 9 IPL_030_2_ Reg ; S6=1 S9=1 Pair 131
|
|
||||||
Pin 82 AS_030 Reg ; S6=1 S9=1 Pair 281
|
|
||||||
Pin 33 AS_000 Reg ; S6=1 S9=1 Pair 179
|
|
||||||
Pin 80 RW_000 Reg ; S6=1 S9=1 Pair 269
|
|
||||||
Pin 70 SIZE_0_ Reg ; S6=1 S9=1 Pair 263
|
|
||||||
Pin 98 DS_030 Reg ; S6=1 S9=1 Pair 101
|
|
||||||
Pin 69 A0 Reg ; S6=1 S9=1 Pair 257
|
|
||||||
Pin 29 BG_000 Reg ; S6=1 S9=1 Pair 193
|
|
||||||
Pin 83 BGACK_030 Reg ; S6=1 S9=1 Pair 275
|
|
||||||
Pin 10 CLK_EXP Reg ; S6=0 S9=1 Pair 125
|
|
||||||
Pin 7 IPL_030_1_ Reg ; S6=1 S9=1 Pair 143
|
|
||||||
Pin 81 DSACK1 Reg ; S6=1 S9=1 Pair 287
|
|
||||||
Pin 8 IPL_030_0_ Reg ; S6=1 S9=1 Pair 137
|
|
||||||
Pin 22 AVEC_EXP Reg ; S6=1 S9=1 Pair 149
|
|
||||||
Pin 66 E Reg ; S6=0 S9=1 Pair 251
|
|
||||||
Pin 35 VMA Reg ; S6=1 S9=1 Pair 175
|
|
||||||
Pin 3 RESET Reg ; S6=0 S9=1 Pair 127
|
|
||||||
Pin 71 RW Reg ; S6=1 S9=1 Pair 245
|
|
||||||
Pin 34 AMIGA_BUS_ENABLE Reg ; S6=1 S9=1 Pair 181
|
|
||||||
Pin 20 AMIGA_BUS_ENABLE_LOW Reg ; S6=1 S9=0 Pair 167
|
|
||||||
Node 185 RN_UDS_000 Comb ; S6=1 S9=1
|
|
||||||
Node 191 RN_LDS_000 Comb ; S6=1 S9=1
|
|
||||||
Node 173 RN_DTACK Comb ; S6=1 S9=1
|
|
||||||
Node 271 RN_SIZE_1_ Reg ; S6=1 S9=1
|
|
||||||
Node 131 RN_IPL_030_2_ Reg ; S6=1 S9=1
|
|
||||||
Node 281 RN_AS_030 Reg ; S6=1 S9=1
|
|
||||||
Node 179 RN_AS_000 Reg ; S6=1 S9=1
|
|
||||||
Node 269 RN_RW_000 Reg ; S6=1 S9=1
|
|
||||||
Node 263 RN_SIZE_0_ Reg ; S6=1 S9=1
|
|
||||||
Node 101 RN_DS_030 Reg ; S6=1 S9=1
|
|
||||||
Node 257 RN_A0 Reg ; S6=1 S9=1
|
|
||||||
Node 193 RN_BG_000 Reg ; S6=1 S9=1
|
|
||||||
Node 275 RN_BGACK_030 Reg ; S6=1 S9=1
|
|
||||||
Node 143 RN_IPL_030_1_ Reg ; S6=1 S9=1
|
|
||||||
Node 287 RN_DSACK1 Reg ; S6=1 S9=1
|
|
||||||
Node 137 RN_IPL_030_0_ Reg ; S6=1 S9=1
|
|
||||||
Node 149 RN_AVEC_EXP Reg ; S6=1 S9=1
|
|
||||||
Node 251 RN_E Reg ; S6=0 S9=1
|
|
||||||
Node 175 RN_VMA Reg ; S6=1 S9=1
|
|
||||||
Node 245 RN_RW Reg ; S6=1 S9=1
|
|
||||||
Node 181 RN_AMIGA_BUS_ENABLE Reg ; S6=1 S9=1
|
|
||||||
Node 167 RN_AMIGA_BUS_ENABLE_LOW Reg ; S6=1 S9=0
|
|
||||||
Node 155 inst_AS_030_000_SYNC Reg ; S6=0 S9=1
|
|
||||||
Node 188 inst_BGACK_030_INT_D Reg ; S6=1 S9=1
|
|
||||||
Node 164 inst_VPA_D Reg ; S6=0 S9=1
|
|
||||||
Node 278 inst_CLK_OUT_PRE_50_D Reg ; S6=0 S9=1
|
|
||||||
Node 211 CLK_CNT_N_0_ Reg ; S6=1 S9=1
|
|
||||||
Node 289 inst_CLK_OUT_PRE_50 Reg ; S6=0 S9=1
|
|
||||||
Node 113 inst_CLK_OUT_PRE_25 Reg ; S6=0 S9=1
|
|
||||||
Node 203 inst_CLK_000_D1 Reg ; S6=0 S9=1
|
|
||||||
Node 260 inst_CLK_000_D2 Reg ; S6=1 S9=1
|
|
||||||
Node 116 inst_CLK_000_D3 Reg ; S6=1 S9=1
|
|
||||||
Node 221 inst_CLK_000_D0 Reg ; S6=1 S9=1
|
|
||||||
Node 161 inst_CLK_000_NE Reg ; S6=1 S9=1
|
|
||||||
Node 283 inst_CLK_OUT_PRE_D Reg ; S6=0 S9=1
|
|
||||||
Node 212 inst_CLK_OUT_PRE Reg ; S6=1 S9=1
|
|
||||||
Node 254 CLK_000_P_SYNC_9_ Reg ; S6=0 S9=1
|
|
||||||
Node 110 CLK_000_N_SYNC_11_ Reg ; S6=0 S9=1
|
|
||||||
Node 227 SM_AMIGA_7_ Reg ; S6=1 S9=1
|
|
||||||
Node 187 SM_AMIGA_6_ Reg ; S6=0 S9=1
|
|
||||||
Node 233 SM_AMIGA_1_ Reg ; S6=0 S9=1
|
|
||||||
Node 182 SM_AMIGA_0_ Reg ; S6=0 S9=1
|
|
||||||
Node 145 SM_AMIGA_4_ Reg ; S6=0 S9=1
|
|
||||||
Node 158 CLK_000_N_SYNC_6_ Reg ; S6=1 S9=1
|
|
||||||
Node 119 inst_CLK_030_H Reg ; S6=1 S9=1
|
|
||||||
Node 152 CLK_CNT_P_1_ Reg ; S6=1 S9=1
|
|
||||||
Node 206 CLK_CNT_N_1_ Reg ; S6=0 S9=1
|
|
||||||
Node 209 CLK_CNT_P_0_ Reg ; S6=1 S9=1
|
|
||||||
Node 265 inst_LDS_000_INT Reg ; S6=1 S9=1
|
|
||||||
Node 133 inst_DS_000_ENABLE Reg ; S6=0 S9=1
|
|
||||||
Node 139 inst_UDS_000_INT Reg ; S6=1 S9=1
|
|
||||||
Node 104 CLK_000_P_SYNC_0_ Reg ; S6=0 S9=1
|
|
||||||
Node 140 CLK_000_P_SYNC_1_ Reg ; S6=0 S9=1
|
|
||||||
Node 134 CLK_000_P_SYNC_2_ Reg ; S6=0 S9=1
|
|
||||||
Node 121 CLK_000_P_SYNC_3_ Reg ; S6=0 S9=1
|
|
||||||
Node 200 CLK_000_P_SYNC_4_ Reg ; S6=1 S9=1
|
|
||||||
Node 169 CLK_000_P_SYNC_5_ Reg ; S6=1 S9=1
|
|
||||||
Node 163 CLK_000_P_SYNC_6_ Reg ; S6=1 S9=1
|
|
||||||
Node 230 CLK_000_P_SYNC_7_ Reg ; S6=0 S9=1
|
|
||||||
Node 115 CLK_000_P_SYNC_8_ Reg ; S6=0 S9=1
|
|
||||||
Node 109 CLK_000_N_SYNC_0_ Reg ; S6=0 S9=1
|
|
||||||
Node 128 CLK_000_N_SYNC_1_ Reg ; S6=0 S9=1
|
|
||||||
Node 224 CLK_000_N_SYNC_2_ Reg ; S6=0 S9=1
|
|
||||||
Node 103 CLK_000_N_SYNC_3_ Reg ; S6=0 S9=1
|
|
||||||
Node 248 CLK_000_N_SYNC_4_ Reg ; S6=0 S9=1
|
|
||||||
Node 157 CLK_000_N_SYNC_5_ Reg ; S6=1 S9=1
|
|
||||||
Node 272 CLK_000_N_SYNC_7_ Reg ; S6=0 S9=1
|
|
||||||
Node 151 CLK_000_N_SYNC_8_ Reg ; S6=1 S9=1
|
|
||||||
Node 241 CLK_000_N_SYNC_9_ Reg ; S6=0 S9=1
|
|
||||||
Node 217 CLK_000_N_SYNC_10_ Reg ; S6=1 S9=1
|
|
||||||
Node 239 SM_AMIGA_5_ Reg ; S6=0 S9=1
|
|
||||||
Node 223 SM_AMIGA_3_ Reg ; S6=0 S9=1
|
|
||||||
Node 235 SM_AMIGA_2_ Reg ; S6=0 S9=1
|
|
||||||
Node 199 un16_ciin Comb ; S6=1 S9=1
|
|
||||||
Node 176 cpu_est_0_ Reg ; S6=0 S9=1
|
|
||||||
Node 253 cpu_est_1_ Reg ; S6=0 S9=1
|
|
||||||
Node 259 cpu_est_2_ Reg ; S6=0 S9=1
|
|
||||||
Node 205 AMIGA_BUS_ENABLE_LOW_0 Comb ; S6=1 S9=1
|
|
||||||
Node 229 SM_AMIGA_7__0 Comb ; S6=1 S9=1
|
|
||||||
; Unused Pins & Nodes
|
|
||||||
; -> None Found.
|
|
1980
Logic/68030_tk.prd
1980
Logic/68030_tk.prd
File diff suppressed because it is too large
Load Diff
1941
Logic/68030_tk.rpt
1941
Logic/68030_tk.rpt
File diff suppressed because it is too large
Load Diff
|
@ -1,2 +0,0 @@
|
||||||
Part Number: M4A5-128/64-10VC
|
|
||||||
Need not generate svf file according to the constraints, exit
|
|
|
@ -1,128 +0,0 @@
|
||||||
|
|
||||||
|
|
||||||
Design Name = 68030_tk.tt4
|
|
||||||
~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
|
|
||||||
|
|
||||||
*******************
|
|
||||||
* TIMING ANALYSIS *
|
|
||||||
*******************
|
|
||||||
|
|
||||||
Timing Analysis KEY:
|
|
||||||
One unit of delay time is equivalent to one pass
|
|
||||||
through the Central Switch Matrix.
|
|
||||||
.. Delay ( in this column ) not applicable to the indicated signal.
|
|
||||||
TSU, Set-Up Time ( 0 for input-paired signals ),
|
|
||||||
represents the number of switch matrix passes between
|
|
||||||
an input pin and a register setup before clock.
|
|
||||||
TSU is reported on the register.
|
|
||||||
TCO, Clocked Output-to-Pin Time ( 0 for output-paired signals ),
|
|
||||||
represents the number of switch matrix passes between
|
|
||||||
a clocked register and an output pin.
|
|
||||||
TCO is reported on the register.
|
|
||||||
TPD, Propagation Delay Time ( calculated only for combinatorial eqns.),
|
|
||||||
represents the number of switch matrix passes between
|
|
||||||
an input pin and an output pin.
|
|
||||||
TPD is reported on the output pin.
|
|
||||||
TCR, Clocked Output-to-Register Time,
|
|
||||||
represents the number of switch matrix passes between
|
|
||||||
a clocked register and the register it drives ( before clock ).
|
|
||||||
TCR is reported on the driving register.
|
|
||||||
|
|
||||||
TSU TCO TPD TCR
|
|
||||||
#passes #passes #passes #passes
|
|
||||||
SIGNAL NAME min max min max min max min max
|
|
||||||
AVEC_EXP .. .. 0 0 .. .. 1 2
|
|
||||||
RN_AVEC_EXP .. .. 0 0 .. .. 1 2
|
|
||||||
inst_AS_030_000_SYNC 1 1 .. .. .. .. 1 2
|
|
||||||
inst_CLK_000_D1 .. .. .. .. .. .. 1 2
|
|
||||||
inst_CLK_000_D0 1 1 .. .. .. .. 1 2
|
|
||||||
SM_AMIGA_7_ 1 2 .. .. .. .. 1 1
|
|
||||||
SM_AMIGA_6_ 1 1 .. .. .. .. 1 2
|
|
||||||
SM_AMIGA_1_ 1 1 .. .. .. .. 1 2
|
|
||||||
SM_AMIGA_0_ 1 1 .. .. .. .. 1 2
|
|
||||||
SM_AMIGA_4_ 1 1 .. .. .. .. 1 2
|
|
||||||
inst_LDS_000_INT 1 1 1 1 .. .. 2 2
|
|
||||||
inst_DS_000_ENABLE 1 1 1 1 .. .. 2 2
|
|
||||||
inst_UDS_000_INT 1 1 1 1 .. .. 2 2
|
|
||||||
SM_AMIGA_5_ 1 1 .. .. .. .. 1 2
|
|
||||||
SM_AMIGA_3_ 1 2 .. .. .. .. 1 2
|
|
||||||
SM_AMIGA_2_ 1 2 .. .. .. .. 1 2
|
|
||||||
FPU_CS .. .. .. .. 1 1 .. ..
|
|
||||||
DTACK .. .. .. .. 1 1 .. ..
|
|
||||||
AMIGA_BUS_DATA_DIR .. .. .. .. 1 1 .. ..
|
|
||||||
CIIN .. .. .. .. 1 1 .. ..
|
|
||||||
SIZE_1_ 1 1 0 0 .. .. .. ..
|
|
||||||
IPL_030_2_ 1 1 0 0 .. .. 1 1
|
|
||||||
RN_IPL_030_2_ 1 1 0 0 .. .. 1 1
|
|
||||||
AS_030 1 1 0 0 .. .. 1 1
|
|
||||||
RN_AS_030 1 1 0 0 .. .. 1 1
|
|
||||||
AS_000 1 1 0 0 .. .. 1 1
|
|
||||||
RN_AS_000 1 1 0 0 .. .. 1 1
|
|
||||||
RW_000 1 1 0 0 .. .. 1 1
|
|
||||||
RN_RW_000 1 1 0 0 .. .. 1 1
|
|
||||||
SIZE_0_ 1 1 0 0 .. .. .. ..
|
|
||||||
DS_030 1 1 0 0 .. .. 1 1
|
|
||||||
RN_DS_030 1 1 0 0 .. .. 1 1
|
|
||||||
A0 1 1 0 0 .. .. .. ..
|
|
||||||
BG_000 1 1 0 0 .. .. 1 1
|
|
||||||
RN_BG_000 1 1 0 0 .. .. 1 1
|
|
||||||
BGACK_030 1 1 0 1 .. .. 1 1
|
|
||||||
RN_BGACK_030 1 1 0 1 .. .. 1 1
|
|
||||||
IPL_030_1_ 1 1 0 0 .. .. 1 1
|
|
||||||
RN_IPL_030_1_ 1 1 0 0 .. .. 1 1
|
|
||||||
DSACK1 1 1 0 0 .. .. 1 1
|
|
||||||
RN_DSACK1 1 1 0 0 .. .. 1 1
|
|
||||||
IPL_030_0_ 1 1 0 0 .. .. 1 1
|
|
||||||
RN_IPL_030_0_ 1 1 0 0 .. .. 1 1
|
|
||||||
E .. .. 0 0 .. .. 1 1
|
|
||||||
RN_E .. .. 0 0 .. .. 1 1
|
|
||||||
VMA .. .. 0 0 .. .. 1 1
|
|
||||||
RN_VMA .. .. 0 0 .. .. 1 1
|
|
||||||
RW 1 1 0 0 .. .. 1 1
|
|
||||||
RN_RW 1 1 0 0 .. .. 1 1
|
|
||||||
AMIGA_BUS_ENABLE 1 1 0 0 .. .. 1 1
|
|
||||||
RN_AMIGA_BUS_ENABLE 1 1 0 0 .. .. 1 1
|
|
||||||
AMIGA_BUS_ENABLE_LOW .. .. 0 0 .. .. 1 1
|
|
||||||
RN_AMIGA_BUS_ENABLE_LOW .. .. 0 0 .. .. 1 1
|
|
||||||
inst_BGACK_030_INT_D .. .. .. .. .. .. 1 1
|
|
||||||
inst_VPA_D 1 1 .. .. .. .. 1 1
|
|
||||||
inst_CLK_OUT_PRE_50_D .. .. .. .. .. .. 1 1
|
|
||||||
CLK_CNT_N_0_ .. .. .. .. .. .. 1 1
|
|
||||||
inst_CLK_OUT_PRE_50 .. .. .. .. .. .. 1 1
|
|
||||||
inst_CLK_OUT_PRE_25 .. .. .. .. .. .. 1 1
|
|
||||||
inst_CLK_000_D2 .. .. .. .. .. .. 1 1
|
|
||||||
inst_CLK_000_D3 .. .. .. .. .. .. 1 1
|
|
||||||
inst_CLK_000_NE .. .. .. .. .. .. 1 1
|
|
||||||
inst_CLK_OUT_PRE_D .. .. .. .. .. .. 1 1
|
|
||||||
inst_CLK_OUT_PRE .. .. .. .. .. .. 1 1
|
|
||||||
CLK_000_P_SYNC_9_ .. .. .. .. .. .. 1 1
|
|
||||||
CLK_000_N_SYNC_11_ .. .. .. .. .. .. 1 1
|
|
||||||
CLK_000_N_SYNC_6_ .. .. .. .. .. .. 1 1
|
|
||||||
inst_CLK_030_H 1 1 .. .. .. .. 1 1
|
|
||||||
CLK_CNT_P_1_ .. .. .. .. .. .. 1 1
|
|
||||||
CLK_CNT_N_1_ .. .. .. .. .. .. 1 1
|
|
||||||
CLK_CNT_P_0_ .. .. .. .. .. .. 1 1
|
|
||||||
CLK_000_P_SYNC_0_ .. .. .. .. .. .. 1 1
|
|
||||||
CLK_000_P_SYNC_1_ .. .. .. .. .. .. 1 1
|
|
||||||
CLK_000_P_SYNC_2_ .. .. .. .. .. .. 1 1
|
|
||||||
CLK_000_P_SYNC_3_ .. .. .. .. .. .. 1 1
|
|
||||||
CLK_000_P_SYNC_4_ .. .. .. .. .. .. 1 1
|
|
||||||
CLK_000_P_SYNC_5_ .. .. .. .. .. .. 1 1
|
|
||||||
CLK_000_P_SYNC_6_ .. .. .. .. .. .. 1 1
|
|
||||||
CLK_000_P_SYNC_7_ .. .. .. .. .. .. 1 1
|
|
||||||
CLK_000_P_SYNC_8_ .. .. .. .. .. .. 1 1
|
|
||||||
CLK_000_N_SYNC_0_ .. .. .. .. .. .. 1 1
|
|
||||||
CLK_000_N_SYNC_1_ .. .. .. .. .. .. 1 1
|
|
||||||
CLK_000_N_SYNC_2_ .. .. .. .. .. .. 1 1
|
|
||||||
CLK_000_N_SYNC_3_ .. .. .. .. .. .. 1 1
|
|
||||||
CLK_000_N_SYNC_4_ .. .. .. .. .. .. 1 1
|
|
||||||
CLK_000_N_SYNC_5_ .. .. .. .. .. .. 1 1
|
|
||||||
CLK_000_N_SYNC_7_ .. .. .. .. .. .. 1 1
|
|
||||||
CLK_000_N_SYNC_8_ .. .. .. .. .. .. 1 1
|
|
||||||
CLK_000_N_SYNC_9_ .. .. .. .. .. .. 1 1
|
|
||||||
CLK_000_N_SYNC_10_ .. .. .. .. .. .. 1 1
|
|
||||||
un16_ciin .. .. .. .. 1 1 .. ..
|
|
||||||
cpu_est_0_ .. .. .. .. .. .. 1 1
|
|
||||||
cpu_est_1_ .. .. .. .. .. .. 1 1
|
|
||||||
cpu_est_2_ .. .. .. .. .. .. 1 1
|
|
|
@ -1,34 +0,0 @@
|
||||||
|
|
||||||
// Batch Timer Log File (Release Version: 1.7.00.05.28.13)
|
|
||||||
|
|
||||||
// Project = 68030_tk
|
|
||||||
// Family = mach4a
|
|
||||||
// Device = M4A5-128/64
|
|
||||||
// Speed = -10
|
|
||||||
// Voltage = 5.0
|
|
||||||
// Operating Condition = COM
|
|
||||||
// Data sheet version = RevD-8/2000
|
|
||||||
|
|
||||||
// Pass Bidirection = OFF
|
|
||||||
// Pass S/R = OFF
|
|
||||||
// Pass Latch = OFF
|
|
||||||
// Pass Clock = OFF
|
|
||||||
// Maximum Paths = 20
|
|
||||||
// T_SU Endpoints D/T inputs = ON
|
|
||||||
// T_SU Endpoints CE inputs = OFF
|
|
||||||
// T_SU Endpoints S/R inputs = OFF
|
|
||||||
// T_SU Endpoints RAM gated = ON
|
|
||||||
// Fmax of CE = ON
|
|
||||||
// Fmax of RAM = ON
|
|
||||||
|
|
||||||
// Location(From => To)
|
|
||||||
// Pin number: numeric number preceded by "p", BGA number as is
|
|
||||||
// Macrocell number: Segment#,GLB#,Macrocell#
|
|
||||||
// Segment#: starts from 0 (if applicable)
|
|
||||||
// GLB#: starts from A..Z, AA..ZZ
|
|
||||||
// Macrocell#: starts from 0 to 31
|
|
||||||
|
|
||||||
// Register-to-register critical path delay: 9.5 ns
|
|
||||||
// - 3.0 tCOSi E.C ==> E.Q
|
|
||||||
// - 0.0 E.Q ==> cpu_est_1_.T
|
|
||||||
// - 6.5 tSST cpu_est_1_.T ==> cpu_est_1_.C
|
|
|
@ -1,537 +0,0 @@
|
||||||
|
|
||||||
Timing Report for STAMP
|
|
||||||
|
|
||||||
// Project = 68030_tk
|
|
||||||
// Family = mach4a
|
|
||||||
// Device = M4A5-128/64
|
|
||||||
// Speed = -10
|
|
||||||
// Voltage = 5.0
|
|
||||||
// Operating Condition = COM
|
|
||||||
// Data sheet version = RevD-8/2000
|
|
||||||
|
|
||||||
// Pass Bidirection = OFF
|
|
||||||
// Pass S/R = OFF
|
|
||||||
// Pass Latch = OFF
|
|
||||||
// T_SU Endpoints D/T inputs = ON
|
|
||||||
// T_SU Endpoints CE inputs = OFF
|
|
||||||
// T_SU Endpoints S/R inputs = OFF
|
|
||||||
// T_SU Endpoints RAM gated = ON
|
|
||||||
// Fmax of CE = ON
|
|
||||||
// Fmax of RAM = ON
|
|
||||||
|
|
||||||
// Location(From => To)
|
|
||||||
// Pin number: numeric number preceded by "p", BGA number as is
|
|
||||||
// Macrocell number: Segment#,GLB#,Macrocell#
|
|
||||||
// Segment#: starts from 0 (if applicable)
|
|
||||||
// GLB#: starts from A..Z, AA..ZZ
|
|
||||||
// Macrocell#: starts from 0 to 31
|
|
||||||
|
|
||||||
|
|
||||||
Section IO
|
|
||||||
//DESTINATION NODES;
|
|
||||||
A0 [bidi]
|
|
||||||
AS_000 [bidi]
|
|
||||||
AS_030 [bidi]
|
|
||||||
DSACK[1] [bidi]
|
|
||||||
DS_030 [bidi]
|
|
||||||
DTACK [bidi]
|
|
||||||
LDS_000 [bidi]
|
|
||||||
SIZE[0] [bidi]
|
|
||||||
SIZE[1] [bidi]
|
|
||||||
UDS_000 [bidi]
|
|
||||||
AMIGA_BUS_DATA_DIR [out]
|
|
||||||
AMIGA_BUS_ENABLE [out]
|
|
||||||
AMIGA_BUS_ENABLE_LOW [out]
|
|
||||||
AVEC [out]
|
|
||||||
AVEC_EXP [out]
|
|
||||||
BERR [out]
|
|
||||||
BGACK_030 [out]
|
|
||||||
BG_000 [out]
|
|
||||||
CIIN [out]
|
|
||||||
CLK_DIV_OUT [out]
|
|
||||||
CLK_EXP [out]
|
|
||||||
DSACK[0] [out]
|
|
||||||
E [out]
|
|
||||||
FPU_CS [out]
|
|
||||||
IPL_030[0] [out]
|
|
||||||
IPL_030[1] [out]
|
|
||||||
IPL_030[2] [out]
|
|
||||||
RESET [out]
|
|
||||||
VMA [out]
|
|
||||||
A0.C [reg]
|
|
||||||
AMIGA_BUS_ENABLE.C [reg]
|
|
||||||
AS_000.C [reg]
|
|
||||||
AS_030.C [reg]
|
|
||||||
BGACK_030.C [reg]
|
|
||||||
BG_000.C [reg]
|
|
||||||
CLK_CNT_N_0_.C [reg]
|
|
||||||
CLK_CNT_N_1_.C [reg]
|
|
||||||
CLK_CNT_P_0_.C [reg]
|
|
||||||
CLK_CNT_P_1_.C [reg]
|
|
||||||
CLK_DIV_OUT.C [reg]
|
|
||||||
CLK_EXP.C [reg]
|
|
||||||
DSACK_1_.C [reg]
|
|
||||||
DS_030.C [reg]
|
|
||||||
E.C [reg]
|
|
||||||
FPU_CS.C [reg]
|
|
||||||
IPL_030_0_.C [reg]
|
|
||||||
IPL_030_1_.C [reg]
|
|
||||||
IPL_030_2_.C [reg]
|
|
||||||
LDS_000.C [reg]
|
|
||||||
RESET.C [reg]
|
|
||||||
SIZE_0_.C [reg]
|
|
||||||
SIZE_1_.C [reg]
|
|
||||||
SM_AMIGA_0_.C [reg]
|
|
||||||
SM_AMIGA_1_.C [reg]
|
|
||||||
SM_AMIGA_2_.C [reg]
|
|
||||||
SM_AMIGA_3_.C [reg]
|
|
||||||
SM_AMIGA_4_.C [reg]
|
|
||||||
SM_AMIGA_5_.C [reg]
|
|
||||||
SM_AMIGA_6_.C [reg]
|
|
||||||
SM_AMIGA_7_.C [reg]
|
|
||||||
UDS_000.C [reg]
|
|
||||||
VMA.C [reg]
|
|
||||||
cpu_est_0_.C [reg]
|
|
||||||
cpu_est_1_.C [reg]
|
|
||||||
cpu_est_2_.C [reg]
|
|
||||||
inst_AS_030_000_SYNC.C [reg]
|
|
||||||
inst_BGACK_030_INT_D.C [reg]
|
|
||||||
inst_CLK_000_D0.C [reg]
|
|
||||||
inst_CLK_000_D1.C [reg]
|
|
||||||
inst_CLK_000_D2.C [reg]
|
|
||||||
inst_CLK_000_D3.C [reg]
|
|
||||||
inst_CLK_000_D4.C [reg]
|
|
||||||
inst_CLK_000_D5.C [reg]
|
|
||||||
inst_CLK_000_D6.C [reg]
|
|
||||||
inst_CLK_OUT_PRE.C [reg]
|
|
||||||
inst_DTACK_SYNC.C [reg]
|
|
||||||
inst_VPA_D.C [reg]
|
|
||||||
inst_VPA_SYNC.C [reg]
|
|
||||||
A0.D [reg]
|
|
||||||
AMIGA_BUS_ENABLE.D [reg]
|
|
||||||
AS_000.D [reg]
|
|
||||||
AS_030.D [reg]
|
|
||||||
BGACK_030.D [reg]
|
|
||||||
BG_000.D [reg]
|
|
||||||
CLK_CNT_N_0_.D [reg]
|
|
||||||
CLK_CNT_N_1_.D [reg]
|
|
||||||
CLK_CNT_P_0_.D [reg]
|
|
||||||
CLK_CNT_P_1_.D [reg]
|
|
||||||
CLK_DIV_OUT.D [reg]
|
|
||||||
CLK_EXP.D [reg]
|
|
||||||
DSACK_1_.D [reg]
|
|
||||||
DS_030.D [reg]
|
|
||||||
E.D.X1 [reg]
|
|
||||||
E.D.X2 [reg]
|
|
||||||
FPU_CS.D [reg]
|
|
||||||
IPL_030_0_.D [reg]
|
|
||||||
IPL_030_1_.D [reg]
|
|
||||||
IPL_030_2_.D [reg]
|
|
||||||
LDS_000.D [reg]
|
|
||||||
RESET.D [reg]
|
|
||||||
SIZE_0_.D [reg]
|
|
||||||
SIZE_1_.D [reg]
|
|
||||||
SM_AMIGA_0_.D [reg]
|
|
||||||
SM_AMIGA_1_.D [reg]
|
|
||||||
SM_AMIGA_2_.D [reg]
|
|
||||||
SM_AMIGA_3_.D [reg]
|
|
||||||
SM_AMIGA_4_.D [reg]
|
|
||||||
SM_AMIGA_5_.D [reg]
|
|
||||||
SM_AMIGA_6_.D [reg]
|
|
||||||
SM_AMIGA_7_.D [reg]
|
|
||||||
UDS_000.D [reg]
|
|
||||||
VMA.D.X1 [reg]
|
|
||||||
VMA.D.X2 [reg]
|
|
||||||
cpu_est_0_.D [reg]
|
|
||||||
cpu_est_1_.T [reg]
|
|
||||||
cpu_est_2_.D.X1 [reg]
|
|
||||||
cpu_est_2_.D.X2 [reg]
|
|
||||||
inst_AS_030_000_SYNC.D [reg]
|
|
||||||
inst_BGACK_030_INT_D.D [reg]
|
|
||||||
inst_CLK_000_D0.D [reg]
|
|
||||||
inst_CLK_000_D1.D [reg]
|
|
||||||
inst_CLK_000_D2.D [reg]
|
|
||||||
inst_CLK_000_D3.D [reg]
|
|
||||||
inst_CLK_000_D4.D [reg]
|
|
||||||
inst_CLK_000_D5.D [reg]
|
|
||||||
inst_CLK_000_D6.D [reg]
|
|
||||||
inst_CLK_OUT_PRE.D [reg]
|
|
||||||
inst_DTACK_SYNC.D [reg]
|
|
||||||
inst_VPA_D.D [reg]
|
|
||||||
inst_VPA_SYNC.D [reg]
|
|
||||||
|
|
||||||
//SOURCE NODES;
|
|
||||||
A[16] [in]
|
|
||||||
A[17] [in]
|
|
||||||
A[18] [in]
|
|
||||||
A[19] [in]
|
|
||||||
A[20] [in]
|
|
||||||
A[21] [in]
|
|
||||||
A[22] [in]
|
|
||||||
A[23] [in]
|
|
||||||
A[24] [in]
|
|
||||||
A[25] [in]
|
|
||||||
A[26] [in]
|
|
||||||
A[27] [in]
|
|
||||||
A[28] [in]
|
|
||||||
A[29] [in]
|
|
||||||
A[30] [in]
|
|
||||||
A[31] [in]
|
|
||||||
BGACK_000 [in]
|
|
||||||
BG_030 [in]
|
|
||||||
CLK_000 [in]
|
|
||||||
CLK_030 [in]
|
|
||||||
CLK_OSZI [in]
|
|
||||||
FC[0] [in]
|
|
||||||
FC[1] [in]
|
|
||||||
IPL[0] [in]
|
|
||||||
IPL[1] [in]
|
|
||||||
IPL[2] [in]
|
|
||||||
RST [in]
|
|
||||||
RW [in]
|
|
||||||
VPA [in]
|
|
||||||
nEXP_SPACE [in]
|
|
||||||
A0.Q [reg]
|
|
||||||
AMIGA_BUS_ENABLE.Q [reg]
|
|
||||||
AS_000.Q [reg]
|
|
||||||
AS_030.Q [reg]
|
|
||||||
BGACK_030.Q [reg]
|
|
||||||
BG_000.Q [reg]
|
|
||||||
CLK_CNT_N_0_.Q [reg]
|
|
||||||
CLK_CNT_N_1_.Q [reg]
|
|
||||||
CLK_CNT_P_0_.Q [reg]
|
|
||||||
CLK_CNT_P_1_.Q [reg]
|
|
||||||
CLK_DIV_OUT.Q [reg]
|
|
||||||
CLK_EXP.Q [reg]
|
|
||||||
DSACK_1_.Q [reg]
|
|
||||||
DS_030.Q [reg]
|
|
||||||
E.Q [reg]
|
|
||||||
FPU_CS.Q [reg]
|
|
||||||
IPL_030_0_.Q [reg]
|
|
||||||
IPL_030_1_.Q [reg]
|
|
||||||
IPL_030_2_.Q [reg]
|
|
||||||
LDS_000.Q [reg]
|
|
||||||
RESET.Q [reg]
|
|
||||||
SIZE_0_.Q [reg]
|
|
||||||
SIZE_1_.Q [reg]
|
|
||||||
SM_AMIGA_0_.Q [reg]
|
|
||||||
SM_AMIGA_1_.Q [reg]
|
|
||||||
SM_AMIGA_2_.Q [reg]
|
|
||||||
SM_AMIGA_3_.Q [reg]
|
|
||||||
SM_AMIGA_4_.Q [reg]
|
|
||||||
SM_AMIGA_5_.Q [reg]
|
|
||||||
SM_AMIGA_6_.Q [reg]
|
|
||||||
SM_AMIGA_7_.Q [reg]
|
|
||||||
UDS_000.Q [reg]
|
|
||||||
VMA.Q [reg]
|
|
||||||
cpu_est_0_.Q [reg]
|
|
||||||
cpu_est_1_.Q [reg]
|
|
||||||
cpu_est_2_.Q [reg]
|
|
||||||
inst_AS_030_000_SYNC.Q [reg]
|
|
||||||
inst_BGACK_030_INT_D.Q [reg]
|
|
||||||
inst_CLK_000_D0.Q [reg]
|
|
||||||
inst_CLK_000_D1.Q [reg]
|
|
||||||
inst_CLK_000_D2.Q [reg]
|
|
||||||
inst_CLK_000_D3.Q [reg]
|
|
||||||
inst_CLK_000_D4.Q [reg]
|
|
||||||
inst_CLK_000_D5.Q [reg]
|
|
||||||
inst_CLK_000_D6.Q [reg]
|
|
||||||
inst_CLK_OUT_PRE.Q [reg]
|
|
||||||
inst_DTACK_SYNC.Q [reg]
|
|
||||||
inst_VPA_D.Q [reg]
|
|
||||||
inst_VPA_SYNC.Q [reg]
|
|
||||||
|
|
||||||
|
|
||||||
Section fMAX
|
|
||||||
|
|
||||||
Maximum Operating Frequency: 105.26 MHz
|
|
||||||
Clock Source From: CLK_OSZI
|
|
||||||
Logic Levels: 1
|
|
||||||
Path Delay: 9.5 ns
|
|
||||||
Path Expansion Source Destination
|
|
||||||
============== ====== ===========
|
|
||||||
3.0 tCOSi E.C E.Q
|
|
||||||
0.0 E.Q cpu_est_1_.T
|
|
||||||
6.5 tSST cpu_est_1_.T cpu_est_1_.C
|
|
||||||
|
|
||||||
Clock Source From: CLK_OSZI
|
|
||||||
Delay Level Location(From => To) Source Destination Destination_Clock
|
|
||||||
===== ===== ==================== ====== =========== =================
|
|
||||||
9.5 1 G4 => G12 E.C cpu_est_1_.T CLK_OSZI
|
|
||||||
9.5 1 B9 => G12 cpu_est_0_.C cpu_est_1_.T CLK_OSZI
|
|
||||||
9.5 1 G12 => G12 cpu_est_1_.C cpu_est_1_.T CLK_OSZI
|
|
||||||
9.5 1 G5 => G12 cpu_est_2_.C cpu_est_1_.T CLK_OSZI
|
|
||||||
9.5 1 F0 => G12 inst_CLK_000_D0.C cpu_est_1_.T CLK_OSZI
|
|
||||||
9.5 1 H5 => G12 inst_CLK_000_D1.C cpu_est_1_.T CLK_OSZI
|
|
||||||
8.5 1 G8 => G8 A0.C A0.D CLK_OSZI
|
|
||||||
8.5 1 D5 => D5 AMIGA_BUS_ENABLE.C AMIGA_BUS_ENABLE.D CLK_OSZI
|
|
||||||
8.5 1 D4 => D4 AS_000.C AS_000.D CLK_OSZI
|
|
||||||
8.5 1 D4 => C4 AS_000.C SM_AMIGA_0_.D CLK_OSZI
|
|
||||||
8.5 1 D4 => B5 AS_000.C SM_AMIGA_7_.D CLK_OSZI
|
|
||||||
8.5 1 D4 => D1 AS_000.C VMA.D.X1 CLK_OSZI
|
|
||||||
8.5 1 H8 => H8 AS_030.C AS_030.D CLK_OSZI
|
|
||||||
8.5 1 H8 => A0 AS_030.C DS_030.D CLK_OSZI
|
|
||||||
8.5 1 H4 => G8 BGACK_030.C A0.D CLK_OSZI
|
|
||||||
8.5 1 H4 => D5 BGACK_030.C AMIGA_BUS_ENABLE.D CLK_OSZI
|
|
||||||
8.5 1 H4 => H8 BGACK_030.C AS_030.D CLK_OSZI
|
|
||||||
8.5 1 H4 => H4 BGACK_030.C BGACK_030.D CLK_OSZI
|
|
||||||
8.5 1 H4 => A0 BGACK_030.C DS_030.D CLK_OSZI
|
|
||||||
8.5 1 H4 => G0 BGACK_030.C SIZE_0_.D CLK_OSZI
|
|
||||||
8.5 1 H4 => H0 BGACK_030.C SIZE_1_.D CLK_OSZI
|
|
||||||
8.5 1 H4 => A12 BGACK_030.C inst_BGACK_030_INT_D.D CLK_OSZI
|
|
||||||
8.5 1 D13 => D13 BG_000.C BG_000.D CLK_OSZI
|
|
||||||
8.5 1 E1 => E1 CLK_CNT_N_0_.C CLK_CNT_N_0_.D CLK_OSZI
|
|
||||||
8.5 1 E1 => G9 CLK_CNT_N_0_.C CLK_CNT_N_1_.D CLK_OSZI
|
|
||||||
8.5 1 E1 => A1 CLK_CNT_N_0_.C inst_CLK_OUT_PRE.D CLK_OSZI
|
|
||||||
8.5 1 G9 => E1 CLK_CNT_N_1_.C CLK_CNT_N_0_.D CLK_OSZI
|
|
||||||
8.5 1 G9 => A1 CLK_CNT_N_1_.C inst_CLK_OUT_PRE.D CLK_OSZI
|
|
||||||
8.5 1 F1 => F1 CLK_CNT_P_0_.C CLK_CNT_P_0_.D CLK_OSZI
|
|
||||||
8.5 1 F1 => F9 CLK_CNT_P_0_.C CLK_CNT_P_1_.D CLK_OSZI
|
|
||||||
8.5 1 F1 => A1 CLK_CNT_P_0_.C inst_CLK_OUT_PRE.D CLK_OSZI
|
|
||||||
8.5 1 F9 => F1 CLK_CNT_P_1_.C CLK_CNT_P_0_.D CLK_OSZI
|
|
||||||
8.5 1 F9 => A1 CLK_CNT_P_1_.C inst_CLK_OUT_PRE.D CLK_OSZI
|
|
||||||
8.5 1 H12 => H12 DSACK_1_.C DSACK_1_.D CLK_OSZI
|
|
||||||
8.5 1 A0 => A0 DS_030.C DS_030.D CLK_OSZI
|
|
||||||
8.5 1 G4 => G4 E.C E.D.X1 CLK_OSZI
|
|
||||||
8.5 1 G4 => G4 E.C E.D.X2 CLK_OSZI
|
|
||||||
8.5 1 G4 => D1 E.C VMA.D.X1 CLK_OSZI
|
|
||||||
8.5 1 G4 => G5 E.C cpu_est_2_.D.X1 CLK_OSZI
|
|
||||||
8.5 1 G4 => C5 E.C inst_VPA_SYNC.D CLK_OSZI
|
|
||||||
8.5 1 H1 => H1 FPU_CS.C FPU_CS.D CLK_OSZI
|
|
||||||
8.5 1 B8 => B8 IPL_030_0_.C IPL_030_0_.D CLK_OSZI
|
|
||||||
8.5 1 B12 => B12 IPL_030_1_.C IPL_030_1_.D CLK_OSZI
|
|
||||||
8.5 1 B4 => B4 IPL_030_2_.C IPL_030_2_.D CLK_OSZI
|
|
||||||
8.5 1 D8 => D8 LDS_000.C LDS_000.D CLK_OSZI
|
|
||||||
8.5 1 G0 => G0 SIZE_0_.C SIZE_0_.D CLK_OSZI
|
|
||||||
8.5 1 H0 => H0 SIZE_1_.C SIZE_1_.D CLK_OSZI
|
|
||||||
8.5 1 C4 => D5 SM_AMIGA_0_.C AMIGA_BUS_ENABLE.D CLK_OSZI
|
|
||||||
8.5 1 C4 => C4 SM_AMIGA_0_.C SM_AMIGA_0_.D CLK_OSZI
|
|
||||||
8.5 1 C4 => B5 SM_AMIGA_0_.C SM_AMIGA_7_.D CLK_OSZI
|
|
||||||
8.5 1 A8 => D5 SM_AMIGA_1_.C AMIGA_BUS_ENABLE.D CLK_OSZI
|
|
||||||
8.5 1 A8 => H12 SM_AMIGA_1_.C DSACK_1_.D CLK_OSZI
|
|
||||||
8.5 1 A8 => C4 SM_AMIGA_1_.C SM_AMIGA_0_.D CLK_OSZI
|
|
||||||
8.5 1 A8 => A8 SM_AMIGA_1_.C SM_AMIGA_1_.D CLK_OSZI
|
|
||||||
8.5 1 A8 => F12 SM_AMIGA_1_.C inst_AS_030_000_SYNC.D CLK_OSZI
|
|
||||||
8.5 1 C8 => A8 SM_AMIGA_2_.C SM_AMIGA_1_.D CLK_OSZI
|
|
||||||
8.5 1 C8 => C8 SM_AMIGA_2_.C SM_AMIGA_2_.D CLK_OSZI
|
|
||||||
8.5 1 C1 => C8 SM_AMIGA_3_.C SM_AMIGA_2_.D CLK_OSZI
|
|
||||||
8.5 1 C1 => C1 SM_AMIGA_3_.C SM_AMIGA_3_.D CLK_OSZI
|
|
||||||
8.5 1 C1 => C9 SM_AMIGA_3_.C inst_DTACK_SYNC.D CLK_OSZI
|
|
||||||
8.5 1 C1 => C5 SM_AMIGA_3_.C inst_VPA_SYNC.D CLK_OSZI
|
|
||||||
8.5 1 F8 => D8 SM_AMIGA_4_.C LDS_000.D CLK_OSZI
|
|
||||||
8.5 1 F8 => C1 SM_AMIGA_4_.C SM_AMIGA_3_.D CLK_OSZI
|
|
||||||
8.5 1 F8 => F8 SM_AMIGA_4_.C SM_AMIGA_4_.D CLK_OSZI
|
|
||||||
8.5 1 F8 => D12 SM_AMIGA_4_.C UDS_000.D CLK_OSZI
|
|
||||||
8.5 1 F5 => D4 SM_AMIGA_5_.C AS_000.D CLK_OSZI
|
|
||||||
8.5 1 F5 => D8 SM_AMIGA_5_.C LDS_000.D CLK_OSZI
|
|
||||||
8.5 1 F5 => F8 SM_AMIGA_5_.C SM_AMIGA_4_.D CLK_OSZI
|
|
||||||
8.5 1 F5 => F5 SM_AMIGA_5_.C SM_AMIGA_5_.D CLK_OSZI
|
|
||||||
8.5 1 F5 => D12 SM_AMIGA_5_.C UDS_000.D CLK_OSZI
|
|
||||||
8.5 1 B13 => D5 SM_AMIGA_6_.C AMIGA_BUS_ENABLE.D CLK_OSZI
|
|
||||||
8.5 1 B13 => F5 SM_AMIGA_6_.C SM_AMIGA_5_.D CLK_OSZI
|
|
||||||
8.5 1 B13 => B13 SM_AMIGA_6_.C SM_AMIGA_6_.D CLK_OSZI
|
|
||||||
8.5 1 B13 => B5 SM_AMIGA_6_.C SM_AMIGA_7_.D CLK_OSZI
|
|
||||||
8.5 1 B13 => F12 SM_AMIGA_6_.C inst_AS_030_000_SYNC.D CLK_OSZI
|
|
||||||
8.5 1 B5 => D13 SM_AMIGA_7_.C BG_000.D CLK_OSZI
|
|
||||||
8.5 1 B5 => B13 SM_AMIGA_7_.C SM_AMIGA_6_.D CLK_OSZI
|
|
||||||
8.5 1 B5 => B5 SM_AMIGA_7_.C SM_AMIGA_7_.D CLK_OSZI
|
|
||||||
8.5 1 B5 => F12 SM_AMIGA_7_.C inst_AS_030_000_SYNC.D CLK_OSZI
|
|
||||||
8.5 1 D12 => D12 UDS_000.C UDS_000.D CLK_OSZI
|
|
||||||
8.5 1 D1 => D1 VMA.C VMA.D.X1 CLK_OSZI
|
|
||||||
8.5 1 D1 => D1 VMA.C VMA.D.X2 CLK_OSZI
|
|
||||||
8.5 1 D1 => C5 VMA.C inst_VPA_SYNC.D CLK_OSZI
|
|
||||||
8.5 1 B9 => G4 cpu_est_0_.C E.D.X1 CLK_OSZI
|
|
||||||
8.5 1 B9 => D1 cpu_est_0_.C VMA.D.X1 CLK_OSZI
|
|
||||||
8.5 1 B9 => D1 cpu_est_0_.C VMA.D.X2 CLK_OSZI
|
|
||||||
8.5 1 B9 => B9 cpu_est_0_.C cpu_est_0_.D CLK_OSZI
|
|
||||||
8.5 1 B9 => G5 cpu_est_0_.C cpu_est_2_.D.X1 CLK_OSZI
|
|
||||||
8.5 1 G12 => G4 cpu_est_1_.C E.D.X1 CLK_OSZI
|
|
||||||
8.5 1 G12 => D1 cpu_est_1_.C VMA.D.X1 CLK_OSZI
|
|
||||||
8.5 1 G12 => D1 cpu_est_1_.C VMA.D.X2 CLK_OSZI
|
|
||||||
8.5 1 G12 => G5 cpu_est_1_.C cpu_est_2_.D.X1 CLK_OSZI
|
|
||||||
8.5 1 G12 => C5 cpu_est_1_.C inst_VPA_SYNC.D CLK_OSZI
|
|
||||||
8.5 1 G5 => G4 cpu_est_2_.C E.D.X1 CLK_OSZI
|
|
||||||
8.5 1 G5 => D1 cpu_est_2_.C VMA.D.X1 CLK_OSZI
|
|
||||||
8.5 1 G5 => G5 cpu_est_2_.C cpu_est_2_.D.X1 CLK_OSZI
|
|
||||||
8.5 1 G5 => G5 cpu_est_2_.C cpu_est_2_.D.X2 CLK_OSZI
|
|
||||||
8.5 1 F12 => B13 inst_AS_030_000_SYNC.C SM_AMIGA_6_.D CLK_OSZI
|
|
||||||
8.5 1 F12 => B5 inst_AS_030_000_SYNC.C SM_AMIGA_7_.D CLK_OSZI
|
|
||||||
8.5 1 F12 => F12 inst_AS_030_000_SYNC.C inst_AS_030_000_SYNC.D CLK_OSZI
|
|
||||||
8.5 1 A12 => G8 inst_BGACK_030_INT_D.C A0.D CLK_OSZI
|
|
||||||
8.5 1 A12 => D5 inst_BGACK_030_INT_D.C AMIGA_BUS_ENABLE.D CLK_OSZI
|
|
||||||
8.5 1 A12 => H8 inst_BGACK_030_INT_D.C AS_030.D CLK_OSZI
|
|
||||||
8.5 1 A12 => A0 inst_BGACK_030_INT_D.C DS_030.D CLK_OSZI
|
|
||||||
8.5 1 A12 => G0 inst_BGACK_030_INT_D.C SIZE_0_.D CLK_OSZI
|
|
||||||
8.5 1 A12 => H0 inst_BGACK_030_INT_D.C SIZE_1_.D CLK_OSZI
|
|
||||||
8.5 1 F0 => H4 inst_CLK_000_D0.C BGACK_030.D CLK_OSZI
|
|
||||||
8.5 1 F0 => G4 inst_CLK_000_D0.C E.D.X1 CLK_OSZI
|
|
||||||
8.5 1 F0 => B8 inst_CLK_000_D0.C IPL_030_0_.D CLK_OSZI
|
|
||||||
8.5 1 F0 => B12 inst_CLK_000_D0.C IPL_030_1_.D CLK_OSZI
|
|
||||||
8.5 1 F0 => B4 inst_CLK_000_D0.C IPL_030_2_.D CLK_OSZI
|
|
||||||
8.5 1 F0 => C4 inst_CLK_000_D0.C SM_AMIGA_0_.D CLK_OSZI
|
|
||||||
8.5 1 F0 => A8 inst_CLK_000_D0.C SM_AMIGA_1_.D CLK_OSZI
|
|
||||||
8.5 1 F0 => C8 inst_CLK_000_D0.C SM_AMIGA_2_.D CLK_OSZI
|
|
||||||
8.5 1 F0 => C1 inst_CLK_000_D0.C SM_AMIGA_3_.D CLK_OSZI
|
|
||||||
8.5 1 F0 => F8 inst_CLK_000_D0.C SM_AMIGA_4_.D CLK_OSZI
|
|
||||||
8.5 1 F0 => F5 inst_CLK_000_D0.C SM_AMIGA_5_.D CLK_OSZI
|
|
||||||
8.5 1 F0 => B13 inst_CLK_000_D0.C SM_AMIGA_6_.D CLK_OSZI
|
|
||||||
8.5 1 F0 => B5 inst_CLK_000_D0.C SM_AMIGA_7_.D CLK_OSZI
|
|
||||||
8.5 1 F0 => B9 inst_CLK_000_D0.C cpu_est_0_.D CLK_OSZI
|
|
||||||
8.5 1 F0 => G5 inst_CLK_000_D0.C cpu_est_2_.D.X1 CLK_OSZI
|
|
||||||
8.5 1 F0 => H5 inst_CLK_000_D0.C inst_CLK_000_D1.D CLK_OSZI
|
|
||||||
8.5 1 F0 => C9 inst_CLK_000_D0.C inst_DTACK_SYNC.D CLK_OSZI
|
|
||||||
8.5 1 F0 => C5 inst_CLK_000_D0.C inst_VPA_SYNC.D CLK_OSZI
|
|
||||||
8.5 1 H5 => H4 inst_CLK_000_D1.C BGACK_030.D CLK_OSZI
|
|
||||||
8.5 1 H5 => G4 inst_CLK_000_D1.C E.D.X1 CLK_OSZI
|
|
||||||
8.5 1 H5 => B8 inst_CLK_000_D1.C IPL_030_0_.D CLK_OSZI
|
|
||||||
8.5 1 H5 => B12 inst_CLK_000_D1.C IPL_030_1_.D CLK_OSZI
|
|
||||||
8.5 1 H5 => B4 inst_CLK_000_D1.C IPL_030_2_.D CLK_OSZI
|
|
||||||
8.5 1 H5 => D1 inst_CLK_000_D1.C VMA.D.X1 CLK_OSZI
|
|
||||||
8.5 1 H5 => D1 inst_CLK_000_D1.C VMA.D.X2 CLK_OSZI
|
|
||||||
8.5 1 H5 => B9 inst_CLK_000_D1.C cpu_est_0_.D CLK_OSZI
|
|
||||||
8.5 1 H5 => G5 inst_CLK_000_D1.C cpu_est_2_.D.X1 CLK_OSZI
|
|
||||||
8.5 1 H5 => E5 inst_CLK_000_D1.C inst_CLK_000_D2.D CLK_OSZI
|
|
||||||
8.5 1 E5 => B13 inst_CLK_000_D2.C SM_AMIGA_6_.D CLK_OSZI
|
|
||||||
8.5 1 E5 => B5 inst_CLK_000_D2.C SM_AMIGA_7_.D CLK_OSZI
|
|
||||||
8.5 1 E5 => D1 inst_CLK_000_D2.C VMA.D.X1 CLK_OSZI
|
|
||||||
8.5 1 E5 => A5 inst_CLK_000_D2.C inst_CLK_000_D3.D CLK_OSZI
|
|
||||||
8.5 1 A5 => B13 inst_CLK_000_D3.C SM_AMIGA_6_.D CLK_OSZI
|
|
||||||
8.5 1 A5 => B5 inst_CLK_000_D3.C SM_AMIGA_7_.D CLK_OSZI
|
|
||||||
8.5 1 A5 => A9 inst_CLK_000_D3.C inst_CLK_000_D4.D CLK_OSZI
|
|
||||||
8.5 1 A9 => E8 inst_CLK_000_D4.C inst_CLK_000_D5.D CLK_OSZI
|
|
||||||
8.5 1 E8 => H12 inst_CLK_000_D5.C DSACK_1_.D CLK_OSZI
|
|
||||||
8.5 1 E8 => C4 inst_CLK_000_D5.C SM_AMIGA_0_.D CLK_OSZI
|
|
||||||
8.5 1 E8 => A8 inst_CLK_000_D5.C SM_AMIGA_1_.D CLK_OSZI
|
|
||||||
8.5 1 E8 => F12 inst_CLK_000_D5.C inst_AS_030_000_SYNC.D CLK_OSZI
|
|
||||||
8.5 1 E8 => F4 inst_CLK_000_D5.C inst_CLK_000_D6.D CLK_OSZI
|
|
||||||
8.5 1 F4 => H12 inst_CLK_000_D6.C DSACK_1_.D CLK_OSZI
|
|
||||||
8.5 1 F4 => C4 inst_CLK_000_D6.C SM_AMIGA_0_.D CLK_OSZI
|
|
||||||
8.5 1 F4 => A8 inst_CLK_000_D6.C SM_AMIGA_1_.D CLK_OSZI
|
|
||||||
8.5 1 F4 => F12 inst_CLK_000_D6.C inst_AS_030_000_SYNC.D CLK_OSZI
|
|
||||||
8.5 1 A1 => G1 inst_CLK_OUT_PRE.C CLK_DIV_OUT.D CLK_OSZI
|
|
||||||
8.5 1 A1 => B0 inst_CLK_OUT_PRE.C CLK_EXP.D CLK_OSZI
|
|
||||||
8.5 1 C9 => C8 inst_DTACK_SYNC.C SM_AMIGA_2_.D CLK_OSZI
|
|
||||||
8.5 1 C9 => C1 inst_DTACK_SYNC.C SM_AMIGA_3_.D CLK_OSZI
|
|
||||||
8.5 1 C9 => C9 inst_DTACK_SYNC.C inst_DTACK_SYNC.D CLK_OSZI
|
|
||||||
8.5 1 E9 => D1 inst_VPA_D.C VMA.D.X2 CLK_OSZI
|
|
||||||
8.5 1 E9 => C9 inst_VPA_D.C inst_DTACK_SYNC.D CLK_OSZI
|
|
||||||
8.5 1 E9 => C5 inst_VPA_D.C inst_VPA_SYNC.D CLK_OSZI
|
|
||||||
8.5 1 C5 => C8 inst_VPA_SYNC.C SM_AMIGA_2_.D CLK_OSZI
|
|
||||||
8.5 1 C5 => C1 inst_VPA_SYNC.C SM_AMIGA_3_.D CLK_OSZI
|
|
||||||
8.5 1 C5 => C5 inst_VPA_SYNC.C inst_VPA_SYNC.D CLK_OSZI
|
|
||||||
|
|
||||||
|
|
||||||
Section tSU
|
|
||||||
|
|
||||||
tSU, tHD Level Location(From => To) Source Destination Reference_Clock
|
|
||||||
=========== ===== ==================== ====== =========== ===============
|
|
||||||
5.5, 0.0 1 p69 => D8 A0 LDS_000.D CLK_OSZI
|
|
||||||
5.5, 0.0 1 p69 => D12 A0 UDS_000.D CLK_OSZI
|
|
||||||
5.5, 0.0 1 p33 => G8 AS_000 A0.D CLK_OSZI
|
|
||||||
5.5, 0.0 1 p33 => H8 AS_000 AS_030.D CLK_OSZI
|
|
||||||
5.5, 0.0 1 p33 => A0 AS_000 DS_030.D CLK_OSZI
|
|
||||||
5.5, 0.0 1 p33 => G0 AS_000 SIZE_0_.D CLK_OSZI
|
|
||||||
5.5, 0.0 1 p33 => H0 AS_000 SIZE_1_.D CLK_OSZI
|
|
||||||
5.5, 0.0 1 p82 => D5 AS_030 AMIGA_BUS_ENABLE.D CLK_OSZI
|
|
||||||
5.5, 0.0 1 p82 => D4 AS_030 AS_000.D CLK_OSZI
|
|
||||||
5.5, 0.0 1 p82 => D13 AS_030 BG_000.D CLK_OSZI
|
|
||||||
5.5, 0.0 1 p82 => H12 AS_030 DSACK_1_.D CLK_OSZI
|
|
||||||
5.5, 0.0 1 p82 => H1 AS_030 FPU_CS.D CLK_OSZI
|
|
||||||
5.5, 0.0 1 p82 => D8 AS_030 LDS_000.D CLK_OSZI
|
|
||||||
5.5, 0.0 1 p82 => D12 AS_030 UDS_000.D CLK_OSZI
|
|
||||||
5.5, 0.0 1 p82 => F12 AS_030 inst_AS_030_000_SYNC.D CLK_OSZI
|
|
||||||
5.5, 0.0 1 p82 => C9 AS_030 inst_DTACK_SYNC.D CLK_OSZI
|
|
||||||
5.5, 0.0 1 p82 => C5 AS_030 inst_VPA_SYNC.D CLK_OSZI
|
|
||||||
5.5, 0.0 1 p96 => H1 A[16] FPU_CS.D CLK_OSZI
|
|
||||||
5.5, 0.0 1 p96 => F12 A[16] inst_AS_030_000_SYNC.D CLK_OSZI
|
|
||||||
5.5, 0.0 1 p59 => H1 A[17] FPU_CS.D CLK_OSZI
|
|
||||||
5.5, 0.0 1 p59 => F12 A[17] inst_AS_030_000_SYNC.D CLK_OSZI
|
|
||||||
5.5, 0.0 1 p95 => H1 A[18] FPU_CS.D CLK_OSZI
|
|
||||||
5.5, 0.0 1 p95 => F12 A[18] inst_AS_030_000_SYNC.D CLK_OSZI
|
|
||||||
5.5, 0.0 1 p97 => H1 A[19] FPU_CS.D CLK_OSZI
|
|
||||||
5.5, 0.0 1 p97 => F12 A[19] inst_AS_030_000_SYNC.D CLK_OSZI
|
|
||||||
5.5, 0.0 1 p28 => H4 BGACK_000 BGACK_030.D CLK_OSZI
|
|
||||||
5.5, 0.0 1 p28 => H1 BGACK_000 FPU_CS.D CLK_OSZI
|
|
||||||
5.5, 0.0 1 p28 => F12 BGACK_000 inst_AS_030_000_SYNC.D CLK_OSZI
|
|
||||||
5.5, 0.0 1 p21 => D13 BG_030 BG_000.D CLK_OSZI
|
|
||||||
5.5, 0.0 1 p11 => D13 CLK_000 BG_000.D CLK_OSZI
|
|
||||||
5.5, 0.0 1 p11 => F0 CLK_000 inst_CLK_000_D0.D CLK_OSZI
|
|
||||||
5.5, 0.0 1 p64 => G8 CLK_030 A0.D CLK_OSZI
|
|
||||||
5.5, 0.0 1 p64 => H8 CLK_030 AS_030.D CLK_OSZI
|
|
||||||
5.5, 0.0 1 p64 => A0 CLK_030 DS_030.D CLK_OSZI
|
|
||||||
5.5, 0.0 1 p64 => H1 CLK_030 FPU_CS.D CLK_OSZI
|
|
||||||
5.5, 0.0 1 p64 => G0 CLK_030 SIZE_0_.D CLK_OSZI
|
|
||||||
5.5, 0.0 1 p64 => H0 CLK_030 SIZE_1_.D CLK_OSZI
|
|
||||||
5.5, 0.0 1 p64 => F12 CLK_030 inst_AS_030_000_SYNC.D CLK_OSZI
|
|
||||||
5.5, 0.0 1 p98 => D8 DS_030 LDS_000.D CLK_OSZI
|
|
||||||
5.5, 0.0 1 p98 => D12 DS_030 UDS_000.D CLK_OSZI
|
|
||||||
5.5, 0.0 1 p30 => C9 DTACK inst_DTACK_SYNC.D CLK_OSZI
|
|
||||||
5.5, 0.0 1 p57 => H1 FC[0] FPU_CS.D CLK_OSZI
|
|
||||||
5.5, 0.0 1 p57 => F12 FC[0] inst_AS_030_000_SYNC.D CLK_OSZI
|
|
||||||
5.5, 0.0 1 p58 => H1 FC[1] FPU_CS.D CLK_OSZI
|
|
||||||
5.5, 0.0 1 p58 => F12 FC[1] inst_AS_030_000_SYNC.D CLK_OSZI
|
|
||||||
5.5, 0.0 1 p67 => B8 IPL[0] IPL_030_0_.D CLK_OSZI
|
|
||||||
5.5, 0.0 1 p56 => B12 IPL[1] IPL_030_1_.D CLK_OSZI
|
|
||||||
5.5, 0.0 1 p68 => B4 IPL[2] IPL_030_2_.D CLK_OSZI
|
|
||||||
5.5, 0.0 1 p31 => G8 LDS_000 A0.D CLK_OSZI
|
|
||||||
5.5, 0.0 1 p31 => H8 LDS_000 AS_030.D CLK_OSZI
|
|
||||||
5.5, 0.0 1 p31 => A0 LDS_000 DS_030.D CLK_OSZI
|
|
||||||
5.5, 0.0 1 p31 => G0 LDS_000 SIZE_0_.D CLK_OSZI
|
|
||||||
5.5, 0.0 1 p31 => H0 LDS_000 SIZE_1_.D CLK_OSZI
|
|
||||||
5.5, 0.0 1 p71 => A0 RW DS_030.D CLK_OSZI
|
|
||||||
5.5, 0.0 1 p71 => D8 RW LDS_000.D CLK_OSZI
|
|
||||||
5.5, 0.0 1 p71 => D12 RW UDS_000.D CLK_OSZI
|
|
||||||
5.5, 0.0 1 p70 => D8 SIZE[0] LDS_000.D CLK_OSZI
|
|
||||||
5.5, 0.0 1 p79 => D8 SIZE[1] LDS_000.D CLK_OSZI
|
|
||||||
5.5, 0.0 1 p32 => G8 UDS_000 A0.D CLK_OSZI
|
|
||||||
5.5, 0.0 1 p32 => H8 UDS_000 AS_030.D CLK_OSZI
|
|
||||||
5.5, 0.0 1 p32 => A0 UDS_000 DS_030.D CLK_OSZI
|
|
||||||
5.5, 0.0 1 p32 => G0 UDS_000 SIZE_0_.D CLK_OSZI
|
|
||||||
5.5, 0.0 1 p32 => H0 UDS_000 SIZE_1_.D CLK_OSZI
|
|
||||||
5.5, 0.0 1 p36 => E9 VPA inst_VPA_D.D CLK_OSZI
|
|
||||||
5.5, 0.0 1 p14 => D5 nEXP_SPACE AMIGA_BUS_ENABLE.D CLK_OSZI
|
|
||||||
5.5, 0.0 1 p14 => D13 nEXP_SPACE BG_000.D CLK_OSZI
|
|
||||||
5.5, 0.0 1 p14 => B13 nEXP_SPACE SM_AMIGA_6_.D CLK_OSZI
|
|
||||||
5.5, 0.0 1 p14 => B5 nEXP_SPACE SM_AMIGA_7_.D CLK_OSZI
|
|
||||||
5.5, 0.0 1 p14 => F12 nEXP_SPACE inst_AS_030_000_SYNC.D CLK_OSZI
|
|
||||||
|
|
||||||
|
|
||||||
Section tPD
|
|
||||||
|
|
||||||
Delay Level Location(From => To) Source Destination
|
|
||||||
===== ===== ==================== ====== ===========
|
|
||||||
10.0 1 p93 => p47 A[20] CIIN
|
|
||||||
10.0 1 p94 => p47 A[21] CIIN
|
|
||||||
10.0 1 p85 => p47 A[22] CIIN
|
|
||||||
10.0 1 p84 => p47 A[23] CIIN
|
|
||||||
10.0 1 p81 => p30 DSACK[1] DTACK
|
|
||||||
10.0 1 p71 => p48 RW AMIGA_BUS_DATA_DIR
|
|
||||||
10.0 1 p14 => p48 nEXP_SPACE AMIGA_BUS_DATA_DIR
|
|
||||||
|
|
||||||
|
|
||||||
Section tCO
|
|
||||||
|
|
||||||
tCO Level Location(From => To) Source Destination Register_Clock
|
|
||||||
=== ===== ==================== ====== =========== ==============
|
|
||||||
13.0 2 p61 => p48 CLK_OSZI AMIGA_BUS_DATA_DIR BGACK_030.C
|
|
||||||
6.0 1 p61 => p69 CLK_OSZI A0 A0.C
|
|
||||||
6.0 1 p61 => p34 CLK_OSZI AMIGA_BUS_ENABLE AMIGA_BUS_ENABLE.C
|
|
||||||
6.0 1 p61 => p33 CLK_OSZI AS_000 AS_000.C
|
|
||||||
6.0 1 p61 => p82 CLK_OSZI AS_030 AS_030.C
|
|
||||||
6.0 1 p61 => p83 CLK_OSZI BGACK_030 BGACK_030.C
|
|
||||||
6.0 1 p61 => p29 CLK_OSZI BG_000 BG_000.C
|
|
||||||
6.0 1 p61 => p65 CLK_OSZI CLK_DIV_OUT CLK_DIV_OUT.C
|
|
||||||
6.0 1 p61 => p10 CLK_OSZI CLK_EXP CLK_EXP.C
|
|
||||||
6.0 1 p61 => p81 CLK_OSZI DSACK[1] DSACK_1_.C
|
|
||||||
6.0 1 p61 => p98 CLK_OSZI DS_030 DS_030.C
|
|
||||||
6.0 1 p61 => p66 CLK_OSZI E E.C
|
|
||||||
6.0 1 p61 => p78 CLK_OSZI FPU_CS FPU_CS.C
|
|
||||||
6.0 1 p61 => p8 CLK_OSZI IPL_030[0] IPL_030_0_.C
|
|
||||||
6.0 1 p61 => p7 CLK_OSZI IPL_030[1] IPL_030_1_.C
|
|
||||||
6.0 1 p61 => p9 CLK_OSZI IPL_030[2] IPL_030_2_.C
|
|
||||||
6.0 1 p61 => p31 CLK_OSZI LDS_000 LDS_000.C
|
|
||||||
6.0 1 p61 => p3 CLK_OSZI RESET RESET.C
|
|
||||||
6.0 1 p61 => p70 CLK_OSZI SIZE[0] SIZE_0_.C
|
|
||||||
6.0 1 p61 => p79 CLK_OSZI SIZE[1] SIZE_1_.C
|
|
||||||
6.0 1 p61 => p32 CLK_OSZI UDS_000 UDS_000.C
|
|
||||||
6.0 1 p61 => p35 CLK_OSZI VMA VMA.C
|
|
|
@ -1,472 +0,0 @@
|
||||||
#$ TOOL ispLEVER Classic 1.7.00.05.28.13
|
|
||||||
#$ DATE Sun Jun 22 21:24:20 2014
|
|
||||||
#$ MODULE 68030_tk
|
|
||||||
#$ PINS 59 A_31_ IPL_2_ FC_1_ A_30_ UDS_000 A_29_ LDS_000 A_28_ A_27_ nEXP_SPACE A_26_ BERR A_25_ BG_030 A_24_ A_23_ A_22_ BGACK_000 A_21_ CLK_030 A_20_ CLK_000 A_19_ CLK_OSZI A_18_ CLK_DIV_OUT A_17_ A_16_ FPU_CS DTACK IPL_1_ AVEC IPL_0_ FC_0_ VPA RST AMIGA_BUS_DATA_DIR CIIN SIZE_1_ IPL_030_2_ AS_030 AS_000 RW_000 SIZE_0_ DS_030 A0 BG_000 BGACK_030 CLK_EXP IPL_030_1_ DSACK1 IPL_030_0_ AVEC_EXP E VMA RESET RW AMIGA_BUS_ENABLE AMIGA_BUS_ENABLE_LOW
|
|
||||||
#$ NODES 55 inst_AS_030_000_SYNC inst_BGACK_030_INT_D inst_VPA_D inst_CLK_OUT_PRE_50_D CLK_CNT_N_0_ inst_CLK_OUT_PRE_50 inst_CLK_OUT_PRE_25 inst_CLK_000_D1 inst_CLK_000_D2 inst_CLK_000_D3 inst_CLK_000_D0 inst_CLK_000_NE inst_CLK_OUT_PRE_D inst_CLK_OUT_PRE CLK_000_P_SYNC_9_ CLK_000_N_SYNC_11_ SM_AMIGA_7_ SM_AMIGA_6_ SM_AMIGA_1_ SM_AMIGA_0_ SM_AMIGA_4_ CLK_000_N_SYNC_6_ inst_CLK_030_H CLK_CNT_P_1_ CLK_CNT_N_1_ CLK_CNT_P_0_ inst_LDS_000_INT inst_DS_000_ENABLE inst_UDS_000_INT CLK_000_P_SYNC_0_ CLK_000_P_SYNC_1_ CLK_000_P_SYNC_2_ CLK_000_P_SYNC_3_ CLK_000_P_SYNC_4_ CLK_000_P_SYNC_5_ CLK_000_P_SYNC_6_ CLK_000_P_SYNC_7_ CLK_000_P_SYNC_8_ CLK_000_N_SYNC_0_ CLK_000_N_SYNC_1_ CLK_000_N_SYNC_2_ CLK_000_N_SYNC_3_ CLK_000_N_SYNC_4_ CLK_000_N_SYNC_5_ CLK_000_N_SYNC_7_ CLK_000_N_SYNC_8_ CLK_000_N_SYNC_9_ CLK_000_N_SYNC_10_ SM_AMIGA_5_ SM_AMIGA_3_ SM_AMIGA_2_ un16_ciin cpu_est_0_ cpu_est_1_ cpu_est_2_
|
|
||||||
.type fr
|
|
||||||
.i 113
|
|
||||||
.o 248
|
|
||||||
.ilb A_31_ IPL_2_ FC_1_ nEXP_SPACE BERR BG_030 BGACK_000 CLK_030 CLK_000 CLK_OSZI VPA RST A_30_ A_29_ A_28_ A_27_ A_26_ A_25_ A_24_ A_23_ A_22_ A_21_ A_20_ A_19_ A_18_ A_17_ A_16_ IPL_1_ IPL_0_ FC_0_ BGACK_030.Q AVEC_EXP.Q VMA.Q AMIGA_BUS_ENABLE.Q AMIGA_BUS_ENABLE_LOW.Q inst_AS_030_000_SYNC.Q inst_BGACK_030_INT_D.Q AS_030.Q inst_VPA_D.Q inst_CLK_OUT_PRE_50_D.Q CLK_CNT_N_0_.Q inst_CLK_OUT_PRE_50.Q inst_CLK_OUT_PRE_25.Q inst_CLK_000_D1.Q inst_CLK_000_D2.Q inst_CLK_000_D3.Q inst_CLK_000_D0.Q inst_CLK_000_NE.Q inst_CLK_OUT_PRE_D.Q inst_CLK_OUT_PRE.Q CLK_000_P_SYNC_9_.Q CLK_000_N_SYNC_11_.Q AS_000.Q SM_AMIGA_7_.Q SM_AMIGA_6_.Q SM_AMIGA_1_.Q SM_AMIGA_0_.Q SM_AMIGA_4_.Q CLK_000_N_SYNC_6_.Q inst_CLK_030_H.Q CLK_CNT_P_1_.Q CLK_CNT_N_1_.Q RW_000.Q DSACK1.Q CLK_CNT_P_0_.Q RW.Q inst_LDS_000_INT.Q inst_DS_000_ENABLE.Q inst_UDS_000_INT.Q DS_030.Q CLK_000_P_SYNC_0_.Q CLK_000_P_SYNC_1_.Q CLK_000_P_SYNC_2_.Q CLK_000_P_SYNC_3_.Q CLK_000_P_SYNC_4_.Q CLK_000_P_SYNC_5_.Q CLK_000_P_SYNC_6_.Q CLK_000_P_SYNC_7_.Q CLK_000_P_SYNC_8_.Q CLK_000_N_SYNC_0_.Q CLK_000_N_SYNC_1_.Q CLK_000_N_SYNC_2_.Q CLK_000_N_SYNC_3_.Q CLK_000_N_SYNC_4_.Q CLK_000_N_SYNC_5_.Q CLK_000_N_SYNC_7_.Q BG_000.Q CLK_000_N_SYNC_8_.Q CLK_000_N_SYNC_9_.Q CLK_000_N_SYNC_10_.Q SM_AMIGA_5_.Q SM_AMIGA_3_.Q SM_AMIGA_2_.Q IPL_030_0_.Q IPL_030_1_.Q un16_ciin IPL_030_2_.Q cpu_est_0_.Q cpu_est_1_.Q cpu_est_2_.Q E.Q AS_030.PIN AS_000.PIN RW_000.PIN DS_030.PIN UDS_000.PIN LDS_000.PIN SIZE_0_.PIN SIZE_1_.PIN A0.PIN DSACK1.PIN DTACK.PIN RW.PIN
|
|
||||||
.ob FPU_CS AVEC AMIGA_BUS_DATA_DIR CIIN cpu_est_0_.C cpu_est_0_.AR cpu_est_1_.C cpu_est_1_.AR cpu_est_2_.C cpu_est_2_.AR E.C E.AR IPL_030_0_.C IPL_030_0_.AP IPL_030_1_.C IPL_030_1_.AP IPL_030_2_.C IPL_030_2_.AP SM_AMIGA_7_.C SM_AMIGA_7_.AP SM_AMIGA_6_.C SM_AMIGA_6_.AR SM_AMIGA_5_.C SM_AMIGA_5_.AR SM_AMIGA_4_.C SM_AMIGA_4_.AR SM_AMIGA_3_.C SM_AMIGA_3_.AR SM_AMIGA_2_.C SM_AMIGA_2_.AR SM_AMIGA_1_.C SM_AMIGA_1_.AR SM_AMIGA_0_.C SM_AMIGA_0_.AR CLK_000_N_SYNC_3_.C CLK_000_N_SYNC_3_.AR CLK_000_N_SYNC_4_.C CLK_000_N_SYNC_4_.AR CLK_000_N_SYNC_5_.C CLK_000_N_SYNC_5_.AR CLK_000_N_SYNC_6_.C CLK_000_N_SYNC_6_.AR CLK_000_N_SYNC_7_.C CLK_000_N_SYNC_7_.AR CLK_000_N_SYNC_8_.C CLK_000_N_SYNC_8_.AR CLK_000_N_SYNC_9_.C CLK_000_N_SYNC_9_.AR CLK_000_N_SYNC_10_.C CLK_000_N_SYNC_10_.AR CLK_000_N_SYNC_11_.C CLK_000_N_SYNC_11_.AR CLK_CNT_N_0_.C CLK_CNT_N_0_.AR CLK_CNT_N_1_.C CLK_CNT_N_1_.AP CLK_CNT_P_0_.C CLK_CNT_P_0_.AR CLK_CNT_P_1_.C CLK_CNT_P_1_.AR SIZE_0_.C SIZE_0_.AP SIZE_1_.C SIZE_1_.AP CLK_000_P_SYNC_0_.C CLK_000_P_SYNC_0_.AR CLK_000_P_SYNC_1_.C CLK_000_P_SYNC_1_.AR CLK_000_P_SYNC_2_.C CLK_000_P_SYNC_2_.AR CLK_000_P_SYNC_3_.C CLK_000_P_SYNC_3_.AR CLK_000_P_SYNC_4_.C CLK_000_P_SYNC_4_.AR CLK_000_P_SYNC_5_.C CLK_000_P_SYNC_5_.AR CLK_000_P_SYNC_6_.C CLK_000_P_SYNC_6_.AR CLK_000_P_SYNC_7_.C CLK_000_P_SYNC_7_.AR CLK_000_P_SYNC_8_.C CLK_000_P_SYNC_8_.AR CLK_000_P_SYNC_9_.C CLK_000_P_SYNC_9_.AR CLK_000_N_SYNC_0_.C CLK_000_N_SYNC_0_.AR CLK_000_N_SYNC_1_.C CLK_000_N_SYNC_1_.AR CLK_000_N_SYNC_2_.C CLK_000_N_SYNC_2_.AR RW_000.C RW_000.AP VMA.C VMA.AP inst_CLK_OUT_PRE_25.C inst_CLK_OUT_PRE_25.AR BGACK_030.C BGACK_030.AP inst_AS_030_000_SYNC.C inst_AS_030_000_SYNC.AP AS_000.C AS_000.AP inst_DS_000_ENABLE.C inst_DS_000_ENABLE.AR DSACK1.C DSACK1.AP BG_000.C BG_000.AP inst_LDS_000_INT.C inst_LDS_000_INT.AP inst_UDS_000_INT.C inst_UDS_000_INT.AP A0.C A0.AP inst_CLK_030_H.C RW.C RW.AP DS_030.C DS_030.AP AS_030.C AS_030.AP AMIGA_BUS_ENABLE.C AMIGA_BUS_ENABLE.AP AMIGA_BUS_ENABLE_LOW.C AMIGA_BUS_ENABLE_LOW.AR inst_CLK_OUT_PRE.C inst_CLK_OUT_PRE.AR inst_CLK_000_D3.C inst_CLK_000_D3.AP inst_CLK_000_D2.C inst_CLK_000_D2.AP inst_CLK_OUT_PRE_50.C inst_CLK_OUT_PRE_50.AR RESET.C RESET.AR CLK_EXP.C CLK_EXP.AR inst_CLK_000_D1.C inst_CLK_000_D1.AP inst_BGACK_030_INT_D.C inst_BGACK_030_INT_D.AP inst_CLK_OUT_PRE_50_D.C inst_CLK_OUT_PRE_50_D.AR inst_CLK_OUT_PRE_D.C inst_CLK_OUT_PRE_D.AR inst_CLK_000_D0.C inst_CLK_000_D0.AP inst_VPA_D.C inst_VPA_D.AP AVEC_EXP.C AVEC_EXP.AR inst_CLK_000_NE.C inst_CLK_000_NE.AR UDS_000 LDS_000 DTACK un16_ciin AS_030.OE AS_000.OE RW_000.OE DS_030.OE UDS_000.OE LDS_000.OE SIZE_0_.OE SIZE_1_.OE A0.OE DSACK1.OE DTACK.OE RW.OE CIIN.OE CLK_DIV_OUT.AR CLK_DIV_OUT.C CLK_DIV_OUT.D BGACK_030.D AVEC_EXP.D VMA.D AMIGA_BUS_ENABLE.D AMIGA_BUS_ENABLE_LOW.D inst_AS_030_000_SYNC.D inst_BGACK_030_INT_D.D AS_030.D inst_VPA_D.D inst_CLK_OUT_PRE_50_D.D CLK_CNT_N_0_.D inst_CLK_OUT_PRE_50.D inst_CLK_OUT_PRE_25.D inst_CLK_000_D1.D inst_CLK_000_D2.D inst_CLK_000_D3.D inst_CLK_000_D0.D inst_CLK_000_NE.D inst_CLK_OUT_PRE_D.D inst_CLK_OUT_PRE.D CLK_000_P_SYNC_9_.D CLK_000_N_SYNC_11_.D AS_000.D SM_AMIGA_7_.D SM_AMIGA_6_.D SM_AMIGA_1_.D SM_AMIGA_0_.D SM_AMIGA_4_.D CLK_000_N_SYNC_6_.D inst_CLK_030_H.D CLK_CNT_P_1_.D CLK_CNT_N_1_.D RW_000.D DSACK1.D CLK_CNT_P_0_.D RW.D inst_LDS_000_INT.D inst_DS_000_ENABLE.D inst_UDS_000_INT.D DS_030.D SIZE_0_.D SIZE_1_.D A0.D CLK_000_P_SYNC_0_.D CLK_000_P_SYNC_1_.D CLK_000_P_SYNC_2_.D CLK_000_P_SYNC_3_.D CLK_000_P_SYNC_4_.D CLK_000_P_SYNC_5_.D CLK_000_P_SYNC_6_.D CLK_000_P_SYNC_7_.D CLK_000_P_SYNC_8_.D CLK_000_N_SYNC_0_.D CLK_000_N_SYNC_1_.D CLK_000_N_SYNC_2_.D CLK_000_N_SYNC_3_.D CLK_000_N_SYNC_4_.D CLK_000_N_SYNC_5_.D CLK_000_N_SYNC_7_.D BG_000.D CLK_000_N_SYNC_8_.D CLK_000_N_SYNC_9_.D CLK_000_N_SYNC_10_.D CLK_EXP.D SM_AMIGA_5_.D SM_AMIGA_3_.D SM_AMIGA_2_.D IPL_030_0_.D IPL_030_1_.D IPL_030_2_.D RESET.D cpu_est_0_.D cpu_est_1_.D cpu_est_2_.D E.D
|
|
||||||
.p 460
|
|
||||||
----------------------------------------------------------------------------------------------------------------- ~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~
|
|
||||||
--0-------------------------------------------------------------------------------------------------------------- 1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
---1------------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
----0------------------------------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
-----1----------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~
|
|
||||||
------0---------------------------------------------------------------------------------------------------------- 1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
--------1-------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
---------1------------------------------------------------------------------------------------------------------- ~~~~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~~~~~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~11~1~1~1~~~1~1~1~1~1~1~1~1~1~1~1~1~1~1~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
---------0------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
----------1------------------------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
-----------0----------------------------------------------------------------------------------------------------- ~~~~~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
---0---------------0--------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
---0----------------0-------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
---0-----------------0------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
---0------------------0------------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
-----------------------1----------------------------------------------------------------------------------------- 1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
------------------------1---------------------------------------------------------------------------------------- 1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
-------------------------0--------------------------------------------------------------------------------------- 1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
--------------------------1-------------------------------------------------------------------------------------- 1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
-----------------------------0----------------------------------------------------------------------------------- 1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
------------------------------1---------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~11~~~~~~~~~~~~~~~~11~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~111~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
------1-----------------------1---------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
------------------------------0---------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
-1-----------------------------1--------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~
|
|
||||||
------1------------------------1--------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
---------------------------1---1--------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~
|
|
||||||
----------------------------1--1--------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~
|
|
||||||
----0--------------------------0--------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
----------------------------------0------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
---0-------------------------------1----------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
--1---1----------------0010--1-----1----------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
------------------------------0----1----------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
------------------------------1-----0---------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
-------0-----------------------------1--------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
---0--------------------------0------0--------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~1~~111~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
--------------------------------1-----1-------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
----------------------------------------1------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
-----------------------------------------1----------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
-----------------------------------------0----------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
------------------------------------------1---------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
---------------------------------------1--1---------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
-----------------------------------------01---------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
---------------------------------------0-10---------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
-------------------------------------------1--------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
--------------------------------------------1-------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
----------------------------------------------1------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
-------------------------------------------0001------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
-------------------------------------------1110------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
--------------------------------1--------------0----------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
------------------------------------------------1---------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~
|
|
||||||
-------------------------------------------------1--------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
--------------------------------------------------1-------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
---------------------------------------------------1------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
-------------------------------0--------------------1------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
-----------------------------------------------------1----------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
---1-------------------------------0-------1--0------1----------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
------------------------------1--1-------------------0----------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
-----------------------------------1-----------------0----------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
-------------------------------1----------------------1---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~
|
|
||||||
----1--------------------------0----------------------1---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
----0--------------------------0----------------------1---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
----0-------------------------------------------------0---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
----------------------------------------------------1-0---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
------------------------------1--1---------------------1--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
----1------------------------------------------0-------1--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
----0------------------------------------------0-------1--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
----0--------------------------------------------------0--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
----0---------------------------------------------------1-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
-------------------------------1------------------------1-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
----1--------------------------0------------------------1-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
------------------------------1--1----------------------1-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
-----------------------------------------------1-------10-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
---0--------------------------1----------------------1-00-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
------------------------------1----1-----------------1-00-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
------------------------------1------------0---------1-00-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
------------------------------1---------------1------1-00-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
-------------------------------1-------------------------1------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~
|
|
||||||
----1--------------------------0-------------------------1------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
----0--------------------------0-------------------------1------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
----------------------------------------------------------1------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~
|
|
||||||
----0-----------------------------------------------------0------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
-----------0-----------------------------------------------1----------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
-------1-----------------------------1---------------------1----------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
----------------------------------------1--------------------1--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
------------------------------------------------------------11--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
----------------------------------------0--------------------0--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
-------------------------------0------------------------------1-------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
------------------------------------------------------0-------1-------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
-------------------------------------------------------0-------1------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
----------------------------------------------------------0----1------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
----------------------------------------------------------------1------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
----------------------------------------0-----------------------1------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
------------------------------------------------------------1---1------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
------------------------------------------------------------0---0------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
-------0---------------------------------------------------------1----------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
------------------------------------------------------------------1---------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
-------------------------------------------------------------------0--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
--------------------------------------------------------------------1-------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
----------------------------------------------------------------------1------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
-----------------------------------------------------------------------1----------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
------------------------------------------------------------------------1---------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
-------------------------------------------------------------------------1--------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
--------------------------------------------------------------------------1-------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
---------------------------------------------------------------------------1------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
----------------------------------------------------------------------------1------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
-----------------------------------------------------------------------------1----------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
------------------------------------------------------------------------------1---------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
-------------------------------------------------------------------------------1--------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
--------------------------------------------------------------------------------1-------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~
|
|
||||||
---------------------------------------------------------------------------------1------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~
|
|
||||||
----------------------------------------------------------------------------------1------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~
|
|
||||||
-----------------------------------------------------------------------------------1----------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~
|
|
||||||
------------------------------------------------------------------------------------1---------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
-------------------------------------------------------------------------------------1--------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~
|
|
||||||
---0----------------------------------------------------------------------------------1-------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~
|
|
||||||
--------0-----------------------------------------------------------------------------1-------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~
|
|
||||||
---------------------------------------------------------------------------------------1------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~
|
|
||||||
----------------------------------------------------------------------------------------1------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~
|
|
||||||
-----------------------------------------------------------------------------------------1----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
-----------------------------------------------1------------------------------------------1---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
----1------------------------------------------0------------------------------------------1---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~
|
|
||||||
----0------------------------------------------0------------------------------------------1---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
----1-----0---------------------1----------------------------------------------------------1--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~
|
|
||||||
----0-----0---------------------1----------------------------------------------------------1--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
----1------------------------------------------0-------------------------------------------1--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~
|
|
||||||
----0------------------------------------------0-------------------------------------------1--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
-------------------------------1------------------------------------------------------------1-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
----1--------------------------0------------------------------------------------------------1-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~
|
|
||||||
----0--------------------------0------------------------------------------------------------1-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
---0--------------------------------------------------0000--------------------------------000-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
-----------------------------------1------------------0000--------------------------------000-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
-------------------------------------------0----------0000--------------------------------000-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
----------------------------------------------1-------0000--------------------------------000-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
-------------------------------0-------------------------------------------------------------1------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~
|
|
||||||
-------------------------------0--------------------------------------------------------------1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~
|
|
||||||
-----------------------------------------------------------------------------------------------0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
-------------------------------0----------------------------------------------------------------1---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~
|
|
||||||
-------------------------------0-----------------------------------------------------------------1--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~
|
|
||||||
-------------------------------1-----------------------------------------------------------------0--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~
|
|
||||||
--------------------------------1----------------------------------------------------------------0--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
-------------------------------0------------------------------------------------------------------1-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~
|
|
||||||
--------------------------------1-----------------------------------------------------------------1-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
----1-----0--------------------------------------------------------------------------------1------1-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~
|
|
||||||
----0-----0--------------------------------------------------------------------------------1------1-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
-------------------------------------------------------------------------------------------------01-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~
|
|
||||||
-------------------------------1-----------------------------------------------------------------10-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~
|
|
||||||
-------------------------------1-----------------------------------------------------------------00-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~
|
|
||||||
-------------------------------0-------------------------------------------------------------------1------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~
|
|
||||||
--------------------------------------------------------------------------------------------------11------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~
|
|
||||||
-------------------------------1-----------------------------------------------------------------1-0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1
|
|
||||||
-------------------------------1------------------------------------------------------------------00------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1
|
|
||||||
-------------------------------0--------------------------------------------------------------------1------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1
|
|
||||||
-------------------------------1-----------------------------------------------------------------1--1------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~
|
|
||||||
--------------------------------------------------------------------------------------------------0-1------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1
|
|
||||||
----------0---------------------0--------------1-------------------------------------------1------0-1------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~
|
|
||||||
-------------------------------1-------------------------------------------------------------------11------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~
|
|
||||||
---------------------------------------------------------------------------------------------------01------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1
|
|
||||||
----1-----0--------------------------------------------------------------------------------1--------0------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~
|
|
||||||
----0-----0--------------------------------------------------------------------------------1--------0------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
-------------------------------1--------------------1--------------------------------------------0110------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
-------------------------------1-------------------------------------------------------------------00------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~
|
|
||||||
-----------------------------------------------------------------------------------------------------1----------- 1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
---0-------------------------------------------------------------------------------------------------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
-------------------------------0---------------------------------------------------------------------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
------------------------------------------------------0----------------------------------------------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
------------------------------1----------------1-------1---------------------------------------------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
------------------------------1----------------------1-1---------------------------------------------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
-------------------------------------------------------0---------------------------------------------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
------------------------------1-------------------------1--------------------------------------------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
----------------------------------------------------------0------------------------------------------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
1----------------------------------------------------------------------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
------------1----------------------------------------------------------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
-------------1---------------------------------------------------------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
--------------1--------------------------------------------------------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
---------------1-------------------------------------------------------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
----------------1------------------------------------------------------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
-----------------1-----------------------------------------------------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
------------------1----------------------------------------------------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
0-----------00000001111------------------------------------------------------------------------------0----------- ~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
----1--------------------------------------------------------------1---------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
--------------------------------------------------------------------------------------1--------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~
|
|
||||||
------------------------------------------------------------------------------------------------------1---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~111~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
-------1-----------------------------------------------------------------------------------------------1--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
-------------------------------------1-----------------------------------------------------------------1--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
-------0-------------------------------------------------------------1---------------------------------0--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
-----------------------------------------------------------0---------1---------------------------------0--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
------------------------------------------------------------------1-------------------------------------1-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
--------------------------------------------------------------------1-----------------------------------1-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
---------------------------------------------------------------------------------------------------------1------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
-------0---1------------------0------0----------------------------------------------------------------0--0------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
------------------------------0----------------------------1------------------------------------------0--0------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
----------------------------------------------------------------------------------------------------------1------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
---------------------------------------------------------------------------------------------------------11------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~1~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
-------0---1------------------0------0----------------------------------------------------------------0---0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
------------------------------0----------------------------1------------------------------------------0---0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
------------------------------0-----------------------------------------------------------------------0--10------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
---------------------------------------------------------------------------------------------------------00------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
--------------------------------------------------------------------------------------------------------0----1--- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
--------------------------------------------------------------------------------------------------------0--100--- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
--------------------------------------------------------------------------------------------------------------1-- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
----1-----1--------------------------------------------------------------------------------1-------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~
|
|
||||||
----0-----1--------------------------------------------------------------------------------1-------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
----------1------------------------------------1-------------------------------------------1-------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~
|
|
||||||
-------------------------------1----------------------1---------------------------------------------------------1 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
---0--------------------------0-----------------------------------------------------------------------0---------1 ~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
------------------------------1---------------------------------------------------------------------------------0 ~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
1---------------------------------------------------------------------------------------------------------------- ~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
---1------------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~0~~000~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
---0------------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
---00------------------------------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
------0---------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
--------0-------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
---------1------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
---------0------------------------------------------------------------------------------------------------------- ~~~~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~~~~~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~00~0~0~0~~~0~0~0~0~0~0~0~0~0~0~0~0~0~0~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
----------0------------------------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
-----------1----------------------------------------------------------------------------------------------------- ~~~~~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
------------1---------------------------------------------------------------------------------------------------- ~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
-------------1--------------------------------------------------------------------------------------------------- ~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
--------------1-------------------------------------------------------------------------------------------------- ~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
---------------1------------------------------------------------------------------------------------------------- ~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
----------------1------------------------------------------------------------------------------------------------ ~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
-----------------1----------------------------------------------------------------------------------------------- ~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
------------------1---------------------------------------------------------------------------------------------- ~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
0--1--------0000000---------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
-------------------0--------------------------------------------------------------------------------------------- ~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
--------------------0-------------------------------------------------------------------------------------------- ~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
---------------------0------------------------------------------------------------------------------------------- ~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
----------------------0------------------------------------------------------------------------------------------ ~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
------------------------------1---------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~0~~000~00~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
-----------1------------------1---------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
------------------------------0---------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~00~00~~~~~~~~~~~~~0~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
-0-----------------------------1--------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~
|
|
||||||
---0---------------------------1--------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
---------------------------0---1--------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~
|
|
||||||
----------------------------0--1--------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~
|
|
||||||
----0--------------------------0--------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~00~~~~~~~~~
|
|
||||||
------------------------------00--------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
----0-----0---------------------1-------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~
|
|
||||||
----------0--------------------11-------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~
|
|
||||||
-------------------------------00-------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
----------------------------------1------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
----0------------------------------1----------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
-------------------------------1---1----------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
-------------------------------------1--------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~0~~000~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
----------------------------------------0------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
-----------------------------------------1----------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
-----------------------------------------0----------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
---------------------------------------0-11---------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
------------------------------------------0---------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
---------------------------------------1--0---------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
-----------------------------------------00---------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
-------------------------------------------1--------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
-------------------------------------------0--------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
----0--------------------------------------0--------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
-------------------------------1-----------0--------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
--------------------------------------------1-------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
--------------------------------------------0-------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
---------------------------------------------1------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
---------------------------------------------0------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
----------------------------------------------1------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
----0-----------------------------------------1------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
-------------------------------1--------------1------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
----------------------------------------------0------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
-------------------------------0---------------1----------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~
|
|
||||||
----0------------------------------------------0----------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~
|
|
||||||
-------------------------------1---------------0----------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~
|
|
||||||
------------------------------------------------0---------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~
|
|
||||||
-------------------------------------------------0--------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
--------------------------------------------------0-------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
---------------------------------------------------0------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
--------------------------------0-------------------0------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
----0------------------------------------------------0----------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
-------------------------------1---------------------0----------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
-------------------------------1----------------------1---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
---0--------------------------------------------------0---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
----0-------------------------------------------------0---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~
|
|
||||||
-----------------------------------1------------------0---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
-------------------------------------------0----------0---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
----------------------------------------------1-------0---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
-----------------------------------------------1------0---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~
|
|
||||||
-----------------------------------------------------00---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
-------------------------------0-----------------------0--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
----0---------------------------------------------------1-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
-------------------------------1------------------------1-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
----1--------------------------0------------------------1-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
-----------------------------------------------0--------0-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
---------------------------------0--1----------0-----0--0-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
----1-------------------------------------------------1-0-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
----1--------------------------------------------------10-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
-------------------------------------------------------00-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
---1-------------------------------01------1--0------1-00-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
---------------------------------0--1----------------0-00-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
----1---------------------------------------------------01------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
----0----------------------------------------------------0------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~
|
|
||||||
-----------------------------------------------0---------0------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
----0-------------------------------------------------0--0------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
-------------------------------------------------------1--1------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
----------------------------------------------------------0------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~
|
|
||||||
-------1---------------------------------------------------0----------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
-----------0-----------------------------------------------0----------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
-------------------------------------1---------------------0----------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
----------------------------------------1-------------------0---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
----------------------------------------0--------------------1--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
----------------------------------------1--------------------0--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
-------------------------------0---------------------0--------0-------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
-----------------------------------------------------00-------0-------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
------------------------------------------------------------0---1------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
----------------------------------------------------------------0------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
------------------------------------------------------------1---0------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
------------------------------------------------------------0---0------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
-------------------------------------------------------------0--0------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
------------------------------------------------------------------01--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
-------------------------------0-----------------------------------0--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
------------------------------------------------------0--0---------0--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
-------------------------------------------------------------------10-------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
----------------------------------------------------------------------0------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
-----------------------------------------------------------------------0----------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
------------------------------------------------------------------------0---------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
-------------------------------------------------------------------------0--------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
--------------------------------------------------------------------------0-------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
---------------------------------------------------------------------------0------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
----------------------------------------------------------------------------0------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
-----------------------------------------------------------------------------0----------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
------------------------------------------------------------------------------0---------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
-------------------------------------------------------------------------------0--------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
--------------------------------------------------------------------------------0-------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~
|
|
||||||
---------------------------------------------------------------------------------0------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~
|
|
||||||
----------------------------------------------------------------------------------0------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~
|
|
||||||
-----------------------------------------------------------------------------------0----------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~
|
|
||||||
------------------------------------------------------------------------------------0---------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
-------------------------------------------------------------------------------------0--------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~
|
|
||||||
-----0--------------------------------------------------------------------------------0-------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~
|
|
||||||
---------------------------------------------------------------------------------------0------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~
|
|
||||||
----------------------------------------------------------------------------------------0------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~
|
|
||||||
-----------------------------------------------------------------------------------------0----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
----1---------------------------------------------------0---------------------------------1---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
----0-------------------------------------------------------------------------------------0---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
-------------------------------1----------------------------------------------------------0---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
-------------------------------0----------------------------------------------------------0---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~
|
|
||||||
------------------------------------------------------0-----------------------------------0---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~
|
|
||||||
---------------------------------------------------------0--------------------------------0---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
----1---------------------------------------------------0----------------------------------1--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
----0--------------------------------------------------------------------------------------0--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~
|
|
||||||
-------------------------------1-----------------------------------------------------------0--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~
|
|
||||||
-------------------------------0-----------------------------------------------------------0--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~
|
|
||||||
-------------------------------1---------------1-------10----------------------------------0--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
---------------------------------------------------------0---------------------------------0--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~
|
|
||||||
-------------------------------1---------------1--------0---------------------------------10--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
-------------------------------1----------------------100---------------------------------00--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
-------------------------------1-----------------------001--------------------------------00--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
----1---------------------------------------------------0-----------------------------------1-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
-------------------------------1-----------------------00---------------------------------001-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
----0---------------------------------------------------------------------------------------0-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
----------0---------------------1-----------------------------------------------------------0-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~
|
|
||||||
-----------------------------------------------1--------------------------------------------0-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
-----------------------------------------------0--------------------------------------------0-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~
|
|
||||||
-------------------------------------------------------0------------------------------------0-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
-------------------------------------------------------------------------------------------00-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~
|
|
||||||
-----------------------------------------------1------0100---------------------------------00-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
-----------------------------------------------1------0-00--------------------------------100-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
---1-------------------------------0-------1--0-------0000--------------------------------000-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
-------------------------------0-------------------------------------------------------------0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~
|
|
||||||
-------------------------------0--------------------------------------------------------------0------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~
|
|
||||||
-----------------------------------------------------------------------------------------------1----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
-------------------------------0----------------------------------------------------------------0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~
|
|
||||||
-------------------------------1-----------------------------------------------------------------1--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~
|
|
||||||
--------------------------------0----------------------------------------------------------------1--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
-------------------------------0-----------------------------------------------------------------0--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~
|
|
||||||
----0-----0---------------------------------------------------------------------------------------1-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~
|
|
||||||
----------0--------------------1------------------------------------------------------------------1-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~
|
|
||||||
----------0---------------------------------------------------------------------------------0-----1-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~
|
|
||||||
-------------------------------0------------------------------------------------------------------0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~
|
|
||||||
--------------------------------0-----------------------------------------------------------------0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
--------------------------------------0--------1-------------------------------------------------10-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
-------------------------------1------------------------------------------------------------------11------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0
|
|
||||||
-------------------------------0-------------------------------------------------------------------0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~
|
|
||||||
--------------------------------0------------------------------------------------------------------0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
-------------------------------------------------------------------------------------------------010------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~
|
|
||||||
--------------------------------0-------------------------------------------------------------------1------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
----------0--------------------00--------------1--------------------------------------------------0-1------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~
|
|
||||||
----------0---------------------0--------------1---------0----------------------------------------0-1------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~
|
|
||||||
----------0--------------------10--------------1--------0----------------------------------1------0-1------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
----------0---------------------0--------------1------0-00---------------------------------10-----0-1------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
-------------------------------1-----------------------------------------------------------------1101------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~
|
|
||||||
-------------------------------------------------------------------------------------------------0001------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~
|
|
||||||
----0-----0-----------------------------------------------------------------------------------------0------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~
|
|
||||||
----------0--------------------1--------------------------------------------------------------------0------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~
|
|
||||||
-------------------------------0--------------------------------------------------------------------0------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0
|
|
||||||
----------0---------------------------------------------------------------------------------0-------0------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~
|
|
||||||
-------------------------------------------------------------------------------------------------01-0------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0
|
|
||||||
-------------------------------1-----------------------------------------------------------------10-0------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~
|
|
||||||
---------------------------------------------------------------------------------------------------10------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0
|
|
||||||
-------------------------------1-----------------------------------------------------------------1110------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~
|
|
||||||
-------------------------------------------------------------------------------------------------0010------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~
|
|
||||||
--------------------------------------------------------------------------------------------------100------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~
|
|
||||||
-----------------------------------------------------------------------------------------------------1----------- ~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
---1-------------------------------------------------------------------------------------------------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
---1-0--1--------------------------------------------------------------------------------------------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~
|
|
||||||
-------------------------------0---------------------------------------------------------------------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
------------------------------------------------------0--0-------------------------------------------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
0-----------00000001111------------------------------------------------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
--1---1----------------0010--1-----------------------------------------------------------------------0----------- 0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
----1------------------------------0-----------------------------------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
----1-----------------------------------------------0------------------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
--011-------------------------1----------------------1-----------------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
---11-0-----------------------1----------------------1-----------------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
---11------------------1------1----------------------1-----------------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
---11-------------------1-----1----------------------1-----------------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
---11--------------------0----1----------------------1-----------------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
---11---------------------1---1----------------------1-----------------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
---11------------------------01----------------------1-----------------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
---------------------------------0--1------------------1---------------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
---------------------------------0--1-------------------1--------------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
----1----------------------------------------------------------0-------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
------------------------------------------------------------------------------------------------------1---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
-----------1------------------------------------------------------------------------------------------1---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
------------------------------------------------------------------0-------------------------------------1-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
--------------------------------------------------------------------0-----------------------------------1-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
---------------------------------------------------------------------------------------------------------0------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
-------1----------------------0-----------------------------------------------------------------------0--0------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
------------------------------0------0----------------------------------------------------------------0--0------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
-------1----------------------0------0---------------------1------------------------------------------0--0------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
-------0----------------------0----------------------------------0------------------------------------0--0------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
------------------------------0------0----------------------------------------------------------------01-0------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
-------1----------------------0-----------------------------------------------------------------------00-0------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
-------0----------------------0--------------------------------------0--------------------------------00-0------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
------------------------------0----------------------------0---------0--------------------------------00-0------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
----------------------------------------------------------------------------------------------------------1------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
-----------1---------------------------------------------------------------------------------------------11------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
------------------------------0-----------------------------------------------------------------------0--01------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
-------1----------------------0-----------------------------------------------------------------------0---0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
------------------------------0------0----------------------------------------------------------------0---0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
-------1----------------------0------0---------------------1------------------------------------------0---0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
-------0----------------------0----------------------------------0------------------------------------0---0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
------------------------------0------0----------------------------------------------------------------01--0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
-------1----------------------0-----------------------------------------------------------------------00--0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
-------0----------------------0--------------------------------------0--------------------------------00--0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
------------------------------0----------------------------0---------0--------------------------------00--0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
------------------------------0-----------------------------------------------------------------------0--10------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
------------------------------0-----------------------------------------------------------------------0--00------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
--------------------------------------------------------------------------------------------------------0--0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
--------------------------------------------------------------------------------------------------------0---1---- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
--------------------------------------------------------------------------------------------------------0----1--- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
--------------------------------------------------------------------------------------------------------0----0--- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
--------------------------------------------------------------------------------------------------------------0-- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
----0-----1----------------------------------------------------------------------------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~
|
|
||||||
----------1--------------------1-------------------------------------------------------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~
|
|
||||||
----------1---------------------------------------------------------------------------------0------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~
|
|
||||||
----------1--------------------0---------------1---------------------------------------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~
|
|
||||||
----------1------------------------------------1---------0-----------------------------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~
|
|
||||||
----------1--------------------1---------------1--------0----------------------------------1-------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
----------1------------------------------------1------0-00---------------------------------10------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
---1------------------------------------------------------------------------------------------------------------1 ~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
------------------------------1---------------------------------------------------------------------------------1 ~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
------------------------------------------------------------------------------------------------------1---------1 ~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
------------------------------0---------------------------------------------------------------------------------0 ~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
-------------------------------1---------------------01---------------------------------------------------------0 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
----0----------------------------------------------------0------------------------------------------------------0 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
---------------------------------------------------------0---------0--------------------------------------------0 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
---------------------------------------------------------0-------------------------------------------1----------0 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
.end
|
|
|
@ -1,472 +0,0 @@
|
||||||
#$ TOOL ispLEVER Classic 1.7.00.05.28.13
|
|
||||||
#$ DATE Sun Jun 22 21:24:20 2014
|
|
||||||
#$ MODULE 68030_tk
|
|
||||||
#$ PINS 59 A_31_ IPL_2_ FC_1_ A_30_ UDS_000 A_29_ LDS_000 A_28_ A_27_ nEXP_SPACE A_26_ BERR A_25_ BG_030 A_24_ A_23_ A_22_ BGACK_000 A_21_ CLK_030 A_20_ CLK_000 A_19_ CLK_OSZI A_18_ CLK_DIV_OUT A_17_ A_16_ FPU_CS DTACK IPL_1_ AVEC IPL_0_ FC_0_ VPA RST AMIGA_BUS_DATA_DIR CIIN SIZE_1_ IPL_030_2_ AS_030 AS_000 RW_000 SIZE_0_ DS_030 A0 BG_000 BGACK_030 CLK_EXP IPL_030_1_ DSACK1 IPL_030_0_ AVEC_EXP E VMA RESET RW AMIGA_BUS_ENABLE AMIGA_BUS_ENABLE_LOW
|
|
||||||
#$ NODES 55 inst_AS_030_000_SYNC inst_BGACK_030_INT_D inst_VPA_D inst_CLK_OUT_PRE_50_D CLK_CNT_N_0_ inst_CLK_OUT_PRE_50 inst_CLK_OUT_PRE_25 inst_CLK_000_D1 inst_CLK_000_D2 inst_CLK_000_D3 inst_CLK_000_D0 inst_CLK_000_NE inst_CLK_OUT_PRE_D inst_CLK_OUT_PRE CLK_000_P_SYNC_9_ CLK_000_N_SYNC_11_ SM_AMIGA_7_ SM_AMIGA_6_ SM_AMIGA_1_ SM_AMIGA_0_ SM_AMIGA_4_ CLK_000_N_SYNC_6_ inst_CLK_030_H CLK_CNT_P_1_ CLK_CNT_N_1_ CLK_CNT_P_0_ inst_LDS_000_INT inst_DS_000_ENABLE inst_UDS_000_INT CLK_000_P_SYNC_0_ CLK_000_P_SYNC_1_ CLK_000_P_SYNC_2_ CLK_000_P_SYNC_3_ CLK_000_P_SYNC_4_ CLK_000_P_SYNC_5_ CLK_000_P_SYNC_6_ CLK_000_P_SYNC_7_ CLK_000_P_SYNC_8_ CLK_000_N_SYNC_0_ CLK_000_N_SYNC_1_ CLK_000_N_SYNC_2_ CLK_000_N_SYNC_3_ CLK_000_N_SYNC_4_ CLK_000_N_SYNC_5_ CLK_000_N_SYNC_7_ CLK_000_N_SYNC_8_ CLK_000_N_SYNC_9_ CLK_000_N_SYNC_10_ SM_AMIGA_5_ SM_AMIGA_3_ SM_AMIGA_2_ un16_ciin cpu_est_0_ cpu_est_1_ cpu_est_2_
|
|
||||||
.type fr
|
|
||||||
.i 113
|
|
||||||
.o 248
|
|
||||||
.ilb A_31_ IPL_2_ FC_1_ nEXP_SPACE BERR BG_030 BGACK_000 CLK_030 CLK_000 CLK_OSZI VPA RST A_30_ A_29_ A_28_ A_27_ A_26_ A_25_ A_24_ A_23_ A_22_ A_21_ A_20_ A_19_ A_18_ A_17_ A_16_ IPL_1_ IPL_0_ FC_0_ BGACK_030.Q AVEC_EXP.Q VMA.Q AMIGA_BUS_ENABLE.Q AMIGA_BUS_ENABLE_LOW.Q inst_AS_030_000_SYNC.Q inst_BGACK_030_INT_D.Q AS_030.Q inst_VPA_D.Q inst_CLK_OUT_PRE_50_D.Q CLK_CNT_N_0_.Q inst_CLK_OUT_PRE_50.Q inst_CLK_OUT_PRE_25.Q inst_CLK_000_D1.Q inst_CLK_000_D2.Q inst_CLK_000_D3.Q inst_CLK_000_D0.Q inst_CLK_000_NE.Q inst_CLK_OUT_PRE_D.Q inst_CLK_OUT_PRE.Q CLK_000_P_SYNC_9_.Q CLK_000_N_SYNC_11_.Q AS_000.Q SM_AMIGA_7_.Q SM_AMIGA_6_.Q SM_AMIGA_1_.Q SM_AMIGA_0_.Q SM_AMIGA_4_.Q CLK_000_N_SYNC_6_.Q inst_CLK_030_H.Q CLK_CNT_P_1_.Q CLK_CNT_N_1_.Q RW_000.Q DSACK1.Q CLK_CNT_P_0_.Q RW.Q inst_LDS_000_INT.Q inst_DS_000_ENABLE.Q inst_UDS_000_INT.Q DS_030.Q CLK_000_P_SYNC_0_.Q CLK_000_P_SYNC_1_.Q CLK_000_P_SYNC_2_.Q CLK_000_P_SYNC_3_.Q CLK_000_P_SYNC_4_.Q CLK_000_P_SYNC_5_.Q CLK_000_P_SYNC_6_.Q CLK_000_P_SYNC_7_.Q CLK_000_P_SYNC_8_.Q CLK_000_N_SYNC_0_.Q CLK_000_N_SYNC_1_.Q CLK_000_N_SYNC_2_.Q CLK_000_N_SYNC_3_.Q CLK_000_N_SYNC_4_.Q CLK_000_N_SYNC_5_.Q CLK_000_N_SYNC_7_.Q BG_000.Q CLK_000_N_SYNC_8_.Q CLK_000_N_SYNC_9_.Q CLK_000_N_SYNC_10_.Q SM_AMIGA_5_.Q SM_AMIGA_3_.Q SM_AMIGA_2_.Q IPL_030_0_.Q IPL_030_1_.Q un16_ciin IPL_030_2_.Q cpu_est_0_.Q cpu_est_1_.Q cpu_est_2_.Q E.Q AS_030.PIN AS_000.PIN RW_000.PIN DS_030.PIN UDS_000.PIN LDS_000.PIN SIZE_0_.PIN SIZE_1_.PIN A0.PIN DSACK1.PIN DTACK.PIN RW.PIN
|
|
||||||
.ob FPU_CS AVEC AMIGA_BUS_DATA_DIR CIIN cpu_est_0_.C cpu_est_0_.AR cpu_est_1_.C cpu_est_1_.AR cpu_est_2_.C cpu_est_2_.AR E.C E.AR IPL_030_0_.C IPL_030_0_.AP IPL_030_1_.C IPL_030_1_.AP IPL_030_2_.C IPL_030_2_.AP SM_AMIGA_7_.C SM_AMIGA_7_.AP SM_AMIGA_6_.C SM_AMIGA_6_.AR SM_AMIGA_5_.C SM_AMIGA_5_.AR SM_AMIGA_4_.C SM_AMIGA_4_.AR SM_AMIGA_3_.C SM_AMIGA_3_.AR SM_AMIGA_2_.C SM_AMIGA_2_.AR SM_AMIGA_1_.C SM_AMIGA_1_.AR SM_AMIGA_0_.C SM_AMIGA_0_.AR CLK_000_N_SYNC_3_.C CLK_000_N_SYNC_3_.AR CLK_000_N_SYNC_4_.C CLK_000_N_SYNC_4_.AR CLK_000_N_SYNC_5_.C CLK_000_N_SYNC_5_.AR CLK_000_N_SYNC_6_.C CLK_000_N_SYNC_6_.AR CLK_000_N_SYNC_7_.C CLK_000_N_SYNC_7_.AR CLK_000_N_SYNC_8_.C CLK_000_N_SYNC_8_.AR CLK_000_N_SYNC_9_.C CLK_000_N_SYNC_9_.AR CLK_000_N_SYNC_10_.C CLK_000_N_SYNC_10_.AR CLK_000_N_SYNC_11_.C CLK_000_N_SYNC_11_.AR CLK_CNT_N_0_.C CLK_CNT_N_0_.AR CLK_CNT_N_1_.C CLK_CNT_N_1_.AP CLK_CNT_P_0_.C CLK_CNT_P_0_.AR CLK_CNT_P_1_.C CLK_CNT_P_1_.AR SIZE_0_.C SIZE_0_.AP SIZE_1_.C SIZE_1_.AP CLK_000_P_SYNC_0_.C CLK_000_P_SYNC_0_.AR CLK_000_P_SYNC_1_.C CLK_000_P_SYNC_1_.AR CLK_000_P_SYNC_2_.C CLK_000_P_SYNC_2_.AR CLK_000_P_SYNC_3_.C CLK_000_P_SYNC_3_.AR CLK_000_P_SYNC_4_.C CLK_000_P_SYNC_4_.AR CLK_000_P_SYNC_5_.C CLK_000_P_SYNC_5_.AR CLK_000_P_SYNC_6_.C CLK_000_P_SYNC_6_.AR CLK_000_P_SYNC_7_.C CLK_000_P_SYNC_7_.AR CLK_000_P_SYNC_8_.C CLK_000_P_SYNC_8_.AR CLK_000_P_SYNC_9_.C CLK_000_P_SYNC_9_.AR CLK_000_N_SYNC_0_.C CLK_000_N_SYNC_0_.AR CLK_000_N_SYNC_1_.C CLK_000_N_SYNC_1_.AR CLK_000_N_SYNC_2_.C CLK_000_N_SYNC_2_.AR RW_000.C RW_000.AP VMA.C VMA.AP inst_CLK_OUT_PRE_25.C inst_CLK_OUT_PRE_25.AR BGACK_030.C BGACK_030.AP inst_AS_030_000_SYNC.C inst_AS_030_000_SYNC.AP AS_000.C AS_000.AP inst_DS_000_ENABLE.C inst_DS_000_ENABLE.AR DSACK1.C DSACK1.AP BG_000.C BG_000.AP inst_LDS_000_INT.C inst_LDS_000_INT.AP inst_UDS_000_INT.C inst_UDS_000_INT.AP A0.C A0.AP inst_CLK_030_H.C RW.C RW.AP DS_030.C DS_030.AP AS_030.C AS_030.AP AMIGA_BUS_ENABLE.C AMIGA_BUS_ENABLE.AP AMIGA_BUS_ENABLE_LOW.C AMIGA_BUS_ENABLE_LOW.AR inst_CLK_OUT_PRE.C inst_CLK_OUT_PRE.AR inst_CLK_000_D3.C inst_CLK_000_D3.AP inst_CLK_000_D2.C inst_CLK_000_D2.AP inst_CLK_OUT_PRE_50.C inst_CLK_OUT_PRE_50.AR RESET.C RESET.AR CLK_EXP.C CLK_EXP.AR inst_CLK_000_D1.C inst_CLK_000_D1.AP inst_BGACK_030_INT_D.C inst_BGACK_030_INT_D.AP inst_CLK_OUT_PRE_50_D.C inst_CLK_OUT_PRE_50_D.AR inst_CLK_OUT_PRE_D.C inst_CLK_OUT_PRE_D.AR inst_CLK_000_D0.C inst_CLK_000_D0.AP inst_VPA_D.C inst_VPA_D.AP AVEC_EXP.C AVEC_EXP.AR inst_CLK_000_NE.C inst_CLK_000_NE.AR UDS_000 LDS_000 DTACK un16_ciin AS_030.OE AS_000.OE RW_000.OE DS_030.OE UDS_000.OE LDS_000.OE SIZE_0_.OE SIZE_1_.OE A0.OE DSACK1.OE DTACK.OE RW.OE CIIN.OE CLK_DIV_OUT.AR CLK_DIV_OUT.C CLK_DIV_OUT.D BGACK_030.D AVEC_EXP.D VMA.D AMIGA_BUS_ENABLE.D AMIGA_BUS_ENABLE_LOW.D inst_AS_030_000_SYNC.D inst_BGACK_030_INT_D.D AS_030.D inst_VPA_D.D inst_CLK_OUT_PRE_50_D.D CLK_CNT_N_0_.D inst_CLK_OUT_PRE_50.D inst_CLK_OUT_PRE_25.D inst_CLK_000_D1.D inst_CLK_000_D2.D inst_CLK_000_D3.D inst_CLK_000_D0.D inst_CLK_000_NE.D inst_CLK_OUT_PRE_D.D inst_CLK_OUT_PRE.D CLK_000_P_SYNC_9_.D CLK_000_N_SYNC_11_.D AS_000.D SM_AMIGA_7_.D SM_AMIGA_6_.D SM_AMIGA_1_.D SM_AMIGA_0_.D SM_AMIGA_4_.D CLK_000_N_SYNC_6_.D inst_CLK_030_H.D CLK_CNT_P_1_.D CLK_CNT_N_1_.D RW_000.D DSACK1.D CLK_CNT_P_0_.D RW.D inst_LDS_000_INT.D inst_DS_000_ENABLE.D inst_UDS_000_INT.D DS_030.D SIZE_0_.D SIZE_1_.D A0.D CLK_000_P_SYNC_0_.D CLK_000_P_SYNC_1_.D CLK_000_P_SYNC_2_.D CLK_000_P_SYNC_3_.D CLK_000_P_SYNC_4_.D CLK_000_P_SYNC_5_.D CLK_000_P_SYNC_6_.D CLK_000_P_SYNC_7_.D CLK_000_P_SYNC_8_.D CLK_000_N_SYNC_0_.D CLK_000_N_SYNC_1_.D CLK_000_N_SYNC_2_.D CLK_000_N_SYNC_3_.D CLK_000_N_SYNC_4_.D CLK_000_N_SYNC_5_.D CLK_000_N_SYNC_7_.D BG_000.D CLK_000_N_SYNC_8_.D CLK_000_N_SYNC_9_.D CLK_000_N_SYNC_10_.D CLK_EXP.D SM_AMIGA_5_.D SM_AMIGA_3_.D SM_AMIGA_2_.D IPL_030_0_.D IPL_030_1_.D IPL_030_2_.D RESET.D cpu_est_0_.D cpu_est_1_.D cpu_est_2_.D E.D
|
|
||||||
.p 460
|
|
||||||
----------------------------------------------------------------------------------------------------------------- ~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~
|
|
||||||
--0-------------------------------------------------------------------------------------------------------------- 1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
---1------------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
----0------------------------------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
-----1----------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~
|
|
||||||
------0---------------------------------------------------------------------------------------------------------- 1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
--------1-------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
---------1------------------------------------------------------------------------------------------------------- ~~~~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~~~~~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~11~1~1~1~~~1~1~1~1~1~1~1~1~1~1~1~1~1~1~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
---------0------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
----------1------------------------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
-----------0----------------------------------------------------------------------------------------------------- ~~~~~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
---0---------------0--------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
---0----------------0-------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
---0-----------------0------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
---0------------------0------------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
-----------------------1----------------------------------------------------------------------------------------- 1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
------------------------1---------------------------------------------------------------------------------------- 1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
-------------------------0--------------------------------------------------------------------------------------- 1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
--------------------------1-------------------------------------------------------------------------------------- 1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
-----------------------------0----------------------------------------------------------------------------------- 1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
------------------------------1---------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~11~~~~~~~~~~~~~~~~11~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~111~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
------1-----------------------1---------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
------------------------------0---------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
-1-----------------------------1--------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~
|
|
||||||
------1------------------------1--------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
---------------------------1---1--------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~
|
|
||||||
----------------------------1--1--------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~
|
|
||||||
----0--------------------------0--------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
----------------------------------0------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
---0-------------------------------1----------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
--1---1----------------0010--1-----1----------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
------------------------------0----1----------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
------------------------------1-----0---------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
-------0-----------------------------1--------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
---0--------------------------0------0--------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~1~~111~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
--------------------------------1-----1-------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
----------------------------------------1------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
-----------------------------------------1----------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
-----------------------------------------0----------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
------------------------------------------1---------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
---------------------------------------1--1---------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
-----------------------------------------01---------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
---------------------------------------0-10---------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
-------------------------------------------1--------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
--------------------------------------------1-------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
----------------------------------------------1------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
-------------------------------------------0001------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
-------------------------------------------1110------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
--------------------------------1--------------0----------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
------------------------------------------------1---------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~
|
|
||||||
-------------------------------------------------1--------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
--------------------------------------------------1-------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
---------------------------------------------------1------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
-------------------------------0--------------------1------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
-----------------------------------------------------1----------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
---1-------------------------------0-------1--0------1----------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
------------------------------1--1-------------------0----------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
-----------------------------------1-----------------0----------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
-------------------------------1----------------------1---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~
|
|
||||||
----1--------------------------0----------------------1---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
----0--------------------------0----------------------1---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
----0-------------------------------------------------0---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
----------------------------------------------------1-0---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
------------------------------1--1---------------------1--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
----1------------------------------------------0-------1--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
----0------------------------------------------0-------1--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
----0--------------------------------------------------0--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
----0---------------------------------------------------1-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
-------------------------------1------------------------1-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
----1--------------------------0------------------------1-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
------------------------------1--1----------------------1-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
-----------------------------------------------1-------10-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
---0--------------------------1----------------------1-00-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
------------------------------1----1-----------------1-00-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
------------------------------1------------0---------1-00-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
------------------------------1---------------1------1-00-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
-------------------------------1-------------------------1------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~
|
|
||||||
----1--------------------------0-------------------------1------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
----0--------------------------0-------------------------1------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
----------------------------------------------------------1------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~
|
|
||||||
----0-----------------------------------------------------0------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
-----------0-----------------------------------------------1----------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
-------1-----------------------------1---------------------1----------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
----------------------------------------1--------------------1--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
------------------------------------------------------------11--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
----------------------------------------0--------------------0--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
-------------------------------0------------------------------1-------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
------------------------------------------------------0-------1-------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
-------------------------------------------------------0-------1------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
----------------------------------------------------------0----1------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
----------------------------------------------------------------1------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
----------------------------------------0-----------------------1------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
------------------------------------------------------------1---1------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
------------------------------------------------------------0---0------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
-------0---------------------------------------------------------1----------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
------------------------------------------------------------------1---------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
-------------------------------------------------------------------0--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
--------------------------------------------------------------------1-------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
----------------------------------------------------------------------1------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
-----------------------------------------------------------------------1----------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
------------------------------------------------------------------------1---------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
-------------------------------------------------------------------------1--------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
--------------------------------------------------------------------------1-------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
---------------------------------------------------------------------------1------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
----------------------------------------------------------------------------1------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
-----------------------------------------------------------------------------1----------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
------------------------------------------------------------------------------1---------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
-------------------------------------------------------------------------------1--------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
--------------------------------------------------------------------------------1-------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~
|
|
||||||
---------------------------------------------------------------------------------1------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~
|
|
||||||
----------------------------------------------------------------------------------1------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~
|
|
||||||
-----------------------------------------------------------------------------------1----------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~
|
|
||||||
------------------------------------------------------------------------------------1---------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
-------------------------------------------------------------------------------------1--------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~
|
|
||||||
---0----------------------------------------------------------------------------------1-------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~
|
|
||||||
--------0-----------------------------------------------------------------------------1-------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~
|
|
||||||
---------------------------------------------------------------------------------------1------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~
|
|
||||||
----------------------------------------------------------------------------------------1------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~
|
|
||||||
-----------------------------------------------------------------------------------------1----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
-----------------------------------------------1------------------------------------------1---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
----1------------------------------------------0------------------------------------------1---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~
|
|
||||||
----0------------------------------------------0------------------------------------------1---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
----1-----0---------------------1----------------------------------------------------------1--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~
|
|
||||||
----0-----0---------------------1----------------------------------------------------------1--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
----1------------------------------------------0-------------------------------------------1--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~
|
|
||||||
----0------------------------------------------0-------------------------------------------1--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
-------------------------------1------------------------------------------------------------1-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
----1--------------------------0------------------------------------------------------------1-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~
|
|
||||||
----0--------------------------0------------------------------------------------------------1-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
---0--------------------------------------------------0000--------------------------------000-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
-----------------------------------1------------------0000--------------------------------000-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
-------------------------------------------0----------0000--------------------------------000-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
----------------------------------------------1-------0000--------------------------------000-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
-------------------------------0-------------------------------------------------------------1------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~
|
|
||||||
-------------------------------0--------------------------------------------------------------1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~
|
|
||||||
-----------------------------------------------------------------------------------------------0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
-------------------------------0----------------------------------------------------------------1---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~
|
|
||||||
-------------------------------0-----------------------------------------------------------------1--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~
|
|
||||||
-------------------------------1-----------------------------------------------------------------0--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~
|
|
||||||
--------------------------------1----------------------------------------------------------------0--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
-------------------------------0------------------------------------------------------------------1-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~
|
|
||||||
--------------------------------1-----------------------------------------------------------------1-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
----1-----0--------------------------------------------------------------------------------1------1-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~
|
|
||||||
----0-----0--------------------------------------------------------------------------------1------1-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
-------------------------------------------------------------------------------------------------01-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~
|
|
||||||
-------------------------------1-----------------------------------------------------------------10-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~
|
|
||||||
-------------------------------1-----------------------------------------------------------------00-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~
|
|
||||||
-------------------------------0-------------------------------------------------------------------1------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~
|
|
||||||
--------------------------------------------------------------------------------------------------11------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~
|
|
||||||
-------------------------------1-----------------------------------------------------------------1-0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1
|
|
||||||
-------------------------------1------------------------------------------------------------------00------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1
|
|
||||||
-------------------------------0--------------------------------------------------------------------1------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1
|
|
||||||
-------------------------------1-----------------------------------------------------------------1--1------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~
|
|
||||||
--------------------------------------------------------------------------------------------------0-1------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1
|
|
||||||
----------0---------------------0--------------1-------------------------------------------1------0-1------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~
|
|
||||||
-------------------------------1-------------------------------------------------------------------11------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~
|
|
||||||
---------------------------------------------------------------------------------------------------01------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1
|
|
||||||
----1-----0--------------------------------------------------------------------------------1--------0------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~
|
|
||||||
----0-----0--------------------------------------------------------------------------------1--------0------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
-------------------------------1--------------------1--------------------------------------------0110------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
-------------------------------1-------------------------------------------------------------------00------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~
|
|
||||||
-----------------------------------------------------------------------------------------------------1----------- 1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
---0-------------------------------------------------------------------------------------------------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
-------------------------------0---------------------------------------------------------------------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
------------------------------------------------------0----------------------------------------------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
------------------------------1----------------1-------1---------------------------------------------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
------------------------------1----------------------1-1---------------------------------------------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
-------------------------------------------------------0---------------------------------------------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
------------------------------1-------------------------1--------------------------------------------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
----------------------------------------------------------0------------------------------------------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
1----------------------------------------------------------------------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
------------1----------------------------------------------------------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
-------------1---------------------------------------------------------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
--------------1--------------------------------------------------------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
---------------1-------------------------------------------------------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
----------------1------------------------------------------------------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
-----------------1-----------------------------------------------------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
------------------1----------------------------------------------------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
0-----------00000001111------------------------------------------------------------------------------0----------- ~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
----1--------------------------------------------------------------1---------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
--------------------------------------------------------------------------------------1--------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~
|
|
||||||
------------------------------------------------------------------------------------------------------1---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~111~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
-------1-----------------------------------------------------------------------------------------------1--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
-------------------------------------1-----------------------------------------------------------------1--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
-------0-------------------------------------------------------------1---------------------------------0--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
-----------------------------------------------------------0---------1---------------------------------0--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
------------------------------------------------------------------1-------------------------------------1-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
--------------------------------------------------------------------1-----------------------------------1-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
---------------------------------------------------------------------------------------------------------1------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
-------0---1------------------0------0----------------------------------------------------------------0--0------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
------------------------------0----------------------------1------------------------------------------0--0------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
----------------------------------------------------------------------------------------------------------1------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
---------------------------------------------------------------------------------------------------------11------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~1~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
-------0---1------------------0------0----------------------------------------------------------------0---0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
------------------------------0----------------------------1------------------------------------------0---0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
------------------------------0-----------------------------------------------------------------------0--10------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
---------------------------------------------------------------------------------------------------------00------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
--------------------------------------------------------------------------------------------------------0----1--- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
--------------------------------------------------------------------------------------------------------0--100--- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
--------------------------------------------------------------------------------------------------------------1-- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
----1-----1--------------------------------------------------------------------------------1-------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~
|
|
||||||
----0-----1--------------------------------------------------------------------------------1-------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
----------1------------------------------------1-------------------------------------------1-------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~
|
|
||||||
-------------------------------1----------------------1---------------------------------------------------------1 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
---0--------------------------0-----------------------------------------------------------------------0---------1 ~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
------------------------------1---------------------------------------------------------------------------------0 ~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
1---------------------------------------------------------------------------------------------------------------- ~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
---1------------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~0~~000~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
---0------------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
---00------------------------------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
------0---------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
--------0-------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
---------1------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
---------0------------------------------------------------------------------------------------------------------- ~~~~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~~~~~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~00~0~0~0~~~0~0~0~0~0~0~0~0~0~0~0~0~0~0~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
----------0------------------------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
-----------1----------------------------------------------------------------------------------------------------- ~~~~~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
------------1---------------------------------------------------------------------------------------------------- ~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
-------------1--------------------------------------------------------------------------------------------------- ~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
--------------1-------------------------------------------------------------------------------------------------- ~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
---------------1------------------------------------------------------------------------------------------------- ~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
----------------1------------------------------------------------------------------------------------------------ ~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
-----------------1----------------------------------------------------------------------------------------------- ~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
------------------1---------------------------------------------------------------------------------------------- ~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
0--1--------0000000---------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
-------------------0--------------------------------------------------------------------------------------------- ~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
--------------------0-------------------------------------------------------------------------------------------- ~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
---------------------0------------------------------------------------------------------------------------------- ~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
----------------------0------------------------------------------------------------------------------------------ ~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
------------------------------1---------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~0~~000~00~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
-----------1------------------1---------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
------------------------------0---------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~00~00~~~~~~~~~~~~~0~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
-0-----------------------------1--------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~
|
|
||||||
---0---------------------------1--------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
---------------------------0---1--------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~
|
|
||||||
----------------------------0--1--------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~
|
|
||||||
----0--------------------------0--------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~00~~~~~~~~~
|
|
||||||
------------------------------00--------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
----0-----0---------------------1-------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~
|
|
||||||
----------0--------------------11-------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~
|
|
||||||
-------------------------------00-------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
----------------------------------1------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
----0------------------------------1----------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
-------------------------------1---1----------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
-------------------------------------1--------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~0~~000~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
----------------------------------------0------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
-----------------------------------------1----------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
-----------------------------------------0----------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
---------------------------------------0-11---------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
------------------------------------------0---------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
---------------------------------------1--0---------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
-----------------------------------------00---------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
-------------------------------------------1--------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
-------------------------------------------0--------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
----0--------------------------------------0--------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
-------------------------------1-----------0--------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
--------------------------------------------1-------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
--------------------------------------------0-------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
---------------------------------------------1------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
---------------------------------------------0------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
----------------------------------------------1------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
----0-----------------------------------------1------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
-------------------------------1--------------1------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
----------------------------------------------0------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
-------------------------------0---------------1----------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~
|
|
||||||
----0------------------------------------------0----------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~
|
|
||||||
-------------------------------1---------------0----------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~
|
|
||||||
------------------------------------------------0---------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~
|
|
||||||
-------------------------------------------------0--------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
--------------------------------------------------0-------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
---------------------------------------------------0------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
--------------------------------0-------------------0------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
----0------------------------------------------------0----------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
-------------------------------1---------------------0----------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
-------------------------------1----------------------1---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
---0--------------------------------------------------0---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
----0-------------------------------------------------0---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~
|
|
||||||
-----------------------------------1------------------0---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
-------------------------------------------0----------0---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
----------------------------------------------1-------0---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
-----------------------------------------------1------0---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~
|
|
||||||
-----------------------------------------------------00---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
-------------------------------0-----------------------0--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
----0---------------------------------------------------1-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
-------------------------------1------------------------1-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
----1--------------------------0------------------------1-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
-----------------------------------------------0--------0-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
---------------------------------0--1----------0-----0--0-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
----1-------------------------------------------------1-0-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
----1--------------------------------------------------10-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
-------------------------------------------------------00-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
---1-------------------------------01------1--0------1-00-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
---------------------------------0--1----------------0-00-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
----1---------------------------------------------------01------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
----0----------------------------------------------------0------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~
|
|
||||||
-----------------------------------------------0---------0------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
----0-------------------------------------------------0--0------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
-------------------------------------------------------1--1------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
----------------------------------------------------------0------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~
|
|
||||||
-------1---------------------------------------------------0----------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
-----------0-----------------------------------------------0----------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
-------------------------------------1---------------------0----------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
----------------------------------------1-------------------0---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
----------------------------------------0--------------------1--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
----------------------------------------1--------------------0--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
-------------------------------0---------------------0--------0-------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
-----------------------------------------------------00-------0-------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
------------------------------------------------------------0---1------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
----------------------------------------------------------------0------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
------------------------------------------------------------1---0------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
------------------------------------------------------------0---0------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
-------------------------------------------------------------0--0------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
------------------------------------------------------------------01--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
-------------------------------0-----------------------------------0--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
------------------------------------------------------0--0---------0--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
-------------------------------------------------------------------10-------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
----------------------------------------------------------------------0------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
-----------------------------------------------------------------------0----------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
------------------------------------------------------------------------0---------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
-------------------------------------------------------------------------0--------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
--------------------------------------------------------------------------0-------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
---------------------------------------------------------------------------0------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
----------------------------------------------------------------------------0------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
-----------------------------------------------------------------------------0----------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
------------------------------------------------------------------------------0---------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
-------------------------------------------------------------------------------0--------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
--------------------------------------------------------------------------------0-------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~
|
|
||||||
---------------------------------------------------------------------------------0------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~
|
|
||||||
----------------------------------------------------------------------------------0------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~
|
|
||||||
-----------------------------------------------------------------------------------0----------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~
|
|
||||||
------------------------------------------------------------------------------------0---------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
-------------------------------------------------------------------------------------0--------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~
|
|
||||||
-----0--------------------------------------------------------------------------------0-------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~
|
|
||||||
---------------------------------------------------------------------------------------0------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~
|
|
||||||
----------------------------------------------------------------------------------------0------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~
|
|
||||||
-----------------------------------------------------------------------------------------0----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
----1---------------------------------------------------0---------------------------------1---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
----0-------------------------------------------------------------------------------------0---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
-------------------------------1----------------------------------------------------------0---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
-------------------------------0----------------------------------------------------------0---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~
|
|
||||||
------------------------------------------------------0-----------------------------------0---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~
|
|
||||||
---------------------------------------------------------0--------------------------------0---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
----1---------------------------------------------------0----------------------------------1--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
----0--------------------------------------------------------------------------------------0--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~
|
|
||||||
-------------------------------1-----------------------------------------------------------0--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~
|
|
||||||
-------------------------------0-----------------------------------------------------------0--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~
|
|
||||||
-------------------------------1---------------1-------10----------------------------------0--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
---------------------------------------------------------0---------------------------------0--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~
|
|
||||||
-------------------------------1---------------1--------0---------------------------------10--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
-------------------------------1----------------------100---------------------------------00--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
-------------------------------1-----------------------001--------------------------------00--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
----1---------------------------------------------------0-----------------------------------1-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
-------------------------------1-----------------------00---------------------------------001-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
----0---------------------------------------------------------------------------------------0-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
----------0---------------------1-----------------------------------------------------------0-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~
|
|
||||||
-----------------------------------------------1--------------------------------------------0-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
-----------------------------------------------0--------------------------------------------0-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~
|
|
||||||
-------------------------------------------------------0------------------------------------0-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
-------------------------------------------------------------------------------------------00-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~
|
|
||||||
-----------------------------------------------1------0100---------------------------------00-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
-----------------------------------------------1------0-00--------------------------------100-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
---1-------------------------------0-------1--0-------0000--------------------------------000-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
-------------------------------0-------------------------------------------------------------0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~
|
|
||||||
-------------------------------0--------------------------------------------------------------0------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~
|
|
||||||
-----------------------------------------------------------------------------------------------1----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
-------------------------------0----------------------------------------------------------------0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~
|
|
||||||
-------------------------------1-----------------------------------------------------------------1--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~
|
|
||||||
--------------------------------0----------------------------------------------------------------1--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
-------------------------------0-----------------------------------------------------------------0--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~
|
|
||||||
----0-----0---------------------------------------------------------------------------------------1-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~
|
|
||||||
----------0--------------------1------------------------------------------------------------------1-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~
|
|
||||||
----------0---------------------------------------------------------------------------------0-----1-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~
|
|
||||||
-------------------------------0------------------------------------------------------------------0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~
|
|
||||||
--------------------------------0-----------------------------------------------------------------0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
--------------------------------------0--------1-------------------------------------------------10-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
-------------------------------1------------------------------------------------------------------11------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0
|
|
||||||
-------------------------------0-------------------------------------------------------------------0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~
|
|
||||||
--------------------------------0------------------------------------------------------------------0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
-------------------------------------------------------------------------------------------------010------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~
|
|
||||||
--------------------------------0-------------------------------------------------------------------1------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
----------0--------------------00--------------1--------------------------------------------------0-1------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~
|
|
||||||
----------0---------------------0--------------1---------0----------------------------------------0-1------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~
|
|
||||||
----------0--------------------10--------------1--------0----------------------------------1------0-1------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
----------0---------------------0--------------1------0-00---------------------------------10-----0-1------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
-------------------------------1-----------------------------------------------------------------1101------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~
|
|
||||||
-------------------------------------------------------------------------------------------------0001------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~
|
|
||||||
----0-----0-----------------------------------------------------------------------------------------0------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~
|
|
||||||
----------0--------------------1--------------------------------------------------------------------0------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~
|
|
||||||
-------------------------------0--------------------------------------------------------------------0------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0
|
|
||||||
----------0---------------------------------------------------------------------------------0-------0------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~
|
|
||||||
-------------------------------------------------------------------------------------------------01-0------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0
|
|
||||||
-------------------------------1-----------------------------------------------------------------10-0------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~
|
|
||||||
---------------------------------------------------------------------------------------------------10------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0
|
|
||||||
-------------------------------1-----------------------------------------------------------------1110------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~
|
|
||||||
-------------------------------------------------------------------------------------------------0010------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~
|
|
||||||
--------------------------------------------------------------------------------------------------100------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~
|
|
||||||
-----------------------------------------------------------------------------------------------------1----------- ~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
---1-------------------------------------------------------------------------------------------------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
---1-0--1--------------------------------------------------------------------------------------------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~
|
|
||||||
-------------------------------0---------------------------------------------------------------------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
------------------------------------------------------0--0-------------------------------------------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
0-----------00000001111------------------------------------------------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
--1---1----------------0010--1-----------------------------------------------------------------------0----------- 0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
----1------------------------------0-----------------------------------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
----1-----------------------------------------------0------------------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
--011-------------------------1----------------------1-----------------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
---11-0-----------------------1----------------------1-----------------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
---11------------------1------1----------------------1-----------------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
---11-------------------1-----1----------------------1-----------------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
---11--------------------0----1----------------------1-----------------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
---11---------------------1---1----------------------1-----------------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
---11------------------------01----------------------1-----------------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
---------------------------------0--1------------------1---------------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
---------------------------------0--1-------------------1--------------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
----1----------------------------------------------------------0-------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
------------------------------------------------------------------------------------------------------1---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
-----------1------------------------------------------------------------------------------------------1---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
------------------------------------------------------------------0-------------------------------------1-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
--------------------------------------------------------------------0-----------------------------------1-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
---------------------------------------------------------------------------------------------------------0------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
-------1----------------------0-----------------------------------------------------------------------0--0------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
------------------------------0------0----------------------------------------------------------------0--0------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
-------1----------------------0------0---------------------1------------------------------------------0--0------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
-------0----------------------0----------------------------------0------------------------------------0--0------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
------------------------------0------0----------------------------------------------------------------01-0------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
-------1----------------------0-----------------------------------------------------------------------00-0------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
-------0----------------------0--------------------------------------0--------------------------------00-0------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
------------------------------0----------------------------0---------0--------------------------------00-0------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
----------------------------------------------------------------------------------------------------------1------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
-----------1---------------------------------------------------------------------------------------------11------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
------------------------------0-----------------------------------------------------------------------0--01------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
-------1----------------------0-----------------------------------------------------------------------0---0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
------------------------------0------0----------------------------------------------------------------0---0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
-------1----------------------0------0---------------------1------------------------------------------0---0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
-------0----------------------0----------------------------------0------------------------------------0---0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
------------------------------0------0----------------------------------------------------------------01--0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
-------1----------------------0-----------------------------------------------------------------------00--0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
-------0----------------------0--------------------------------------0--------------------------------00--0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
------------------------------0----------------------------0---------0--------------------------------00--0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
------------------------------0-----------------------------------------------------------------------0--10------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
------------------------------0-----------------------------------------------------------------------0--00------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
--------------------------------------------------------------------------------------------------------0--0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
--------------------------------------------------------------------------------------------------------0---1---- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
--------------------------------------------------------------------------------------------------------0----1--- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
--------------------------------------------------------------------------------------------------------0----0--- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
--------------------------------------------------------------------------------------------------------------0-- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
----0-----1----------------------------------------------------------------------------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~
|
|
||||||
----------1--------------------1-------------------------------------------------------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~
|
|
||||||
----------1---------------------------------------------------------------------------------0------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~
|
|
||||||
----------1--------------------0---------------1---------------------------------------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~
|
|
||||||
----------1------------------------------------1---------0-----------------------------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~
|
|
||||||
----------1--------------------1---------------1--------0----------------------------------1-------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
----------1------------------------------------1------0-00---------------------------------10------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
---1------------------------------------------------------------------------------------------------------------1 ~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
------------------------------1---------------------------------------------------------------------------------1 ~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
------------------------------------------------------------------------------------------------------1---------1 ~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
------------------------------0---------------------------------------------------------------------------------0 ~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
-------------------------------1---------------------01---------------------------------------------------------0 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
----0----------------------------------------------------0------------------------------------------------------0 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
---------------------------------------------------------0---------0--------------------------------------------0 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
---------------------------------------------------------0-------------------------------------------1----------0 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
|
||||||
.end
|
|
|
@ -1,277 +0,0 @@
|
||||||
#$ TOOL ispLEVER Classic 1.7.00.05.28.13
|
|
||||||
#$ DATE Sun Jun 22 21:24:20 2014
|
|
||||||
#$ MODULE BUS68030
|
|
||||||
#$ PINS 59 A_31_ IPL_2_ FC_1_ A_30_ UDS_000 A_29_ LDS_000 A_28_ A_27_
|
|
||||||
nEXP_SPACE A_26_ BERR A_25_ BG_030 A_24_ A_23_ A_22_ BGACK_000 A_21_ CLK_030
|
|
||||||
A_20_ CLK_000 A_19_ CLK_OSZI A_18_ CLK_DIV_OUT A_17_ A_16_ FPU_CS DTACK IPL_1_
|
|
||||||
AVEC IPL_0_ FC_0_ VPA RST AMIGA_BUS_DATA_DIR CIIN SIZE_1_ IPL_030_2_ AS_030
|
|
||||||
AS_000 RW_000 SIZE_0_ DS_030 A0 BG_000 BGACK_030 CLK_EXP IPL_030_1_ DSACK1
|
|
||||||
IPL_030_0_ AVEC_EXP E VMA RESET RW AMIGA_BUS_ENABLE AMIGA_BUS_ENABLE_LOW
|
|
||||||
#$ NODES 57 inst_AS_030_000_SYNC inst_BGACK_030_INT_D inst_VPA_D
|
|
||||||
inst_CLK_OUT_PRE_50_D CLK_CNT_N_0_ inst_CLK_OUT_PRE_50 inst_CLK_OUT_PRE_25
|
|
||||||
inst_CLK_000_D1 inst_CLK_000_D2 inst_CLK_000_D3 inst_CLK_000_D0 inst_CLK_000_NE
|
|
||||||
inst_CLK_OUT_PRE_D inst_CLK_OUT_PRE CLK_000_P_SYNC_9_ CLK_000_N_SYNC_11_
|
|
||||||
SM_AMIGA_7_ SM_AMIGA_6_ SM_AMIGA_1_ SM_AMIGA_0_ SM_AMIGA_4_ CLK_000_N_SYNC_6_
|
|
||||||
inst_CLK_030_H CLK_CNT_P_1_ CLK_CNT_N_1_ CLK_CNT_P_0_ inst_LDS_000_INT
|
|
||||||
inst_DS_000_ENABLE inst_UDS_000_INT CLK_000_P_SYNC_0_ CLK_000_P_SYNC_1_
|
|
||||||
CLK_000_P_SYNC_2_ CLK_000_P_SYNC_3_ CLK_000_P_SYNC_4_ CLK_000_P_SYNC_5_
|
|
||||||
CLK_000_P_SYNC_6_ CLK_000_P_SYNC_7_ CLK_000_P_SYNC_8_ CLK_000_N_SYNC_0_
|
|
||||||
CLK_000_N_SYNC_1_ CLK_000_N_SYNC_2_ CLK_000_N_SYNC_3_ CLK_000_N_SYNC_4_
|
|
||||||
CLK_000_N_SYNC_5_ CLK_000_N_SYNC_7_ CLK_000_N_SYNC_8_ CLK_000_N_SYNC_9_
|
|
||||||
CLK_000_N_SYNC_10_ SM_AMIGA_5_ SM_AMIGA_3_ SM_AMIGA_2_ un16_ciin cpu_est_0_
|
|
||||||
cpu_est_1_ cpu_est_2_ AMIGA_BUS_ENABLE_LOW_0 SM_AMIGA_7__0
|
|
||||||
.type f
|
|
||||||
.i 115
|
|
||||||
.o 251
|
|
||||||
.ilb A_31_ IPL_2_ FC_1_ nEXP_SPACE BERR BG_030 BGACK_000 CLK_030 CLK_000
|
|
||||||
CLK_OSZI VPA RST A_30_ A_29_ A_28_ A_27_ A_26_ A_25_ A_24_ A_23_ A_22_ A_21_
|
|
||||||
A_20_ A_19_ A_18_ A_17_ A_16_ IPL_1_ IPL_0_ FC_0_ BGACK_030.Q AVEC_EXP.Q VMA.Q
|
|
||||||
AMIGA_BUS_ENABLE.Q AMIGA_BUS_ENABLE_LOW.Q inst_AS_030_000_SYNC.Q
|
|
||||||
inst_BGACK_030_INT_D.Q AS_030.Q inst_VPA_D.Q inst_CLK_OUT_PRE_50_D.Q
|
|
||||||
CLK_CNT_N_0_.Q inst_CLK_OUT_PRE_50.Q inst_CLK_OUT_PRE_25.Q inst_CLK_000_D1.Q
|
|
||||||
inst_CLK_000_D2.Q inst_CLK_000_D3.Q inst_CLK_000_D0.Q inst_CLK_000_NE.Q
|
|
||||||
inst_CLK_OUT_PRE_D.Q inst_CLK_OUT_PRE.Q CLK_000_P_SYNC_9_.Q CLK_000_N_SYNC_11_.Q
|
|
||||||
AS_000.Q SM_AMIGA_7_.Q SM_AMIGA_6_.Q SM_AMIGA_1_.Q SM_AMIGA_0_.Q SM_AMIGA_4_.Q
|
|
||||||
CLK_000_N_SYNC_6_.Q inst_CLK_030_H.Q CLK_CNT_P_1_.Q CLK_CNT_N_1_.Q RW_000.Q
|
|
||||||
DSACK1.Q CLK_CNT_P_0_.Q RW.Q inst_LDS_000_INT.Q inst_DS_000_ENABLE.Q
|
|
||||||
inst_UDS_000_INT.Q DS_030.Q CLK_000_P_SYNC_0_.Q CLK_000_P_SYNC_1_.Q
|
|
||||||
CLK_000_P_SYNC_2_.Q CLK_000_P_SYNC_3_.Q CLK_000_P_SYNC_4_.Q CLK_000_P_SYNC_5_.Q
|
|
||||||
CLK_000_P_SYNC_6_.Q CLK_000_P_SYNC_7_.Q CLK_000_P_SYNC_8_.Q CLK_000_N_SYNC_0_.Q
|
|
||||||
CLK_000_N_SYNC_1_.Q CLK_000_N_SYNC_2_.Q CLK_000_N_SYNC_3_.Q CLK_000_N_SYNC_4_.Q
|
|
||||||
CLK_000_N_SYNC_5_.Q CLK_000_N_SYNC_7_.Q BG_000.Q CLK_000_N_SYNC_8_.Q
|
|
||||||
CLK_000_N_SYNC_9_.Q CLK_000_N_SYNC_10_.Q SM_AMIGA_5_.Q SM_AMIGA_3_.Q
|
|
||||||
SM_AMIGA_2_.Q IPL_030_0_.Q IPL_030_1_.Q un16_ciin IPL_030_2_.Q cpu_est_0_.Q
|
|
||||||
cpu_est_1_.Q cpu_est_2_.Q E.Q AS_030.PIN AS_000.PIN RW_000.PIN DS_030.PIN
|
|
||||||
UDS_000.PIN LDS_000.PIN SIZE_0_.PIN SIZE_1_.PIN A0.PIN DSACK1.PIN DTACK.PIN
|
|
||||||
RW.PIN AMIGA_BUS_ENABLE_LOW_0 SM_AMIGA_7__0
|
|
||||||
.ob UDS_000% UDS_000.OE LDS_000% LDS_000.OE CLK_DIV_OUT.D CLK_DIV_OUT.C
|
|
||||||
CLK_DIV_OUT.AR FPU_CS% DTACK DTACK.OE AVEC AMIGA_BUS_DATA_DIR CIIN CIIN.OE
|
|
||||||
SIZE_1_.D% SIZE_1_.C SIZE_1_.AP SIZE_1_.OE IPL_030_2_.D IPL_030_2_.C
|
|
||||||
IPL_030_2_.AP AS_030.D AS_030.C AS_030.AP AS_030.OE AS_000.D% AS_000.C AS_000.AP
|
|
||||||
AS_000.OE RW_000.D% RW_000.C RW_000.AP RW_000.OE SIZE_0_.D% SIZE_0_.C SIZE_0_.AP
|
|
||||||
SIZE_0_.OE DS_030.D DS_030.C DS_030.AP DS_030.OE A0.D A0.C A0.AP A0.OE BG_000.D%
|
|
||||||
BG_000.C BG_000.AP BGACK_030.D BGACK_030.C BGACK_030.AP CLK_EXP.D CLK_EXP.C
|
|
||||||
CLK_EXP.AR IPL_030_1_.D IPL_030_1_.C IPL_030_1_.AP DSACK1.D% DSACK1.C DSACK1.AP
|
|
||||||
DSACK1.OE IPL_030_0_.D IPL_030_0_.C IPL_030_0_.AP AVEC_EXP.D AVEC_EXP.C
|
|
||||||
AVEC_EXP.AR E.D% E.C E.AR VMA.D.X1 VMA.D.X2 VMA.C VMA.AP RESET.D RESET.C
|
|
||||||
RESET.AR RW.D% RW.C RW.AP RW.OE AMIGA_BUS_ENABLE.D% AMIGA_BUS_ENABLE.C
|
|
||||||
AMIGA_BUS_ENABLE.AP AMIGA_BUS_ENABLE_LOW.D AMIGA_BUS_ENABLE_LOW.C
|
|
||||||
AMIGA_BUS_ENABLE_LOW.AR inst_AS_030_000_SYNC.D inst_AS_030_000_SYNC.C
|
|
||||||
inst_AS_030_000_SYNC.AP inst_BGACK_030_INT_D.D inst_BGACK_030_INT_D.C
|
|
||||||
inst_BGACK_030_INT_D.AP inst_VPA_D.D inst_VPA_D.C inst_VPA_D.AP
|
|
||||||
inst_CLK_OUT_PRE_50_D.D inst_CLK_OUT_PRE_50_D.C inst_CLK_OUT_PRE_50_D.AR
|
|
||||||
CLK_CNT_N_0_.D CLK_CNT_N_0_.C CLK_CNT_N_0_.AR inst_CLK_OUT_PRE_50.D
|
|
||||||
inst_CLK_OUT_PRE_50.C inst_CLK_OUT_PRE_50.AR inst_CLK_OUT_PRE_25.D
|
|
||||||
inst_CLK_OUT_PRE_25.C inst_CLK_OUT_PRE_25.AR inst_CLK_000_D1.D inst_CLK_000_D1.C
|
|
||||||
inst_CLK_000_D1.AP inst_CLK_000_D2.D inst_CLK_000_D2.C inst_CLK_000_D2.AP
|
|
||||||
inst_CLK_000_D3.D inst_CLK_000_D3.C inst_CLK_000_D3.AP inst_CLK_000_D0.D
|
|
||||||
inst_CLK_000_D0.C inst_CLK_000_D0.AP inst_CLK_000_NE.D inst_CLK_000_NE.C
|
|
||||||
inst_CLK_000_NE.AR inst_CLK_OUT_PRE_D.D inst_CLK_OUT_PRE_D.C
|
|
||||||
inst_CLK_OUT_PRE_D.AR inst_CLK_OUT_PRE.D inst_CLK_OUT_PRE.C inst_CLK_OUT_PRE.AR
|
|
||||||
CLK_000_P_SYNC_9_.D CLK_000_P_SYNC_9_.C CLK_000_P_SYNC_9_.AR
|
|
||||||
CLK_000_N_SYNC_11_.D CLK_000_N_SYNC_11_.C CLK_000_N_SYNC_11_.AR SM_AMIGA_7_.D
|
|
||||||
SM_AMIGA_7_.C SM_AMIGA_7_.AP SM_AMIGA_6_.D SM_AMIGA_6_.C SM_AMIGA_6_.AR
|
|
||||||
SM_AMIGA_1_.D SM_AMIGA_1_.C SM_AMIGA_1_.AR SM_AMIGA_0_.D SM_AMIGA_0_.C
|
|
||||||
SM_AMIGA_0_.AR SM_AMIGA_4_.D SM_AMIGA_4_.C SM_AMIGA_4_.AR CLK_000_N_SYNC_6_.D
|
|
||||||
CLK_000_N_SYNC_6_.C CLK_000_N_SYNC_6_.AR inst_CLK_030_H.D inst_CLK_030_H.C
|
|
||||||
CLK_CNT_P_1_.D CLK_CNT_P_1_.C CLK_CNT_P_1_.AR CLK_CNT_N_1_.D CLK_CNT_N_1_.C
|
|
||||||
CLK_CNT_N_1_.AP CLK_CNT_P_0_.D CLK_CNT_P_0_.C CLK_CNT_P_0_.AR inst_LDS_000_INT.D
|
|
||||||
inst_LDS_000_INT.C inst_LDS_000_INT.AP inst_DS_000_ENABLE.D inst_DS_000_ENABLE.C
|
|
||||||
inst_DS_000_ENABLE.AR inst_UDS_000_INT.D inst_UDS_000_INT.C inst_UDS_000_INT.AP
|
|
||||||
CLK_000_P_SYNC_0_.D CLK_000_P_SYNC_0_.C CLK_000_P_SYNC_0_.AR CLK_000_P_SYNC_1_.D
|
|
||||||
CLK_000_P_SYNC_1_.C CLK_000_P_SYNC_1_.AR CLK_000_P_SYNC_2_.D CLK_000_P_SYNC_2_.C
|
|
||||||
CLK_000_P_SYNC_2_.AR CLK_000_P_SYNC_3_.D CLK_000_P_SYNC_3_.C
|
|
||||||
CLK_000_P_SYNC_3_.AR CLK_000_P_SYNC_4_.D CLK_000_P_SYNC_4_.C
|
|
||||||
CLK_000_P_SYNC_4_.AR CLK_000_P_SYNC_5_.D CLK_000_P_SYNC_5_.C
|
|
||||||
CLK_000_P_SYNC_5_.AR CLK_000_P_SYNC_6_.D CLK_000_P_SYNC_6_.C
|
|
||||||
CLK_000_P_SYNC_6_.AR CLK_000_P_SYNC_7_.D CLK_000_P_SYNC_7_.C
|
|
||||||
CLK_000_P_SYNC_7_.AR CLK_000_P_SYNC_8_.D CLK_000_P_SYNC_8_.C
|
|
||||||
CLK_000_P_SYNC_8_.AR CLK_000_N_SYNC_0_.D CLK_000_N_SYNC_0_.C
|
|
||||||
CLK_000_N_SYNC_0_.AR CLK_000_N_SYNC_1_.D CLK_000_N_SYNC_1_.C
|
|
||||||
CLK_000_N_SYNC_1_.AR CLK_000_N_SYNC_2_.D CLK_000_N_SYNC_2_.C
|
|
||||||
CLK_000_N_SYNC_2_.AR CLK_000_N_SYNC_3_.D CLK_000_N_SYNC_3_.C
|
|
||||||
CLK_000_N_SYNC_3_.AR CLK_000_N_SYNC_4_.D CLK_000_N_SYNC_4_.C
|
|
||||||
CLK_000_N_SYNC_4_.AR CLK_000_N_SYNC_5_.D CLK_000_N_SYNC_5_.C
|
|
||||||
CLK_000_N_SYNC_5_.AR CLK_000_N_SYNC_7_.D CLK_000_N_SYNC_7_.C
|
|
||||||
CLK_000_N_SYNC_7_.AR CLK_000_N_SYNC_8_.D CLK_000_N_SYNC_8_.C
|
|
||||||
CLK_000_N_SYNC_8_.AR CLK_000_N_SYNC_9_.D CLK_000_N_SYNC_9_.C
|
|
||||||
CLK_000_N_SYNC_9_.AR CLK_000_N_SYNC_10_.D CLK_000_N_SYNC_10_.C
|
|
||||||
CLK_000_N_SYNC_10_.AR SM_AMIGA_5_.D SM_AMIGA_5_.C SM_AMIGA_5_.AR SM_AMIGA_3_.D
|
|
||||||
SM_AMIGA_3_.C SM_AMIGA_3_.AR SM_AMIGA_2_.D SM_AMIGA_2_.C SM_AMIGA_2_.AR
|
|
||||||
un16_ciin% cpu_est_0_.D cpu_est_0_.C cpu_est_0_.AR cpu_est_1_.D cpu_est_1_.C
|
|
||||||
cpu_est_1_.AR cpu_est_2_.D cpu_est_2_.C cpu_est_2_.AR AMIGA_BUS_ENABLE_LOW_0
|
|
||||||
SM_AMIGA_7__0
|
|
||||||
.phase 11111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
|
|
||||||
.p 171
|
|
||||||
-------------------------------------------------------------------10---------------------------------------------- 10000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
------------------------------1------------------------------------------------------------------------------------ 01010000000000000000010000001000100001000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
------------------------------------------------------------------01----------------------------------------------- 00100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
------------------------------------------------1------------------------------------------------------------------ 00001000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
---------1--------------------------------------------------------------------------------------------------------- 00000100000000010001001000100010001000100010001001001001001000100100100010010010001000001001001001000001001001001001001001001001001001001001001001001001001010000010010010010010010010010010010010010010010010010010010010010010010010010010010001001001000
|
|
||||||
-----------0------------------------------------------------------------------------------------------------------- 00000010000000001000100100010001000100010001000100100100100100010010010001001001000100100100100100100100100100100100100100100100100100100100100100100100100001001001001001001001001001001001001001001001001001001001001001001001001001001001001000100100100
|
|
||||||
--1---1----------------0010--1-----------------------------------------------------------------------0------------- 00000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
--------------------------------------------------------------------------------------------------------------1---- 00000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
---0--------------------------0------0----------------------------------------------------------------------------- 00000000010000000100000010000000000010001000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
------------------------------------------------------------------------------------------------------------------- 00000000001000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
---0--------------------------0-----------------------------------------------------------------------0---------1-- 00000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
------------------------------1---------------------------------------------------------------------------------0-- 00000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
0-----------00000001111------------------------------------------------------------------------------0------------- 00000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000
|
|
||||||
-----------------------------------------------------------------------------------------------0------------------- 00000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
------------------------------0-----------------------------------------------------------------------0--01-------- 00000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
------------------------------0-----------------------------------------------------------------------0--10-------- 00000000000000100000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
-1-----------------------------1----------------------------------------------------------------------------------- 00000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
-------------------------------0----------------------------------------------------------------1------------------ 00000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
-------0-----------------------------1----------------------------------------------------------------------------- 00000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
------------------------------------------------------------------------------------------------------1------------ 00000000000000000000010000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
---------------------------------------------------------------------------------------------------------11-------- 00000000000000000000010000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
-------------------------------1----------------------1------------------------------------------------------------ 00000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000
|
|
||||||
----1-----------------------------------------------0------------------------------------------------0------------- 00000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
-------------------------------0---------------------0--------0---------------------------------------------------- 00000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
-----------------------------------------------------00-------0---------------------------------------------------- 00000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
-------------------------------1---------------------01---------------------------------------------------------0-- 00000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
------------------------------0-----------------------------------------------------------------------0--00-------- 00000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
-------1-----------------------------1---------------------1------------------------------------------------------- 00000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
-------------------------------------1-----------------------------------------------------------------1----------- 00000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
-------0-------------------------------------------------------------1---------------------------------0----------- 00000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
-----------------------------------------------------------0---------1---------------------------------0----------- 00000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
-----0--------------------------------------------------------------------------------0---------------------------- 00000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
---1-0--1--------------------------------------------------------------------------------------------1------------- 00000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
------1-----------------------1------------------------------------------------------------------------------------ 00000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
------1------------------------1----------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
---------------------------1---1----------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
-------------------------------0--------------------------------------------------------------1-------------------- 00000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
-------------------------------------------------------1--1-------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
----1----------------------------------------------------------0-------------------------------------0------------- 00000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
---1--------------------------------------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
----------------------------1--1----------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
-------------------------------0-------------------------------------------------------------1--------------------- 00000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
--------------------------------------------------1---------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
-------------------------------1------------------------------------------------------------------11--------------- 00000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
-------------------------------0--------------------------------------------------------------------0-------------- 00000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
-------------------------------------------------------------------------------------------------01-0-------------- 00000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
---------------------------------------------------------------------------------------------------10-------------- 00000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
--------------------------------1---------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
-------------------------------10-------------------1--------------------------------------------0110-------------- 00000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
--------------------------------1-----0--------1-------------------------------------------------10---------------- 00000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
-------0----------------------0----------------------------------0------------------------------------0--0--------- 00000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
-------1----------------------0-----------------------------------------------------------------------00-0--------- 00000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
-------0----------------------0----------------------------------0------------------------------------0---0-------- 00000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
-------1----------------------0-----------------------------------------------------------------------00--0-------- 00000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
------------------------------0------------------------------------------------------------------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
---------------------------------0--1----------0-----0--0---------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
---1-------------------------------01------1--0------1-00---------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
---------------------------------0--1----------------0-00---------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
---------------------------------0--1------------------1---------------------------------------------0------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
---------------------------------0--1-------------------1--------------------------------------------0------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
----------------------------------0-------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
-----------------------------------------------------------------------------------------------------------------1- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
----0-------------------------------------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
---0-------------------------------1------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
--1---1----------------0010--1-----1------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
------------------------------0----1------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
-----------------------------------1-----------------0------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
-----------------------------------------------------------------------------------------------------1------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
----------1-------------------------------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
-----------------------------------------1------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
----------------------------------------1--------------------1----------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
----------------------------------------0--------------------0----------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
---------0--------------------------------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
-----------------------------------------0------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
---------------------------------------1--1------------------------------------------------------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
-----------------------------------------01------------------------------------------------------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
---------------------------------------0-10------------------------------------------------------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
----------------------------------------------1-------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
-------------------------------------------1----------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
--------------------------------------------1---------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
--------1---------------------------------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
---------------------------------------------------1--------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
-------------------------------------------------1----------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
------------------------------------------1------------------------------------------------------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
------------------------------------------------------------------------------1------------------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
-----------------------------------------------------------------------------------------1------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
------------------------------------------------------------------------------------------------------------------1 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
----0---------------------------------------------------1---------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
----0------------------------------------------0-------------------------------------------1----------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
----0------------------------------------------0------------------------------------------1------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
----0------------------------------------------0-------1----------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
----0--------------------------0------------------------------------------------------------1---------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
----0--------------------------0-------------------------1--------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
----0--------------------------0----------------------1------------------------------------------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
----0-----1--------------------------------------------------------------------------------1-------------------1--- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
----0-----0--------------------------------------------------------------------------------1--------0-------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
----0-----0--------------------------------------------------------------------------------1------1---------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
----0-----0---------------------1----------------------------------------------------------1----------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
---0--------------------------------------------------0000--------------------------------000---------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
---1-------------------------------0-------1--0------1------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
----1--------------------------0----------------------1------------------------------------------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
----1------------------------------------------0-------1----------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
-------------------------------1------------------------------------------------------------1---------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
----1--------------------------0------------------------1---------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
-----------------------------------------------1-------10---------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
----1--------------------------0-------------------------1--------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
-----------------------------------------------1------------------------------------------1------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
------------------------------------------------------------------------------------1------------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
-----------0-----------------------------------------------1------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
-------0---1------------------0------0----------------------------------------------------------------0--0--------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
------------------------------0----------------------------1------------------------------------------0--0--------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
-------0---1------------------0------0----------------------------------------------------------------0---0-------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
------------------------------0----------------------------1------------------------------------------0---0-------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
----------------------------------------------------------------1-------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
----------------------------------------1-------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
------------------------------------------------------------1---1-------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
------------------------------------------------------------0---0-------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
------------------------------------------------------------------1-------------------------------------1---------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
--------------------------------------------------------------------------------------------------------0--100----- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
-------------------------------1-------------------------1--------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000100000000000000000
|
|
||||||
----1--------------------------------------------------------------1---------------------------------0------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
-------------------------------1----------------------1---------------------------------------------------------1-- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
--------------------------------------------------------------------1-----------------------------------1---------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
--------------------------------------------------------------------------------------------------------0----1----- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
-------------------------------------------0001-------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
----------------------------------------------------------------------1-------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
-----------------------------------------------------------------------1------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
------------------------------------------------------------------------1------------------------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
-------------------------------------------------------------------------1----------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
--------------------------------------------------------------------------1---------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000
|
|
||||||
---------------------------------------------------------------------------1--------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000
|
|
||||||
----------------------------------------------------------------------------1-------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000
|
|
||||||
-----------------------------------------------------------------------------1------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000
|
|
||||||
-------------------------------------------1110-------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000
|
|
||||||
-------------------------------------------------------------------------------1----------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000
|
|
||||||
--------------------------------------------------------------------------------1---------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000
|
|
||||||
---------------------------------------------------------------------------------1--------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000
|
|
||||||
----------------------------------------------------------------------------------1-------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000
|
|
||||||
-----------------------------------------------------------------------------------1------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000
|
|
||||||
----------------------------------------------------------1-------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000
|
|
||||||
-------------------------------------------------------------------------------------1----------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000
|
|
||||||
---------------------------------------------------------------------------------------1--------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000
|
|
||||||
----------------------------------------------------------------------------------------1-------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000
|
|
||||||
----1------------------------------------------0------------------------------------------1------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000
|
|
||||||
----1-----0---------------------1----------------------------------------------------------1----------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000
|
|
||||||
----1------------------------------------------0-------------------------------------------1----------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000
|
|
||||||
----1-----0--------------------------------------------------------------------------------1------1---------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000
|
|
||||||
----1-----0--------------------------------------------------------------------------------1--------0-------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000
|
|
||||||
----1-----1--------------------------------------------------------------------------------1-------------------1--- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000
|
|
||||||
----1--------------------------0------------------------------------------------------------1---------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000
|
|
||||||
----------0---------------------0--------------1-------------------------------------------1------0-1-------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000
|
|
||||||
----------1------------------------------------1-------------------------------------------1-------------------0--- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000
|
|
||||||
0--1--------0000000------------------------------------------------------------------------------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000
|
|
||||||
---1-------------------------------------------------------------------------------------------------1------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000
|
|
||||||
-------------------------------0-----------------------------------------------------------------1----------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000
|
|
||||||
-------------------------------1-----------------------------------------------------------------0----------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000
|
|
||||||
-------------------------------0------------------------------------------------------------------1---------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000
|
|
||||||
-------------------------------------------------------------------------------------------------01---------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000
|
|
||||||
-------------------------------1-----------------------------------------------------------------10---------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000
|
|
||||||
-------------------------------1-------------------------------------------------------------------11-------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000
|
|
||||||
-------------------------------1-------------------------------------------------------------------00-------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000
|
|
||||||
-------------------------------1-----------------------------------------------------------------00---------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000
|
|
||||||
-------------------------------0-------------------------------------------------------------------1--------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000
|
|
||||||
--------------------------------------------------------------------------------------------------11--------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000
|
|
||||||
-------------------------------1-----------------------------------------------------------------1--1-------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000
|
|
||||||
----------------------------------------0-----------------------1-------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010
|
|
||||||
------------------------------------------------------------11----------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010
|
|
||||||
-------------------------------1------------------------1---------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001
|
|
||||||
----------------------------------------------1-------0000--------------------------------000---------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001
|
|
||||||
-------------------------------------------0----------0000--------------------------------000---------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001
|
|
||||||
-----------------------------------1------------------0000--------------------------------000---------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001
|
|
||||||
.end
|
|
|
@ -1,277 +0,0 @@
|
||||||
#$ TOOL ispLEVER Classic 1.7.00.05.28.13
|
|
||||||
#$ DATE Sun Jun 22 21:24:20 2014
|
|
||||||
#$ MODULE BUS68030
|
|
||||||
#$ PINS 59 A_31_ IPL_2_ FC_1_ A_30_ UDS_000 A_29_ LDS_000 A_28_ A_27_
|
|
||||||
nEXP_SPACE A_26_ BERR A_25_ BG_030 A_24_ A_23_ A_22_ BGACK_000 A_21_ CLK_030
|
|
||||||
A_20_ CLK_000 A_19_ CLK_OSZI A_18_ CLK_DIV_OUT A_17_ A_16_ FPU_CS DTACK IPL_1_
|
|
||||||
AVEC IPL_0_ FC_0_ VPA RST AMIGA_BUS_DATA_DIR CIIN SIZE_1_ IPL_030_2_ AS_030
|
|
||||||
AS_000 RW_000 SIZE_0_ DS_030 A0 BG_000 BGACK_030 CLK_EXP IPL_030_1_ DSACK1
|
|
||||||
IPL_030_0_ AVEC_EXP E VMA RESET RW AMIGA_BUS_ENABLE AMIGA_BUS_ENABLE_LOW
|
|
||||||
#$ NODES 57 inst_AS_030_000_SYNC inst_BGACK_030_INT_D inst_VPA_D
|
|
||||||
inst_CLK_OUT_PRE_50_D CLK_CNT_N_0_ inst_CLK_OUT_PRE_50 inst_CLK_OUT_PRE_25
|
|
||||||
inst_CLK_000_D1 inst_CLK_000_D2 inst_CLK_000_D3 inst_CLK_000_D0 inst_CLK_000_NE
|
|
||||||
inst_CLK_OUT_PRE_D inst_CLK_OUT_PRE CLK_000_P_SYNC_9_ CLK_000_N_SYNC_11_
|
|
||||||
SM_AMIGA_7_ SM_AMIGA_6_ SM_AMIGA_1_ SM_AMIGA_0_ SM_AMIGA_4_ CLK_000_N_SYNC_6_
|
|
||||||
inst_CLK_030_H CLK_CNT_P_1_ CLK_CNT_N_1_ CLK_CNT_P_0_ inst_LDS_000_INT
|
|
||||||
inst_DS_000_ENABLE inst_UDS_000_INT CLK_000_P_SYNC_0_ CLK_000_P_SYNC_1_
|
|
||||||
CLK_000_P_SYNC_2_ CLK_000_P_SYNC_3_ CLK_000_P_SYNC_4_ CLK_000_P_SYNC_5_
|
|
||||||
CLK_000_P_SYNC_6_ CLK_000_P_SYNC_7_ CLK_000_P_SYNC_8_ CLK_000_N_SYNC_0_
|
|
||||||
CLK_000_N_SYNC_1_ CLK_000_N_SYNC_2_ CLK_000_N_SYNC_3_ CLK_000_N_SYNC_4_
|
|
||||||
CLK_000_N_SYNC_5_ CLK_000_N_SYNC_7_ CLK_000_N_SYNC_8_ CLK_000_N_SYNC_9_
|
|
||||||
CLK_000_N_SYNC_10_ SM_AMIGA_5_ SM_AMIGA_3_ SM_AMIGA_2_ un16_ciin cpu_est_0_
|
|
||||||
cpu_est_1_ cpu_est_2_ AMIGA_BUS_ENABLE_LOW_0 SM_AMIGA_7__0
|
|
||||||
.type f
|
|
||||||
.i 115
|
|
||||||
.o 251
|
|
||||||
.ilb A_31_ IPL_2_ FC_1_ nEXP_SPACE BERR BG_030 BGACK_000 CLK_030 CLK_000
|
|
||||||
CLK_OSZI VPA RST A_30_ A_29_ A_28_ A_27_ A_26_ A_25_ A_24_ A_23_ A_22_ A_21_
|
|
||||||
A_20_ A_19_ A_18_ A_17_ A_16_ IPL_1_ IPL_0_ FC_0_ BGACK_030.Q AVEC_EXP.Q VMA.Q
|
|
||||||
AMIGA_BUS_ENABLE.Q AMIGA_BUS_ENABLE_LOW.Q inst_AS_030_000_SYNC.Q
|
|
||||||
inst_BGACK_030_INT_D.Q AS_030.Q inst_VPA_D.Q inst_CLK_OUT_PRE_50_D.Q
|
|
||||||
CLK_CNT_N_0_.Q inst_CLK_OUT_PRE_50.Q inst_CLK_OUT_PRE_25.Q inst_CLK_000_D1.Q
|
|
||||||
inst_CLK_000_D2.Q inst_CLK_000_D3.Q inst_CLK_000_D0.Q inst_CLK_000_NE.Q
|
|
||||||
inst_CLK_OUT_PRE_D.Q inst_CLK_OUT_PRE.Q CLK_000_P_SYNC_9_.Q CLK_000_N_SYNC_11_.Q
|
|
||||||
AS_000.Q SM_AMIGA_7_.Q SM_AMIGA_6_.Q SM_AMIGA_1_.Q SM_AMIGA_0_.Q SM_AMIGA_4_.Q
|
|
||||||
CLK_000_N_SYNC_6_.Q inst_CLK_030_H.Q CLK_CNT_P_1_.Q CLK_CNT_N_1_.Q RW_000.Q
|
|
||||||
DSACK1.Q CLK_CNT_P_0_.Q RW.Q inst_LDS_000_INT.Q inst_DS_000_ENABLE.Q
|
|
||||||
inst_UDS_000_INT.Q DS_030.Q CLK_000_P_SYNC_0_.Q CLK_000_P_SYNC_1_.Q
|
|
||||||
CLK_000_P_SYNC_2_.Q CLK_000_P_SYNC_3_.Q CLK_000_P_SYNC_4_.Q CLK_000_P_SYNC_5_.Q
|
|
||||||
CLK_000_P_SYNC_6_.Q CLK_000_P_SYNC_7_.Q CLK_000_P_SYNC_8_.Q CLK_000_N_SYNC_0_.Q
|
|
||||||
CLK_000_N_SYNC_1_.Q CLK_000_N_SYNC_2_.Q CLK_000_N_SYNC_3_.Q CLK_000_N_SYNC_4_.Q
|
|
||||||
CLK_000_N_SYNC_5_.Q CLK_000_N_SYNC_7_.Q BG_000.Q CLK_000_N_SYNC_8_.Q
|
|
||||||
CLK_000_N_SYNC_9_.Q CLK_000_N_SYNC_10_.Q SM_AMIGA_5_.Q SM_AMIGA_3_.Q
|
|
||||||
SM_AMIGA_2_.Q IPL_030_0_.Q IPL_030_1_.Q un16_ciin IPL_030_2_.Q cpu_est_0_.Q
|
|
||||||
cpu_est_1_.Q cpu_est_2_.Q E.Q AS_030.PIN AS_000.PIN RW_000.PIN DS_030.PIN
|
|
||||||
UDS_000.PIN LDS_000.PIN SIZE_0_.PIN SIZE_1_.PIN A0.PIN DSACK1.PIN DTACK.PIN
|
|
||||||
RW.PIN AMIGA_BUS_ENABLE_LOW_0 SM_AMIGA_7__0
|
|
||||||
.ob UDS_000- UDS_000.OE LDS_000- LDS_000.OE CLK_DIV_OUT.D CLK_DIV_OUT.C
|
|
||||||
CLK_DIV_OUT.AR FPU_CS- DTACK DTACK.OE AVEC AMIGA_BUS_DATA_DIR CIIN CIIN.OE
|
|
||||||
SIZE_1_.D- SIZE_1_.C SIZE_1_.AP SIZE_1_.OE IPL_030_2_.D IPL_030_2_.C
|
|
||||||
IPL_030_2_.AP AS_030.D AS_030.C AS_030.AP AS_030.OE AS_000.D- AS_000.C AS_000.AP
|
|
||||||
AS_000.OE RW_000.D- RW_000.C RW_000.AP RW_000.OE SIZE_0_.D- SIZE_0_.C SIZE_0_.AP
|
|
||||||
SIZE_0_.OE DS_030.D DS_030.C DS_030.AP DS_030.OE A0.D A0.C A0.AP A0.OE BG_000.D-
|
|
||||||
BG_000.C BG_000.AP BGACK_030.D BGACK_030.C BGACK_030.AP CLK_EXP.D CLK_EXP.C
|
|
||||||
CLK_EXP.AR IPL_030_1_.D IPL_030_1_.C IPL_030_1_.AP DSACK1.D- DSACK1.C DSACK1.AP
|
|
||||||
DSACK1.OE IPL_030_0_.D IPL_030_0_.C IPL_030_0_.AP AVEC_EXP.D AVEC_EXP.C
|
|
||||||
AVEC_EXP.AR E.D- E.C E.AR VMA.D.X1 VMA.D.X2 VMA.C VMA.AP RESET.D RESET.C
|
|
||||||
RESET.AR RW.D- RW.C RW.AP RW.OE AMIGA_BUS_ENABLE.D- AMIGA_BUS_ENABLE.C
|
|
||||||
AMIGA_BUS_ENABLE.AP AMIGA_BUS_ENABLE_LOW.D AMIGA_BUS_ENABLE_LOW.C
|
|
||||||
AMIGA_BUS_ENABLE_LOW.AR inst_AS_030_000_SYNC.D inst_AS_030_000_SYNC.C
|
|
||||||
inst_AS_030_000_SYNC.AP inst_BGACK_030_INT_D.D inst_BGACK_030_INT_D.C
|
|
||||||
inst_BGACK_030_INT_D.AP inst_VPA_D.D inst_VPA_D.C inst_VPA_D.AP
|
|
||||||
inst_CLK_OUT_PRE_50_D.D inst_CLK_OUT_PRE_50_D.C inst_CLK_OUT_PRE_50_D.AR
|
|
||||||
CLK_CNT_N_0_.D CLK_CNT_N_0_.C CLK_CNT_N_0_.AR inst_CLK_OUT_PRE_50.D
|
|
||||||
inst_CLK_OUT_PRE_50.C inst_CLK_OUT_PRE_50.AR inst_CLK_OUT_PRE_25.D
|
|
||||||
inst_CLK_OUT_PRE_25.C inst_CLK_OUT_PRE_25.AR inst_CLK_000_D1.D inst_CLK_000_D1.C
|
|
||||||
inst_CLK_000_D1.AP inst_CLK_000_D2.D inst_CLK_000_D2.C inst_CLK_000_D2.AP
|
|
||||||
inst_CLK_000_D3.D inst_CLK_000_D3.C inst_CLK_000_D3.AP inst_CLK_000_D0.D
|
|
||||||
inst_CLK_000_D0.C inst_CLK_000_D0.AP inst_CLK_000_NE.D inst_CLK_000_NE.C
|
|
||||||
inst_CLK_000_NE.AR inst_CLK_OUT_PRE_D.D inst_CLK_OUT_PRE_D.C
|
|
||||||
inst_CLK_OUT_PRE_D.AR inst_CLK_OUT_PRE.D inst_CLK_OUT_PRE.C inst_CLK_OUT_PRE.AR
|
|
||||||
CLK_000_P_SYNC_9_.D CLK_000_P_SYNC_9_.C CLK_000_P_SYNC_9_.AR
|
|
||||||
CLK_000_N_SYNC_11_.D CLK_000_N_SYNC_11_.C CLK_000_N_SYNC_11_.AR SM_AMIGA_7_.D
|
|
||||||
SM_AMIGA_7_.C SM_AMIGA_7_.AP SM_AMIGA_6_.D SM_AMIGA_6_.C SM_AMIGA_6_.AR
|
|
||||||
SM_AMIGA_1_.D SM_AMIGA_1_.C SM_AMIGA_1_.AR SM_AMIGA_0_.D SM_AMIGA_0_.C
|
|
||||||
SM_AMIGA_0_.AR SM_AMIGA_4_.D SM_AMIGA_4_.C SM_AMIGA_4_.AR CLK_000_N_SYNC_6_.D
|
|
||||||
CLK_000_N_SYNC_6_.C CLK_000_N_SYNC_6_.AR inst_CLK_030_H.D inst_CLK_030_H.C
|
|
||||||
CLK_CNT_P_1_.D CLK_CNT_P_1_.C CLK_CNT_P_1_.AR CLK_CNT_N_1_.D CLK_CNT_N_1_.C
|
|
||||||
CLK_CNT_N_1_.AP CLK_CNT_P_0_.D CLK_CNT_P_0_.C CLK_CNT_P_0_.AR inst_LDS_000_INT.D
|
|
||||||
inst_LDS_000_INT.C inst_LDS_000_INT.AP inst_DS_000_ENABLE.D inst_DS_000_ENABLE.C
|
|
||||||
inst_DS_000_ENABLE.AR inst_UDS_000_INT.D inst_UDS_000_INT.C inst_UDS_000_INT.AP
|
|
||||||
CLK_000_P_SYNC_0_.D CLK_000_P_SYNC_0_.C CLK_000_P_SYNC_0_.AR CLK_000_P_SYNC_1_.D
|
|
||||||
CLK_000_P_SYNC_1_.C CLK_000_P_SYNC_1_.AR CLK_000_P_SYNC_2_.D CLK_000_P_SYNC_2_.C
|
|
||||||
CLK_000_P_SYNC_2_.AR CLK_000_P_SYNC_3_.D CLK_000_P_SYNC_3_.C
|
|
||||||
CLK_000_P_SYNC_3_.AR CLK_000_P_SYNC_4_.D CLK_000_P_SYNC_4_.C
|
|
||||||
CLK_000_P_SYNC_4_.AR CLK_000_P_SYNC_5_.D CLK_000_P_SYNC_5_.C
|
|
||||||
CLK_000_P_SYNC_5_.AR CLK_000_P_SYNC_6_.D CLK_000_P_SYNC_6_.C
|
|
||||||
CLK_000_P_SYNC_6_.AR CLK_000_P_SYNC_7_.D CLK_000_P_SYNC_7_.C
|
|
||||||
CLK_000_P_SYNC_7_.AR CLK_000_P_SYNC_8_.D CLK_000_P_SYNC_8_.C
|
|
||||||
CLK_000_P_SYNC_8_.AR CLK_000_N_SYNC_0_.D CLK_000_N_SYNC_0_.C
|
|
||||||
CLK_000_N_SYNC_0_.AR CLK_000_N_SYNC_1_.D CLK_000_N_SYNC_1_.C
|
|
||||||
CLK_000_N_SYNC_1_.AR CLK_000_N_SYNC_2_.D CLK_000_N_SYNC_2_.C
|
|
||||||
CLK_000_N_SYNC_2_.AR CLK_000_N_SYNC_3_.D CLK_000_N_SYNC_3_.C
|
|
||||||
CLK_000_N_SYNC_3_.AR CLK_000_N_SYNC_4_.D CLK_000_N_SYNC_4_.C
|
|
||||||
CLK_000_N_SYNC_4_.AR CLK_000_N_SYNC_5_.D CLK_000_N_SYNC_5_.C
|
|
||||||
CLK_000_N_SYNC_5_.AR CLK_000_N_SYNC_7_.D CLK_000_N_SYNC_7_.C
|
|
||||||
CLK_000_N_SYNC_7_.AR CLK_000_N_SYNC_8_.D CLK_000_N_SYNC_8_.C
|
|
||||||
CLK_000_N_SYNC_8_.AR CLK_000_N_SYNC_9_.D CLK_000_N_SYNC_9_.C
|
|
||||||
CLK_000_N_SYNC_9_.AR CLK_000_N_SYNC_10_.D CLK_000_N_SYNC_10_.C
|
|
||||||
CLK_000_N_SYNC_10_.AR SM_AMIGA_5_.D SM_AMIGA_5_.C SM_AMIGA_5_.AR SM_AMIGA_3_.D
|
|
||||||
SM_AMIGA_3_.C SM_AMIGA_3_.AR SM_AMIGA_2_.D SM_AMIGA_2_.C SM_AMIGA_2_.AR
|
|
||||||
un16_ciin- cpu_est_0_.D cpu_est_0_.C cpu_est_0_.AR cpu_est_1_.D cpu_est_1_.C
|
|
||||||
cpu_est_1_.AR cpu_est_2_.D cpu_est_2_.C cpu_est_2_.AR AMIGA_BUS_ENABLE_LOW_0
|
|
||||||
SM_AMIGA_7__0
|
|
||||||
.phase 11111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
|
|
||||||
.p 171
|
|
||||||
-------------------------------------------------------------------10---------------------------------------------- 10000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
------------------------------1------------------------------------------------------------------------------------ 01010000000000000000010000001000100001000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
------------------------------------------------------------------01----------------------------------------------- 00100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
------------------------------------------------1------------------------------------------------------------------ 00001000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
---------1--------------------------------------------------------------------------------------------------------- 00000100000000010001001000100010001000100010001001001001001000100100100010010010001000001001001001000001001001001001001001001001001001001001001001001001001010000010010010010010010010010010010010010010010010010010010010010010010010010010010001001001000
|
|
||||||
-----------0------------------------------------------------------------------------------------------------------- 00000010000000001000100100010001000100010001000100100100100100010010010001001001000100100100100100100100100100100100100100100100100100100100100100100100100001001001001001001001001001001001001001001001001001001001001001001001001001001001001000100100100
|
|
||||||
--1---1----------------0010--1-----------------------------------------------------------------------0------------- 00000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
--------------------------------------------------------------------------------------------------------------1---- 00000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
---0--------------------------0------0----------------------------------------------------------------------------- 00000000010000000100000010000000000010001000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
------------------------------------------------------------------------------------------------------------------- 00000000001000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
---0--------------------------0-----------------------------------------------------------------------0---------1-- 00000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
------------------------------1---------------------------------------------------------------------------------0-- 00000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
0-----------00000001111------------------------------------------------------------------------------0------------- 00000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000
|
|
||||||
-----------------------------------------------------------------------------------------------0------------------- 00000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
------------------------------0-----------------------------------------------------------------------0--01-------- 00000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
------------------------------0-----------------------------------------------------------------------0--10-------- 00000000000000100000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
-1-----------------------------1----------------------------------------------------------------------------------- 00000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
-------------------------------0----------------------------------------------------------------1------------------ 00000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
-------0-----------------------------1----------------------------------------------------------------------------- 00000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
------------------------------------------------------------------------------------------------------1------------ 00000000000000000000010000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
---------------------------------------------------------------------------------------------------------11-------- 00000000000000000000010000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
-------------------------------1----------------------1------------------------------------------------------------ 00000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000
|
|
||||||
----1-----------------------------------------------0------------------------------------------------0------------- 00000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
-------------------------------0---------------------0--------0---------------------------------------------------- 00000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
-----------------------------------------------------00-------0---------------------------------------------------- 00000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
-------------------------------1---------------------01---------------------------------------------------------0-- 00000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
------------------------------0-----------------------------------------------------------------------0--00-------- 00000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
-------1-----------------------------1---------------------1------------------------------------------------------- 00000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
-------------------------------------1-----------------------------------------------------------------1----------- 00000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
-------0-------------------------------------------------------------1---------------------------------0----------- 00000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
-----------------------------------------------------------0---------1---------------------------------0----------- 00000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
-----0--------------------------------------------------------------------------------0---------------------------- 00000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
---1-0--1--------------------------------------------------------------------------------------------1------------- 00000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
------1-----------------------1------------------------------------------------------------------------------------ 00000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
------1------------------------1----------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
---------------------------1---1----------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
-------------------------------0--------------------------------------------------------------1-------------------- 00000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
-------------------------------------------------------1--1-------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
----1----------------------------------------------------------0-------------------------------------0------------- 00000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
---1--------------------------------------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
----------------------------1--1----------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
-------------------------------0-------------------------------------------------------------1--------------------- 00000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
--------------------------------------------------1---------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
-------------------------------1------------------------------------------------------------------11--------------- 00000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
-------------------------------0--------------------------------------------------------------------0-------------- 00000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
-------------------------------------------------------------------------------------------------01-0-------------- 00000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
---------------------------------------------------------------------------------------------------10-------------- 00000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
--------------------------------1---------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
-------------------------------10-------------------1--------------------------------------------0110-------------- 00000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
--------------------------------1-----0--------1-------------------------------------------------10---------------- 00000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
-------0----------------------0----------------------------------0------------------------------------0--0--------- 00000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
-------1----------------------0-----------------------------------------------------------------------00-0--------- 00000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
-------0----------------------0----------------------------------0------------------------------------0---0-------- 00000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
-------1----------------------0-----------------------------------------------------------------------00--0-------- 00000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
------------------------------0------------------------------------------------------------------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
---------------------------------0--1----------0-----0--0---------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
---1-------------------------------01------1--0------1-00---------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
---------------------------------0--1----------------0-00---------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
---------------------------------0--1------------------1---------------------------------------------0------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
---------------------------------0--1-------------------1--------------------------------------------0------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
----------------------------------0-------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
-----------------------------------------------------------------------------------------------------------------1- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
----0-------------------------------------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
---0-------------------------------1------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
--1---1----------------0010--1-----1------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
------------------------------0----1------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
-----------------------------------1-----------------0------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
-----------------------------------------------------------------------------------------------------1------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
----------1-------------------------------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
-----------------------------------------1------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
----------------------------------------1--------------------1----------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
----------------------------------------0--------------------0----------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
---------0--------------------------------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
-----------------------------------------0------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
---------------------------------------1--1------------------------------------------------------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
-----------------------------------------01------------------------------------------------------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
---------------------------------------0-10------------------------------------------------------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
----------------------------------------------1-------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
-------------------------------------------1----------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
--------------------------------------------1---------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
--------1---------------------------------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
---------------------------------------------------1--------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
-------------------------------------------------1----------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
------------------------------------------1------------------------------------------------------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
------------------------------------------------------------------------------1------------------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
-----------------------------------------------------------------------------------------1------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
------------------------------------------------------------------------------------------------------------------1 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
----0---------------------------------------------------1---------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
----0------------------------------------------0-------------------------------------------1----------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
----0------------------------------------------0------------------------------------------1------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
----0------------------------------------------0-------1----------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
----0--------------------------0------------------------------------------------------------1---------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
----0--------------------------0-------------------------1--------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
----0--------------------------0----------------------1------------------------------------------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
----0-----1--------------------------------------------------------------------------------1-------------------1--- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
----0-----0--------------------------------------------------------------------------------1--------0-------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
----0-----0--------------------------------------------------------------------------------1------1---------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
----0-----0---------------------1----------------------------------------------------------1----------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
---0--------------------------------------------------0000--------------------------------000---------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
---1-------------------------------0-------1--0------1------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
----1--------------------------0----------------------1------------------------------------------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
----1------------------------------------------0-------1----------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
-------------------------------1------------------------------------------------------------1---------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
----1--------------------------0------------------------1---------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
-----------------------------------------------1-------10---------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
----1--------------------------0-------------------------1--------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
-----------------------------------------------1------------------------------------------1------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
------------------------------------------------------------------------------------1------------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
-----------0-----------------------------------------------1------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
-------0---1------------------0------0----------------------------------------------------------------0--0--------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
------------------------------0----------------------------1------------------------------------------0--0--------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
-------0---1------------------0------0----------------------------------------------------------------0---0-------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
------------------------------0----------------------------1------------------------------------------0---0-------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
----------------------------------------------------------------1-------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
----------------------------------------1-------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
------------------------------------------------------------1---1-------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
------------------------------------------------------------0---0-------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
------------------------------------------------------------------1-------------------------------------1---------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
--------------------------------------------------------------------------------------------------------0--100----- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
-------------------------------1-------------------------1--------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000100000000000000000
|
|
||||||
----1--------------------------------------------------------------1---------------------------------0------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
-------------------------------1----------------------1---------------------------------------------------------1-- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
--------------------------------------------------------------------1-----------------------------------1---------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
--------------------------------------------------------------------------------------------------------0----1----- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
-------------------------------------------0001-------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
----------------------------------------------------------------------1-------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
-----------------------------------------------------------------------1------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
------------------------------------------------------------------------1------------------------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
-------------------------------------------------------------------------1----------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000
|
|
||||||
--------------------------------------------------------------------------1---------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000
|
|
||||||
---------------------------------------------------------------------------1--------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000
|
|
||||||
----------------------------------------------------------------------------1-------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000
|
|
||||||
-----------------------------------------------------------------------------1------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000
|
|
||||||
-------------------------------------------1110-------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000
|
|
||||||
-------------------------------------------------------------------------------1----------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000
|
|
||||||
--------------------------------------------------------------------------------1---------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000
|
|
||||||
---------------------------------------------------------------------------------1--------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000
|
|
||||||
----------------------------------------------------------------------------------1-------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000
|
|
||||||
-----------------------------------------------------------------------------------1------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000
|
|
||||||
----------------------------------------------------------1-------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000
|
|
||||||
-------------------------------------------------------------------------------------1----------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000
|
|
||||||
---------------------------------------------------------------------------------------1--------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000
|
|
||||||
----------------------------------------------------------------------------------------1-------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000
|
|
||||||
----1------------------------------------------0------------------------------------------1------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000
|
|
||||||
----1-----0---------------------1----------------------------------------------------------1----------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000
|
|
||||||
----1------------------------------------------0-------------------------------------------1----------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000
|
|
||||||
----1-----0--------------------------------------------------------------------------------1------1---------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000
|
|
||||||
----1-----0--------------------------------------------------------------------------------1--------0-------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000
|
|
||||||
----1-----1--------------------------------------------------------------------------------1-------------------1--- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000
|
|
||||||
----1--------------------------0------------------------------------------------------------1---------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000
|
|
||||||
----------0---------------------0--------------1-------------------------------------------1------0-1-------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000
|
|
||||||
----------1------------------------------------1-------------------------------------------1-------------------0--- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000
|
|
||||||
0--1--------0000000------------------------------------------------------------------------------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000
|
|
||||||
---1-------------------------------------------------------------------------------------------------1------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000
|
|
||||||
-------------------------------0-----------------------------------------------------------------1----------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000
|
|
||||||
-------------------------------1-----------------------------------------------------------------0----------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000
|
|
||||||
-------------------------------0------------------------------------------------------------------1---------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000
|
|
||||||
-------------------------------------------------------------------------------------------------01---------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000
|
|
||||||
-------------------------------1-----------------------------------------------------------------10---------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000
|
|
||||||
-------------------------------1-------------------------------------------------------------------11-------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000
|
|
||||||
-------------------------------1-------------------------------------------------------------------00-------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000
|
|
||||||
-------------------------------1-----------------------------------------------------------------00---------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000
|
|
||||||
-------------------------------0-------------------------------------------------------------------1--------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000
|
|
||||||
--------------------------------------------------------------------------------------------------11--------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000
|
|
||||||
-------------------------------1-----------------------------------------------------------------1--1-------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000
|
|
||||||
----------------------------------------0-----------------------1-------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010
|
|
||||||
------------------------------------------------------------11----------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010
|
|
||||||
-------------------------------1------------------------1---------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001
|
|
||||||
----------------------------------------------1-------0000--------------------------------000---------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001
|
|
||||||
-------------------------------------------0----------0000--------------------------------000---------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001
|
|
||||||
-----------------------------------1------------------0000--------------------------------000---------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001
|
|
||||||
.end
|
|
|
@ -1,239 +0,0 @@
|
||||||
[DEVICE]
|
|
||||||
|
|
||||||
Family = M4A5;
|
|
||||||
PartType = M4A5-128/64;
|
|
||||||
Package = 100TQFP;
|
|
||||||
PartNumber = M4A5-128/64-10VC;
|
|
||||||
Speed = -10;
|
|
||||||
Operating_condition = COM;
|
|
||||||
EN_Segment = NO;
|
|
||||||
Pin_MC_1to1 = NO;
|
|
||||||
Voltage = 5.0;
|
|
||||||
|
|
||||||
[REVISION]
|
|
||||||
|
|
||||||
RCS = "$Revision: 1.2 $";
|
|
||||||
Parent = m4a5.lci;
|
|
||||||
SDS_file = m4a5.sds;
|
|
||||||
Design = 68030_tk.tt4;
|
|
||||||
Rev = 0.01;
|
|
||||||
DATE = 6/22/14;
|
|
||||||
TIME = 21:24:26;
|
|
||||||
Type = TT2;
|
|
||||||
Pre_Fit_Time = 1;
|
|
||||||
Source_Format = Pure_VHDL;
|
|
||||||
|
|
||||||
[IGNORE ASSIGNMENTS]
|
|
||||||
|
|
||||||
Pin_Assignments = NO;
|
|
||||||
Pin_Keep_Block = NO;
|
|
||||||
Pin_Keep_Segment = NO;
|
|
||||||
Group_Assignments = NO;
|
|
||||||
Macrocell_Assignments = NO;
|
|
||||||
Macrocell_Keep_Block = NO;
|
|
||||||
Macrocell_Keep_Segment = NO;
|
|
||||||
Pin_Reservation = NO;
|
|
||||||
Timing_Constraints = NO;
|
|
||||||
Block_Reservation = NO;
|
|
||||||
Segment_Reservation = NO;
|
|
||||||
Ignore_Source_Location = NO;
|
|
||||||
Ignore_Source_Optimization = NO;
|
|
||||||
Ignore_Source_Timing = NO;
|
|
||||||
|
|
||||||
[CLEAR ASSIGNMENTS]
|
|
||||||
|
|
||||||
Pin_Assignments = NO;
|
|
||||||
Pin_Keep_Block = NO;
|
|
||||||
Pin_Keep_Segment = NO;
|
|
||||||
Group_Assignments = NO;
|
|
||||||
Macrocell_Assignments = NO;
|
|
||||||
Macrocell_Keep_Block = NO;
|
|
||||||
Macrocell_Keep_Segment = NO;
|
|
||||||
Pin_Reservation = NO;
|
|
||||||
Timing_Constraints = NO;
|
|
||||||
Block_Reservation = NO;
|
|
||||||
Segment_Reservation = NO;
|
|
||||||
Ignore_Source_Location = NO;
|
|
||||||
Ignore_Source_Optimization = NO;
|
|
||||||
Ignore_Source_Timing = NO;
|
|
||||||
|
|
||||||
[BACKANNOTATE NETLIST]
|
|
||||||
|
|
||||||
Netlist = VHDL;
|
|
||||||
Delay_File = SDF;
|
|
||||||
Generic_VCC = ;
|
|
||||||
Generic_GND = ;
|
|
||||||
|
|
||||||
[BACKANNOTATE ASSIGNMENTS]
|
|
||||||
|
|
||||||
Pin_Assignment = NO;
|
|
||||||
Pin_Block = NO;
|
|
||||||
Pin_Macrocell_Block = NO;
|
|
||||||
Routing = NO;
|
|
||||||
|
|
||||||
[GLOBAL PROJECT OPTIMIZATION]
|
|
||||||
|
|
||||||
Balanced_Partitioning = YES;
|
|
||||||
Spread_Placement = YES;
|
|
||||||
Max_Pin_Percent = 100;
|
|
||||||
Max_Macrocell_Percent = 100;
|
|
||||||
Max_Inter_Seg_Percent = 100;
|
|
||||||
Max_Seg_In_Percent = 100;
|
|
||||||
Max_Blk_In_Percent = 100;
|
|
||||||
|
|
||||||
[FITTER REPORT FORMAT]
|
|
||||||
|
|
||||||
Fitter_Options = YES;
|
|
||||||
Pinout_Diagram = NO;
|
|
||||||
Pinout_Listing = YES;
|
|
||||||
Detailed_Block_Segment_Summary = YES;
|
|
||||||
Input_Signal_List = YES;
|
|
||||||
Output_Signal_List = YES;
|
|
||||||
Bidir_Signal_List = YES;
|
|
||||||
Node_Signal_List = YES;
|
|
||||||
Signal_Fanout_List = YES;
|
|
||||||
Block_Segment_Fanin_List = YES;
|
|
||||||
Prefit_Eqn = YES;
|
|
||||||
Postfit_Eqn = YES;
|
|
||||||
Page_Break = YES;
|
|
||||||
|
|
||||||
[OPTIMIZATION OPTIONS]
|
|
||||||
|
|
||||||
Logic_Reduction = YES;
|
|
||||||
Max_PTerm_Split = 16;
|
|
||||||
Max_PTerm_Collapse = 16;
|
|
||||||
XOR_Synthesis = YES;
|
|
||||||
Node_Collapse = Yes;
|
|
||||||
DT_Synthesis = Yes;
|
|
||||||
|
|
||||||
[FITTER GLOBAL OPTIONS]
|
|
||||||
|
|
||||||
Run_Time = 0;
|
|
||||||
Set_Reset_Dont_Care = YES;
|
|
||||||
In_Reg_Optimize = YES;
|
|
||||||
Clock_Optimize = NO;
|
|
||||||
Conf_Unused_IOs = OUT_LOW;
|
|
||||||
|
|
||||||
[POWER]
|
|
||||||
Powerlevel = Low, High;
|
|
||||||
Default = High;
|
|
||||||
Type = GLB;
|
|
||||||
|
|
||||||
[HARDWARE DEVICE OPTIONS]
|
|
||||||
Zero_Hold_Time = Yes;
|
|
||||||
Signature_Word = 0;
|
|
||||||
Pull_up = Yes;
|
|
||||||
Out_Slew_Rate = SLOW, FAST, 0;
|
|
||||||
Device_max_fanin = 33;
|
|
||||||
Device_max_pterms = 20;
|
|
||||||
Usercode_Format = Hex;
|
|
||||||
|
|
||||||
[PIN RESERVATIONS]
|
|
||||||
layer = OFF;
|
|
||||||
|
|
||||||
[LOCATION ASSIGNMENT]
|
|
||||||
|
|
||||||
Layer = OFF
|
|
||||||
AS_030 = BIDIR,82,7,-;
|
|
||||||
AS_000 = BIDIR,33,3,-;
|
|
||||||
RW = BIDIR,71,6,-;
|
|
||||||
UDS_000 = OUTPUT,32,3,-;
|
|
||||||
LDS_000 = OUTPUT,31,3,-;
|
|
||||||
DS_030 = BIDIR,98,0,-;
|
|
||||||
RW_000 = BIDIR,80,7,-;
|
|
||||||
A0 = OUTPUT,69,6,-;
|
|
||||||
DSACK1 = BIDIR,81,7,-;
|
|
||||||
SIZE_1_ = OUTPUT,79,7,-;
|
|
||||||
SIZE_0_ = OUTPUT,70,6,-;
|
|
||||||
DTACK = OUTPUT,30,3,-;
|
|
||||||
AMIGA_BUS_ENABLE = OUTPUT,34,3,-;
|
|
||||||
E = OUTPUT,66,6,-;
|
|
||||||
BGACK_030 = OUTPUT,83,7,-;
|
|
||||||
AMIGA_BUS_DATA_DIR = OUTPUT,48,4,-;
|
|
||||||
VMA = OUTPUT,35,3,-;
|
|
||||||
BG_000 = OUTPUT,29,3,-;
|
|
||||||
IPL_030_2_ = OUTPUT,9,1,-;
|
|
||||||
IPL_030_0_ = OUTPUT,8,1,-;
|
|
||||||
IPL_030_1_ = OUTPUT,7,1,-;
|
|
||||||
AVEC = OUTPUT,92,0,-;
|
|
||||||
FPU_CS = OUTPUT,78,7,-;
|
|
||||||
CLK_DIV_OUT = OUTPUT,65,6,-;
|
|
||||||
CIIN = OUTPUT,47,4,-;
|
|
||||||
AVEC_EXP = OUTPUT,22,2,-;
|
|
||||||
AMIGA_BUS_ENABLE_LOW = OUTPUT,20,2,-;
|
|
||||||
CLK_EXP = OUTPUT,10,1,-;
|
|
||||||
RESET = OUTPUT,3,1,-;
|
|
||||||
RN_BGACK_030 = NODE,-1,7,-;
|
|
||||||
RN_AVEC_EXP = NODE,-1,2,-;
|
|
||||||
RN_AS_030 = NODE,-1,7,-;
|
|
||||||
SM_AMIGA_6_ = NODE,*,3,-;
|
|
||||||
inst_CLK_000_D0 = NODE,*,5,-;
|
|
||||||
inst_CLK_000_D1 = NODE,*,4,-;
|
|
||||||
SM_AMIGA_7_ = NODE,*,5,-;
|
|
||||||
inst_AS_030_000_SYNC = NODE,*,2,-;
|
|
||||||
cpu_est_1_ = NODE,*,6,-;
|
|
||||||
RN_E = NODE,-1,6,-;
|
|
||||||
SM_AMIGA_1_ = NODE,*,5,-;
|
|
||||||
inst_CLK_000_NE = NODE,*,2,-;
|
|
||||||
cpu_est_2_ = NODE,*,6,-;
|
|
||||||
inst_DS_000_ENABLE = NODE,*,1,-;
|
|
||||||
inst_CLK_OUT_PRE_25 = NODE,*,0,-;
|
|
||||||
RN_VMA = NODE,-1,3,-;
|
|
||||||
cpu_est_0_ = NODE,*,3,-;
|
|
||||||
SM_AMIGA_5_ = NODE,*,5,-;
|
|
||||||
inst_UDS_000_INT = NODE,*,1,-;
|
|
||||||
inst_LDS_000_INT = NODE,*,6,-;
|
|
||||||
CLK_CNT_P_0_ = NODE,*,4,-;
|
|
||||||
SM_AMIGA_4_ = NODE,*,1,-;
|
|
||||||
SM_AMIGA_0_ = NODE,*,3,-;
|
|
||||||
inst_CLK_OUT_PRE_D = NODE,*,7,-;
|
|
||||||
inst_CLK_OUT_PRE_50 = NODE,*,7,-;
|
|
||||||
RN_DS_030 = NODE,-1,0,-;
|
|
||||||
RN_AMIGA_BUS_ENABLE = NODE,-1,3,-;
|
|
||||||
SM_AMIGA_3_ = NODE,*,5,-;
|
|
||||||
inst_CLK_030_H = NODE,*,0,-;
|
|
||||||
RN_RW = NODE,-1,6,-;
|
|
||||||
SM_AMIGA_7__0 = NODE,*,5,-;
|
|
||||||
RN_RW_000 = NODE,-1,7,-;
|
|
||||||
un16_ciin = NODE,*,4,-;
|
|
||||||
SM_AMIGA_2_ = NODE,*,5,-;
|
|
||||||
RN_IPL_030_0_ = NODE,-1,1,-;
|
|
||||||
RN_DSACK1 = NODE,-1,7,-;
|
|
||||||
RN_IPL_030_1_ = NODE,-1,1,-;
|
|
||||||
RN_BG_000 = NODE,-1,3,-;
|
|
||||||
RN_AS_000 = NODE,-1,3,-;
|
|
||||||
RN_IPL_030_2_ = NODE,-1,1,-;
|
|
||||||
AMIGA_BUS_ENABLE_LOW_0 = NODE,*,4,-;
|
|
||||||
CLK_CNT_N_0_ = NODE,*,4,-;
|
|
||||||
RN_AMIGA_BUS_ENABLE_LOW = NODE,-1,2,-;
|
|
||||||
CLK_000_N_SYNC_10_ = NODE,*,4,-;
|
|
||||||
CLK_000_N_SYNC_9_ = NODE,*,5,-;
|
|
||||||
CLK_000_N_SYNC_8_ = NODE,*,2,-;
|
|
||||||
CLK_000_N_SYNC_7_ = NODE,*,7,-;
|
|
||||||
CLK_000_N_SYNC_5_ = NODE,*,2,-;
|
|
||||||
CLK_000_N_SYNC_4_ = NODE,*,6,-;
|
|
||||||
CLK_000_N_SYNC_3_ = NODE,*,0,-;
|
|
||||||
CLK_000_N_SYNC_2_ = NODE,*,5,-;
|
|
||||||
CLK_000_N_SYNC_1_ = NODE,*,1,-;
|
|
||||||
CLK_000_N_SYNC_0_ = NODE,*,0,-;
|
|
||||||
CLK_000_P_SYNC_8_ = NODE,*,0,-;
|
|
||||||
CLK_000_P_SYNC_7_ = NODE,*,5,-;
|
|
||||||
CLK_000_P_SYNC_6_ = NODE,*,2,-;
|
|
||||||
CLK_000_P_SYNC_5_ = NODE,*,2,-;
|
|
||||||
CLK_000_P_SYNC_4_ = NODE,*,4,-;
|
|
||||||
CLK_000_P_SYNC_3_ = NODE,*,0,-;
|
|
||||||
CLK_000_P_SYNC_2_ = NODE,*,1,-;
|
|
||||||
CLK_000_P_SYNC_1_ = NODE,*,1,-;
|
|
||||||
CLK_000_P_SYNC_0_ = NODE,*,0,-;
|
|
||||||
CLK_CNT_N_1_ = NODE,*,4,-;
|
|
||||||
CLK_CNT_P_1_ = NODE,*,2,-;
|
|
||||||
CLK_000_N_SYNC_6_ = NODE,*,2,-;
|
|
||||||
CLK_000_N_SYNC_11_ = NODE,*,0,-;
|
|
||||||
CLK_000_P_SYNC_9_ = NODE,*,6,-;
|
|
||||||
inst_CLK_OUT_PRE = NODE,*,4,-;
|
|
||||||
inst_CLK_000_D3 = NODE,*,0,-;
|
|
||||||
inst_CLK_000_D2 = NODE,*,6,-;
|
|
||||||
inst_CLK_OUT_PRE_50_D = NODE,*,7,-;
|
|
||||||
inst_VPA_D = NODE,*,2,-;
|
|
||||||
inst_BGACK_030_INT_D = NODE,*,3,-;
|
|
||||||
CLK_OSZI = INPUT,61,-,-;
|
|
|
@ -1,252 +0,0 @@
|
||||||
[DEVICE]
|
|
||||||
|
|
||||||
Family = M4A5;
|
|
||||||
PartType = M4A5-128/64;
|
|
||||||
Package = 100TQFP;
|
|
||||||
PartNumber = M4A5-128/64-10VC;
|
|
||||||
Speed = -10;
|
|
||||||
Operating_condition = COM;
|
|
||||||
EN_Segment = NO;
|
|
||||||
Pin_MC_1to1 = NO;
|
|
||||||
Voltage = 5.0;
|
|
||||||
|
|
||||||
[REVISION]
|
|
||||||
|
|
||||||
RCS = "$Revision: 1.2 $";
|
|
||||||
Parent = m4a5.lci;
|
|
||||||
SDS_file = m4a5.sds;
|
|
||||||
Design = 68030_tk.tt4;
|
|
||||||
Rev = 0.01;
|
|
||||||
DATE = 6/22/14;
|
|
||||||
TIME = 21:24:26;
|
|
||||||
Type = TT2;
|
|
||||||
Pre_Fit_Time = 1;
|
|
||||||
Source_Format = Pure_VHDL;
|
|
||||||
|
|
||||||
[IGNORE ASSIGNMENTS]
|
|
||||||
|
|
||||||
Pin_Assignments = NO;
|
|
||||||
Pin_Keep_Block = NO;
|
|
||||||
Pin_Keep_Segment = NO;
|
|
||||||
Group_Assignments = NO;
|
|
||||||
Macrocell_Assignments = NO;
|
|
||||||
Macrocell_Keep_Block = NO;
|
|
||||||
Macrocell_Keep_Segment = NO;
|
|
||||||
Pin_Reservation = NO;
|
|
||||||
Timing_Constraints = NO;
|
|
||||||
Block_Reservation = NO;
|
|
||||||
Segment_Reservation = NO;
|
|
||||||
Ignore_Source_Location = NO;
|
|
||||||
Ignore_Source_Optimization = NO;
|
|
||||||
Ignore_Source_Timing = NO;
|
|
||||||
|
|
||||||
[CLEAR ASSIGNMENTS]
|
|
||||||
|
|
||||||
Pin_Assignments = NO;
|
|
||||||
Pin_Keep_Block = NO;
|
|
||||||
Pin_Keep_Segment = NO;
|
|
||||||
Group_Assignments = NO;
|
|
||||||
Macrocell_Assignments = NO;
|
|
||||||
Macrocell_Keep_Block = NO;
|
|
||||||
Macrocell_Keep_Segment = NO;
|
|
||||||
Pin_Reservation = NO;
|
|
||||||
Timing_Constraints = NO;
|
|
||||||
Block_Reservation = NO;
|
|
||||||
Segment_Reservation = NO;
|
|
||||||
Ignore_Source_Location = NO;
|
|
||||||
Ignore_Source_Optimization = NO;
|
|
||||||
Ignore_Source_Timing = NO;
|
|
||||||
|
|
||||||
[BACKANNOTATE NETLIST]
|
|
||||||
|
|
||||||
Netlist = VHDL;
|
|
||||||
Delay_File = SDF;
|
|
||||||
Generic_VCC = ;
|
|
||||||
Generic_GND = ;
|
|
||||||
|
|
||||||
[BACKANNOTATE ASSIGNMENTS]
|
|
||||||
|
|
||||||
Pin_Assignment = NO;
|
|
||||||
Pin_Block = NO;
|
|
||||||
Pin_Macrocell_Block = NO;
|
|
||||||
Routing = NO;
|
|
||||||
|
|
||||||
[GLOBAL PROJECT OPTIMIZATION]
|
|
||||||
|
|
||||||
Balanced_Partitioning = YES;
|
|
||||||
Spread_Placement = YES;
|
|
||||||
Max_Pin_Percent = 100;
|
|
||||||
Max_Macrocell_Percent = 100;
|
|
||||||
Max_Inter_Seg_Percent = 100;
|
|
||||||
Max_Seg_In_Percent = 100;
|
|
||||||
Max_Blk_In_Percent = 100;
|
|
||||||
|
|
||||||
[FITTER REPORT FORMAT]
|
|
||||||
|
|
||||||
Fitter_Options = YES;
|
|
||||||
Pinout_Diagram = NO;
|
|
||||||
Pinout_Listing = YES;
|
|
||||||
Detailed_Block_Segment_Summary = YES;
|
|
||||||
Input_Signal_List = YES;
|
|
||||||
Output_Signal_List = YES;
|
|
||||||
Bidir_Signal_List = YES;
|
|
||||||
Node_Signal_List = YES;
|
|
||||||
Signal_Fanout_List = YES;
|
|
||||||
Block_Segment_Fanin_List = YES;
|
|
||||||
Prefit_Eqn = YES;
|
|
||||||
Postfit_Eqn = YES;
|
|
||||||
Page_Break = YES;
|
|
||||||
|
|
||||||
[OPTIMIZATION OPTIONS]
|
|
||||||
|
|
||||||
Logic_Reduction = YES;
|
|
||||||
Max_PTerm_Split = 16;
|
|
||||||
Max_PTerm_Collapse = 16;
|
|
||||||
XOR_Synthesis = YES;
|
|
||||||
Node_Collapse = Yes;
|
|
||||||
DT_Synthesis = Yes;
|
|
||||||
|
|
||||||
[FITTER GLOBAL OPTIONS]
|
|
||||||
|
|
||||||
Run_Time = 0;
|
|
||||||
Set_Reset_Dont_Care = YES;
|
|
||||||
In_Reg_Optimize = YES;
|
|
||||||
Clock_Optimize = NO;
|
|
||||||
Conf_Unused_IOs = OUT_LOW;
|
|
||||||
|
|
||||||
[POWER]
|
|
||||||
Powerlevel = Low, High;
|
|
||||||
Default = High;
|
|
||||||
Type = GLB;
|
|
||||||
|
|
||||||
[HARDWARE DEVICE OPTIONS]
|
|
||||||
Zero_Hold_Time = Yes;
|
|
||||||
Signature_Word = 0;
|
|
||||||
Pull_up = Yes;
|
|
||||||
Out_Slew_Rate = SLOW, FAST, 0;
|
|
||||||
Device_max_fanin = 33;
|
|
||||||
Device_max_pterms = 20;
|
|
||||||
Usercode_Format = Hex;
|
|
||||||
|
|
||||||
[PIN RESERVATIONS]
|
|
||||||
layer = OFF;
|
|
||||||
|
|
||||||
[LOCATION ASSIGNMENT]
|
|
||||||
|
|
||||||
Layer = OFF;
|
|
||||||
A_31_ = INPUT,4, B,-;
|
|
||||||
IPL_2_ = INPUT,68, G,-;
|
|
||||||
FC_1_ = INPUT,58, F,-;
|
|
||||||
A_30_ = INPUT,5, B,-;
|
|
||||||
UDS_000 = BIDIR,32, D,-;
|
|
||||||
A_29_ = INPUT,6, B,-;
|
|
||||||
LDS_000 = BIDIR,31, D,-;
|
|
||||||
A_28_ = INPUT,15, C,-;
|
|
||||||
A_27_ = INPUT,16, C,-;
|
|
||||||
nEXP_SPACE = INPUT,14,-,-;
|
|
||||||
A_26_ = INPUT,17, C,-;
|
|
||||||
BERR = INPUT,41, E,-;
|
|
||||||
A_25_ = INPUT,18, C,-;
|
|
||||||
BG_030 = INPUT,21, C,-;
|
|
||||||
A_24_ = INPUT,19, C,-;
|
|
||||||
A_23_ = INPUT,84, H,-;
|
|
||||||
A_22_ = INPUT,85, H,-;
|
|
||||||
BGACK_000 = INPUT,28, D,-;
|
|
||||||
A_21_ = INPUT,94, A,-;
|
|
||||||
CLK_030 = INPUT,64,-,-;
|
|
||||||
A_20_ = INPUT,93, A,-;
|
|
||||||
CLK_000 = INPUT,11,-,-;
|
|
||||||
A_19_ = INPUT,97, A,-;
|
|
||||||
CLK_OSZI = INPUT,61,-,-;
|
|
||||||
A_18_ = INPUT,95, A,-;
|
|
||||||
CLK_DIV_OUT = OUTPUT,65, G,-;
|
|
||||||
A_17_ = INPUT,59, F,-;
|
|
||||||
A_16_ = INPUT,96, A,-;
|
|
||||||
FPU_CS = OUTPUT,78, H,-;
|
|
||||||
DTACK = BIDIR,30, D,-;
|
|
||||||
IPL_1_ = INPUT,56, F,-;
|
|
||||||
AVEC = OUTPUT,92, A,-;
|
|
||||||
IPL_0_ = INPUT,67, G,-;
|
|
||||||
FC_0_ = INPUT,57, F,-;
|
|
||||||
VPA = INPUT,36,-,-;
|
|
||||||
RST = INPUT,86,-,-;
|
|
||||||
AMIGA_BUS_DATA_DIR = OUTPUT,48, E,-;
|
|
||||||
CIIN = OUTPUT,47, E,-;
|
|
||||||
SIZE_1_ = BIDIR,79, H,-;
|
|
||||||
IPL_030_2_ = OUTPUT,9, B,-;
|
|
||||||
AS_030 = BIDIR,82, H,-;
|
|
||||||
AS_000 = BIDIR,33, D,-;
|
|
||||||
RW_000 = BIDIR,80, H,-;
|
|
||||||
SIZE_0_ = BIDIR,70, G,-;
|
|
||||||
DS_030 = BIDIR,98, A,-;
|
|
||||||
A0 = BIDIR,69, G,-;
|
|
||||||
BG_000 = OUTPUT,29, D,-;
|
|
||||||
BGACK_030 = OUTPUT,83, H,-;
|
|
||||||
CLK_EXP = OUTPUT,10, B,-;
|
|
||||||
IPL_030_1_ = OUTPUT,7, B,-;
|
|
||||||
DSACK1 = BIDIR,81, H,-;
|
|
||||||
IPL_030_0_ = OUTPUT,8, B,-;
|
|
||||||
AVEC_EXP = OUTPUT,22, C,-;
|
|
||||||
E = OUTPUT,66, G,-;
|
|
||||||
VMA = OUTPUT,35, D,-;
|
|
||||||
RESET = OUTPUT,3, B,-;
|
|
||||||
RW = BIDIR,71, G,-;
|
|
||||||
AMIGA_BUS_ENABLE = OUTPUT,34, D,-;
|
|
||||||
AMIGA_BUS_ENABLE_LOW = OUTPUT,20, C,-;
|
|
||||||
inst_AS_030_000_SYNC = NODE,4, C,-;
|
|
||||||
inst_BGACK_030_INT_D = NODE,10, D,-;
|
|
||||||
inst_VPA_D = NODE,10, C,-;
|
|
||||||
inst_CLK_OUT_PRE_50_D = NODE,6, H,-;
|
|
||||||
CLK_CNT_N_0_ = NODE,9, E,-;
|
|
||||||
inst_CLK_OUT_PRE_50 = NODE,13, H,-;
|
|
||||||
inst_CLK_OUT_PRE_25 = NODE,8, A,-;
|
|
||||||
inst_CLK_000_D1 = NODE,4, E,-;
|
|
||||||
inst_CLK_000_D2 = NODE,10, G,-;
|
|
||||||
inst_CLK_000_D3 = NODE,10, A,-;
|
|
||||||
inst_CLK_000_D0 = NODE,0, F,-;
|
|
||||||
inst_CLK_000_NE = NODE,8, C,-;
|
|
||||||
inst_CLK_OUT_PRE_D = NODE,9, H,-;
|
|
||||||
inst_CLK_OUT_PRE = NODE,10, E,-;
|
|
||||||
CLK_000_P_SYNC_9_ = NODE,6, G,-;
|
|
||||||
CLK_000_N_SYNC_11_ = NODE,6, A,-;
|
|
||||||
SM_AMIGA_7_ = NODE,4, F,-;
|
|
||||||
SM_AMIGA_6_ = NODE,9, D,-;
|
|
||||||
SM_AMIGA_1_ = NODE,8, F,-;
|
|
||||||
SM_AMIGA_0_ = NODE,6, D,-;
|
|
||||||
SM_AMIGA_4_ = NODE,13, B,-;
|
|
||||||
CLK_000_N_SYNC_6_ = NODE,6, C,-;
|
|
||||||
inst_CLK_030_H = NODE,12, A,-;
|
|
||||||
CLK_CNT_P_1_ = NODE,2, C,-;
|
|
||||||
CLK_CNT_N_1_ = NODE,6, E,-;
|
|
||||||
CLK_CNT_P_0_ = NODE,8, E,-;
|
|
||||||
inst_LDS_000_INT = NODE,13, G,-;
|
|
||||||
inst_DS_000_ENABLE = NODE,5, B,-;
|
|
||||||
inst_UDS_000_INT = NODE,9, B,-;
|
|
||||||
CLK_000_P_SYNC_0_ = NODE,2, A,-;
|
|
||||||
CLK_000_P_SYNC_1_ = NODE,10, B,-;
|
|
||||||
CLK_000_P_SYNC_2_ = NODE,6, B,-;
|
|
||||||
CLK_000_P_SYNC_3_ = NODE,13, A,-;
|
|
||||||
CLK_000_P_SYNC_4_ = NODE,2, E,-;
|
|
||||||
CLK_000_P_SYNC_5_ = NODE,13, C,-;
|
|
||||||
CLK_000_P_SYNC_6_ = NODE,9, C,-;
|
|
||||||
CLK_000_P_SYNC_7_ = NODE,6, F,-;
|
|
||||||
CLK_000_P_SYNC_8_ = NODE,9, A,-;
|
|
||||||
CLK_000_N_SYNC_0_ = NODE,5, A,-;
|
|
||||||
CLK_000_N_SYNC_1_ = NODE,2, B,-;
|
|
||||||
CLK_000_N_SYNC_2_ = NODE,2, F,-;
|
|
||||||
CLK_000_N_SYNC_3_ = NODE,1, A,-;
|
|
||||||
CLK_000_N_SYNC_4_ = NODE,2, G,-;
|
|
||||||
CLK_000_N_SYNC_5_ = NODE,5, C,-;
|
|
||||||
CLK_000_N_SYNC_7_ = NODE,2, H,-;
|
|
||||||
CLK_000_N_SYNC_8_ = NODE,1, C,-;
|
|
||||||
CLK_000_N_SYNC_9_ = NODE,13, F,-;
|
|
||||||
CLK_000_N_SYNC_10_ = NODE,13, E,-;
|
|
||||||
SM_AMIGA_5_ = NODE,12, F,-;
|
|
||||||
SM_AMIGA_3_ = NODE,1, F,-;
|
|
||||||
SM_AMIGA_2_ = NODE,9, F,-;
|
|
||||||
un16_ciin = NODE,1, E,-;
|
|
||||||
cpu_est_0_ = NODE,2, D,-;
|
|
||||||
cpu_est_1_ = NODE,5, G,-;
|
|
||||||
cpu_est_2_ = NODE,9, G,-;
|
|
||||||
AMIGA_BUS_ENABLE_LOW_0 = NODE,5, E,-;
|
|
||||||
SM_AMIGA_7__0 = NODE,5, F,-;
|
|
|
@ -1,216 +0,0 @@
|
||||||
[DEVICE]
|
|
||||||
Family = M4A5;
|
|
||||||
PartType = M4A5-128/64;
|
|
||||||
Package = 100TQFP;
|
|
||||||
PartNumber = M4A5-128/64-10VC;
|
|
||||||
Speed = -10;
|
|
||||||
Operating_condition = COM;
|
|
||||||
EN_Segment = No;
|
|
||||||
Pin_MC_1to1 = No;
|
|
||||||
EN_PinReserve_IO = Yes;
|
|
||||||
EN_PinReserve_BIDIR = Yes;
|
|
||||||
Voltage = 5.0;
|
|
||||||
|
|
||||||
[REVISION]
|
|
||||||
RCS = "$Revision: 1.2 $";
|
|
||||||
Parent = m4a5.lci;
|
|
||||||
SDS_File = m4a5.sds;
|
|
||||||
DATE = 06/08/2014;
|
|
||||||
TIME = 11:30:13;
|
|
||||||
Source_Format = Pure_VHDL;
|
|
||||||
Type = TT2;
|
|
||||||
Pre_Fit_Time = 1;
|
|
||||||
|
|
||||||
[IGNORE ASSIGNMENTS]
|
|
||||||
Pin_Assignments = No;
|
|
||||||
Pin_Keep_Block = No;
|
|
||||||
Pin_Keep_Segment = No;
|
|
||||||
Group_Assignments = No;
|
|
||||||
Macrocell_Assignments = No;
|
|
||||||
Macrocell_Keep_Block = No;
|
|
||||||
Macrocell_Keep_Segment = No;
|
|
||||||
Pin_Reservation = No;
|
|
||||||
Block_Reservation = No;
|
|
||||||
Segment_Reservation = No;
|
|
||||||
Timing_Constraints = No;
|
|
||||||
|
|
||||||
[CLEAR ASSIGNMENTS]
|
|
||||||
Pin_Assignments = No;
|
|
||||||
Pin_Keep_Block = No;
|
|
||||||
Pin_Keep_Segment = No;
|
|
||||||
Group_Assignments = No;
|
|
||||||
Macrocell_Assignments = No;
|
|
||||||
Macrocell_Keep_Block = No;
|
|
||||||
Macrocell_Keep_Segment = No;
|
|
||||||
Pin_Reservation = No;
|
|
||||||
Block_Reservation = No;
|
|
||||||
Segment_Reservation = No;
|
|
||||||
Timing_Constraints = No;
|
|
||||||
|
|
||||||
[BACKANNOTATE ASSIGNMENTS]
|
|
||||||
Pin_Block = No;
|
|
||||||
Pin_Macrocell_Block = No;
|
|
||||||
Routing = No;
|
|
||||||
|
|
||||||
[GLOBAL PROJECT OPTIMIZATION]
|
|
||||||
Balanced_Partitioning = Yes;
|
|
||||||
Spread_Placement = Yes;
|
|
||||||
Max_Pin_Percent = 100;
|
|
||||||
Max_Macrocell_Percent = 100;
|
|
||||||
Max_Blk_In_Percent = 100;
|
|
||||||
|
|
||||||
[OPTIMIZATION OPTIONS]
|
|
||||||
Logic_Reduction = Yes;
|
|
||||||
Max_PTerm_Split = 16;
|
|
||||||
Max_PTerm_Collapse = 16;
|
|
||||||
XOR_Synthesis = Yes;
|
|
||||||
EN_XOR_Synthesis = Yes;
|
|
||||||
XOR_Gate = Yes;
|
|
||||||
Node_Collapse = Yes;
|
|
||||||
Keep_XOR = Yes;
|
|
||||||
DT_Synthesis = Yes;
|
|
||||||
Clock_PTerm = Min;
|
|
||||||
Reset_PTerm = On;
|
|
||||||
Preset_PTerm = On;
|
|
||||||
Clock_Enable_PTerm = On;
|
|
||||||
Output_Enable_PTerm = On;
|
|
||||||
EN_DT_Synthesis = Yes;
|
|
||||||
Cluster_PTerm = 5;
|
|
||||||
FF_inv = No;
|
|
||||||
EN_Use_CE = No;
|
|
||||||
Use_CE = No;
|
|
||||||
Use_Internal_COM_FB = Yes;
|
|
||||||
EN_use_Internal_COM_FB = Yes;
|
|
||||||
Set_Reset_Swap = No;
|
|
||||||
EN_Set_Reset_Swap = No;
|
|
||||||
Density = No;
|
|
||||||
DeMorgan = Yes;
|
|
||||||
T_FF = Yes;
|
|
||||||
Max_Symbols = 32;
|
|
||||||
|
|
||||||
[FITTER GLOBAL OPTIONS]
|
|
||||||
Run_Time = 0;
|
|
||||||
Set_Reset_Dont_Care = Yes;
|
|
||||||
EN_Set_Reset_Dont_Care = Yes;
|
|
||||||
In_Reg_Optimize = Yes;
|
|
||||||
EN_In_Reg_Optimize = No;
|
|
||||||
Clock_Optimize = No;
|
|
||||||
Global_Clock_As_Pterm = No;
|
|
||||||
Show_Iterations = No;
|
|
||||||
Routing_Attempts = 2;
|
|
||||||
Conf_Unused_IOs = Out_Low;
|
|
||||||
|
|
||||||
[HARDWARE DEVICE OPTIONS]
|
|
||||||
Zero_Hold_Time = Yes;
|
|
||||||
Signature_Word = 0;
|
|
||||||
Pull_up = Yes;
|
|
||||||
Out_Slew_Rate = SLOW,FAST,0;
|
|
||||||
Device_max_fanin = 33;
|
|
||||||
Device_max_pterms = 20;
|
|
||||||
Usercode_Format = Hex;
|
|
||||||
|
|
||||||
[PIN RESERVATIONS]
|
|
||||||
Layer = OFF;
|
|
||||||
|
|
||||||
[LOCATION ASSIGNMENT]
|
|
||||||
Layer = OFF;
|
|
||||||
AS_030 = input,82,H,-;
|
|
||||||
A_16_ = input,96,A,-;
|
|
||||||
A_17_ = input,59,F,-;
|
|
||||||
A_18_ = input,95,A,-;
|
|
||||||
A_19_ = input,97,A,-;
|
|
||||||
BGACK_000 = input,28,D,-;
|
|
||||||
BG_030 = input,21,C,-;
|
|
||||||
CLK_000 = input,11,-,-;
|
|
||||||
CLK_030 = input,64,-,-;
|
|
||||||
CLK_OSZI = input,61,-,-;
|
|
||||||
FC_0_ = input,57,F,-;
|
|
||||||
FC_1_ = input,58,F,-;
|
|
||||||
IPL_0_ = input,67,G,-;
|
|
||||||
IPL_1_ = input,56,F,-;
|
|
||||||
IPL_2_ = input,68,G,-;
|
|
||||||
RST = input,86,-,-;
|
|
||||||
RW = input,71,G,-;
|
|
||||||
SIZE_1_ = input,79,H,-;
|
|
||||||
SIZE_0_ = input,70,G,-;
|
|
||||||
VPA = input,36,-,-;
|
|
||||||
AVEC = input,92,A,-;
|
|
||||||
BGACK_030 = input,83,H,-;
|
|
||||||
BG_000 = input,29,D,-;
|
|
||||||
CLK_DIV_OUT = input,65,G,-;
|
|
||||||
CLK_EXP = input,10,B,-;
|
|
||||||
E = input,66,G,-;
|
|
||||||
FPU_CS = input,78,H,-;
|
|
||||||
IPL_030_0_ = input,8,B,-;
|
|
||||||
IPL_030_1_ = input,7,B,-;
|
|
||||||
IPL_030_2_ = input,9,B,-;
|
|
||||||
LDS_000 = input,31,D,-;
|
|
||||||
UDS_000 = input,32,D,-;
|
|
||||||
VMA = input,35,D,-;
|
|
||||||
AS_000 = input,33,D,-;
|
|
||||||
DTACK = input,30,D,-;
|
|
||||||
RESET = input,3,B,-;
|
|
||||||
AMIGA_BUS_DATA_DIR = input,48,E,-;
|
|
||||||
AMIGA_BUS_ENABLE = input,34,D,-;
|
|
||||||
AMIGA_BUS_ENABLE_LOW = input,20,C,-;
|
|
||||||
CIIN = input,47,E,-;
|
|
||||||
A_20_ = input,93,A,-;
|
|
||||||
A_21_ = input,94,A,-;
|
|
||||||
A_22_ = input,85,H,-;
|
|
||||||
A_23_ = input,84,H,-;
|
|
||||||
A_24_ = input,19,C,-;
|
|
||||||
A_25_ = input,18,C,-;
|
|
||||||
A_26_ = input,17,C,-;
|
|
||||||
A_27_ = input,16,C,-;
|
|
||||||
A_28_ = input,15,C,-;
|
|
||||||
A_29_ = input,6,B,-;
|
|
||||||
A_30_ = input,5,B,-;
|
|
||||||
A_31_ = input,4,B,-;
|
|
||||||
DS_030 = input,98,A,-;
|
|
||||||
AVEC_EXP = input,22,C,-;
|
|
||||||
BERR = input,41,E,-;
|
|
||||||
nEXP_SPACE = input,14,-,-;
|
|
||||||
A0 = input,69,G,-;
|
|
||||||
DSACK1 = input,81,H,-;
|
|
||||||
RW_000 = input,80,H,-;
|
|
||||||
|
|
||||||
[GROUP ASSIGNMENT]
|
|
||||||
Layer = OFF;
|
|
||||||
|
|
||||||
[SPACE RESERVATIONS]
|
|
||||||
Layer = OFF;
|
|
||||||
|
|
||||||
[BACKANNOTATE NETLIST]
|
|
||||||
Delay_File = SDF;
|
|
||||||
Netlist = VHDL;
|
|
||||||
VCC_GND = Cell;
|
|
||||||
|
|
||||||
[FITTER REPORT FORMAT]
|
|
||||||
Fitter_Options = Yes;
|
|
||||||
Pinout_Diagram = No;
|
|
||||||
Pinout_Listing = Yes;
|
|
||||||
Detailed_Block_Segment_Summary = Yes;
|
|
||||||
Input_Signal_List = Yes;
|
|
||||||
Output_Signal_List = Yes;
|
|
||||||
Bidir_Signal_List = Yes;
|
|
||||||
Node_Signal_List = Yes;
|
|
||||||
Signal_Fanout_List = Yes;
|
|
||||||
Block_Segment_Fanin_List = Yes;
|
|
||||||
Postfit_Eqn = Yes;
|
|
||||||
Page_Break = Yes;
|
|
||||||
|
|
||||||
[POWER]
|
|
||||||
Powerlevel = Low,High;
|
|
||||||
Default = High;
|
|
||||||
Type = GLB;
|
|
||||||
|
|
||||||
[SOURCE CONSTRAINT OPTION]
|
|
||||||
Import_source_constraint = Yes;
|
|
||||||
Disable_warning_message = No;
|
|
||||||
|
|
||||||
[TIMING ANALYZER]
|
|
||||||
Last_source=;
|
|
||||||
Last_source_type=Fmax;
|
|
||||||
|
|
||||||
[INPUT REGISTERS]
|
|
||||||
|
|
|
@ -1,16 +0,0 @@
|
||||||
Signal Name Cross Reference File
|
|
||||||
|
|
||||||
ispLEVER Classic 1.7.00.05.28.13
|
|
||||||
|
|
||||||
Design '68030_tk' created Sun Jun 22 21:24:20 2014
|
|
||||||
|
|
||||||
|
|
||||||
LEGEND: '>' Functional Block Port Separator
|
|
||||||
'/' Hierarchy Path Separator
|
|
||||||
'@' Automatically Generated Node
|
|
||||||
|
|
||||||
|
|
||||||
Short Name Hierarchical Name
|
|
||||||
---------- -----------------
|
|
||||||
|
|
||||||
*** Shortened names not required for this design. ***
|
|
1565
Logic/BUS68030.bl0
1565
Logic/BUS68030.bl0
File diff suppressed because it is too large
Load Diff
2199
Logic/BUS68030.bl1
2199
Logic/BUS68030.bl1
File diff suppressed because it is too large
Load Diff
3520
Logic/BUS68030.edi
3520
Logic/BUS68030.edi
File diff suppressed because it is too large
Load Diff
|
@ -1,976 +0,0 @@
|
||||||
EDIF2BLIF version IspLever 1.0 Linked Equations File
|
|
||||||
Copyright(C), 1992-2013, Lattice Semiconductor Corp.
|
|
||||||
All Rights Reserved.
|
|
||||||
|
|
||||||
Design bus68030 created Thu Apr 24 11:58:27 2014
|
|
||||||
|
|
||||||
|
|
||||||
P-Terms Fan-in Fan-out Type Name (attributes)
|
|
||||||
--------- ------ ------- ---- -----------------
|
|
||||||
1/1 1 1 Pin IPL_030_2_
|
|
||||||
1/1 1 1 Pin DSACK_1_
|
|
||||||
1/1 1 1 Pin DSACK_1_.OE
|
|
||||||
1/1 1 1 Pin AS_000
|
|
||||||
1/1 1 1 Pin AS_000.OE
|
|
||||||
1/1 1 1 Pin UDS_000
|
|
||||||
1/1 1 1 Pin UDS_000.OE
|
|
||||||
1/1 1 1 Pin LDS_000
|
|
||||||
1/1 1 1 Pin LDS_000.OE
|
|
||||||
1/1 1 1 Pin BERR
|
|
||||||
1/1 1 1 Pin BERR.OE
|
|
||||||
1/1 1 1 Pin BG_000
|
|
||||||
1/1 1 1 Pin BGACK_030
|
|
||||||
1/1 1 1 Pin CLK_DIV_OUT
|
|
||||||
1/1 1 1 Pin CLK_EXP
|
|
||||||
1/1 1 1 Pin FPU_CS
|
|
||||||
1/1 1 1 Pin DTACK
|
|
||||||
1/1 1 1 Pin DTACK.OE
|
|
||||||
1/1 1 1 Pin AVEC
|
|
||||||
1/1 1 1 Pin E
|
|
||||||
1/1 1 1 Pin VMA
|
|
||||||
1/1 1 1 Pin IPL_030_1_
|
|
||||||
1/1 1 1 Pin IPL_030_0_
|
|
||||||
1/1 1 1 Pin DSACK_0_
|
|
||||||
1/1 1 1 Pin DSACK_0_.OE
|
|
||||||
1 2 1 Node N_41_1
|
|
||||||
1 2 1 Node N_40_1
|
|
||||||
1 1 1 Node vma_int_0_un3_n
|
|
||||||
1 2 1 Node vma_int_0_un1_n
|
|
||||||
1 2 1 Node vma_int_0_un0_n
|
|
||||||
1 1 1 Node uds_000_int_0_un3_n
|
|
||||||
1 2 1 Node uds_000_int_0_un1_n
|
|
||||||
1 2 1 Node uds_000_int_0_un0_n
|
|
||||||
1 1 1 Node cpu_est_3_reg.D
|
|
||||||
1/1 1 1 Node cpu_est_3_reg.C
|
|
||||||
1 1 1 Node lds_000_int_0_un3_n
|
|
||||||
2 2 1 Node inst_VMA_INTreg.D
|
|
||||||
1/1 1 1 Node inst_VMA_INTreg.C
|
|
||||||
1 2 1 Node lds_000_int_0_un1_n
|
|
||||||
1/1 1 1 Node cpu_est_0_.D
|
|
||||||
1/1 1 1 Node cpu_est_0_.C
|
|
||||||
1 2 1 Node lds_000_int_0_un0_n
|
|
||||||
1 1 1 Node cpu_est_1_.D
|
|
||||||
1/1 1 1 Node cpu_est_1_.C
|
|
||||||
1/1 1 1 Node a_23__n
|
|
||||||
1/1 1 1 Node inst_AS_000_INT_D.D
|
|
||||||
1/1 1 1 Node inst_AS_000_INT_D.AP
|
|
||||||
1/1 1 1 Node inst_AS_000_INT_D.C
|
|
||||||
1/1 1 1 Node inst_AS_000_INT_DD.D
|
|
||||||
1/1 1 1 Node inst_AS_000_INT_DD.AP
|
|
||||||
1/1 1 1 Node inst_AS_000_INT_DD.C
|
|
||||||
1/1 1 1 Node a_22__n
|
|
||||||
1 2 1 Node inst_AS_030_AMIGA_ENABLE.D
|
|
||||||
1/1 1 1 Node inst_AS_030_AMIGA_ENABLE.AP
|
|
||||||
1/1 1 1 Node inst_AS_030_AMIGA_ENABLE.C
|
|
||||||
1 0 1 Node vcc_n_n
|
|
||||||
1/1 1 1 Node a_21__n
|
|
||||||
0 0 1 Node gnd_n_n
|
|
||||||
1 2 1 Node cpu_est_2_.D
|
|
||||||
1/1 1 1 Node cpu_est_2_.C
|
|
||||||
1/1 1 1 Node a_20__n
|
|
||||||
1/1 1 1 Node inst_AS_030_delay.D
|
|
||||||
1/1 1 1 Node inst_AS_030_delay.AP
|
|
||||||
1/1 1 1 Node inst_AS_030_delay.C
|
|
||||||
1 2 1 Node DSACK_INT_1_.D
|
|
||||||
1/1 1 1 Node DSACK_INT_1_.AP
|
|
||||||
1/1 1 1 Node DSACK_INT_1_.C
|
|
||||||
1/1 1 1 Node a_15__n
|
|
||||||
1 1 1 Node un1_as_000_int2
|
|
||||||
1/1 1 1 Node a_14__n
|
|
||||||
1 2 1 Node un22_fpu_cs_int
|
|
||||||
1 1 1 Node inst_AS_000_INT.D
|
|
||||||
1/1 1 1 Node inst_AS_000_INT.AP
|
|
||||||
1/1 1 1 Node inst_AS_000_INT.C
|
|
||||||
1/1 1 1 Node a_13__n
|
|
||||||
1 1 1 Node un1_as_000_int2_1
|
|
||||||
1/1 1 1 Node a_12__n
|
|
||||||
1 2 1 Node UDS_000_INT_1_sqmuxa
|
|
||||||
2 2 1 Node inst_LDS_000_INTreg.D
|
|
||||||
1/1 1 1 Node inst_LDS_000_INTreg.AP
|
|
||||||
1/1 1 1 Node inst_LDS_000_INTreg.C
|
|
||||||
1/1 1 1 Node a_11__n
|
|
||||||
2 2 1 Node inst_UDS_000_INTreg.D
|
|
||||||
1/1 1 1 Node inst_UDS_000_INTreg.AP
|
|
||||||
1/1 1 1 Node inst_UDS_000_INTreg.C
|
|
||||||
1 2 1 Node un1_dtack_int
|
|
||||||
1/1 1 1 Node a_10__n
|
|
||||||
1/1 1 1 Node a_9__n
|
|
||||||
1/1 1 1 Node a_8__n
|
|
||||||
1 1 1 Node un5_lds_logic
|
|
||||||
1/1 1 1 Node a_7__n
|
|
||||||
1 1 1 Node N_11
|
|
||||||
1 1 1 Node N_22
|
|
||||||
1/1 1 1 Node a_6__n
|
|
||||||
1 1 1 Node N_32
|
|
||||||
1 1 1 Node N_33
|
|
||||||
1/1 1 1 Node a_5__n
|
|
||||||
1 2 1 Node N_48
|
|
||||||
1 2 1 Node N_39
|
|
||||||
1/1 1 1 Node a_4__n
|
|
||||||
1 2 1 Node N_40
|
|
||||||
1 2 1 Node N_41
|
|
||||||
1/1 1 1 Node a_3__n
|
|
||||||
1 2 1 Node N_42
|
|
||||||
1 2 1 Node N_43
|
|
||||||
1/1 1 1 Node a_2__n
|
|
||||||
1 2 1 Node N_44
|
|
||||||
1 2 1 Node N_45
|
|
||||||
1/1 1 1 Node a_1__n
|
|
||||||
1 2 1 Node N_46
|
|
||||||
1 2 1 Node N_51
|
|
||||||
1/1 1 1 Node d_31__n
|
|
||||||
1 2 1 Node N_52
|
|
||||||
1 2 1 Node N_53
|
|
||||||
1/1 1 1 Node d_30__n
|
|
||||||
1 2 1 Node N_55
|
|
||||||
1 2 1 Node N_57
|
|
||||||
1/1 1 1 Node d_29__n
|
|
||||||
1 1 1 Node N_69
|
|
||||||
1 1 1 Node un22_fpu_cs_int_i
|
|
||||||
1/1 1 1 Node d_28__n
|
|
||||||
1 1 1 Node AS_000_i
|
|
||||||
1 1 1 Node VPA_i
|
|
||||||
1 1 1 Node cpu_est_i_0__n
|
|
||||||
1 1 1 Node AS_030_i
|
|
||||||
1 1 1 Node cpu_est_i_1__n
|
|
||||||
1 1 1 Node cpu_est_i_2__n
|
|
||||||
1 1 1 Node cpu_est_i_3__n
|
|
||||||
1 1 1 Node VMA_INT_i
|
|
||||||
1 1 1 Node AS_000_INT_DD_i
|
|
||||||
1 1 1 Node DTACK_i
|
|
||||||
1 1 1 Node dsack_i_1__n
|
|
||||||
1 1 1 Node RW_i
|
|
||||||
1 1 1 Node BGACK_000_i
|
|
||||||
1 1 1 Node a_i_18__n
|
|
||||||
1 1 1 Node a_i_19__n
|
|
||||||
1 1 1 Node a_i_16__n
|
|
||||||
1 1 1 Node a_i_30__n
|
|
||||||
1 1 1 Node a_i_31__n
|
|
||||||
1 1 1 Node a_i_28__n
|
|
||||||
1 1 1 Node a_i_29__n
|
|
||||||
1 1 1 Node a_i_26__n
|
|
||||||
1 1 1 Node a_i_27__n
|
|
||||||
1 1 1 Node a_i_24__n
|
|
||||||
1 1 1 Node a_i_25__n
|
|
||||||
1 1 1 Node CLK_030_i
|
|
||||||
1 1 1 Node RST_i
|
|
||||||
1 1 1 Node N_48_i
|
|
||||||
1 1 1 Node CLK_000_i
|
|
||||||
1 1 1 Node un1_dtack_int_i
|
|
||||||
1/1 1 1 Node AS_030_c
|
|
||||||
1/1 1 1 Node AS_000_c
|
|
||||||
1/1 1 1 Node size_c_0__n
|
|
||||||
1/1 1 1 Node size_c_1__n
|
|
||||||
1/1 1 1 Node a_c_0__n
|
|
||||||
1/1 1 1 Node a_c_16__n
|
|
||||||
1/1 1 1 Node a_c_17__n
|
|
||||||
1/1 1 1 Node a_c_18__n
|
|
||||||
1/1 1 1 Node a_c_19__n
|
|
||||||
1/1 1 1 Node a_c_24__n
|
|
||||||
1/1 1 1 Node a_c_25__n
|
|
||||||
1/1 1 1 Node a_c_26__n
|
|
||||||
1/1 1 1 Node a_c_27__n
|
|
||||||
1/1 1 1 Node a_c_28__n
|
|
||||||
1/1 1 1 Node a_c_29__n
|
|
||||||
1/1 1 1 Node a_c_30__n
|
|
||||||
1/1 1 1 Node a_c_31__n
|
|
||||||
1/1 1 1 Node CPU_SPACE_c
|
|
||||||
1 1 1 Node BG_000DFFSHreg.D
|
|
||||||
1/1 1 1 Node BG_000DFFSHreg.AP
|
|
||||||
1/1 1 1 Node BG_000DFFSHreg.C
|
|
||||||
1/1 1 1 Node BGACK_000_c
|
|
||||||
1/1 1 1 Node CLK_030_c
|
|
||||||
1/1 1 1 Node CLK_000_c
|
|
||||||
1 1 1 Node CLK_OUT_INTreg.D
|
|
||||||
1/1 1 1 Node CLK_OUT_INTreg.C
|
|
||||||
1/1 1 1 Node IPL_030DFF_0_reg.D
|
|
||||||
1/1 1 1 Node IPL_030DFF_0_reg.C
|
|
||||||
1/1 1 1 Node IPL_030DFF_1_reg.D
|
|
||||||
1/1 1 1 Node IPL_030DFF_1_reg.C
|
|
||||||
1/1 1 1 Node IPL_030DFF_2_reg.D
|
|
||||||
1/1 1 1 Node IPL_030DFF_2_reg.C
|
|
||||||
1/1 1 1 Node dsack_c_1__n
|
|
||||||
1/1 1 1 Node DTACK_c
|
|
||||||
1/1 1 1 Node VPA_c
|
|
||||||
1/1 1 1 Node RST_c
|
|
||||||
1/1 1 1 Node RW_c
|
|
||||||
1/1 1 1 Node fc_c_0__n
|
|
||||||
1/1 1 1 Node fc_c_1__n
|
|
||||||
1 2 1 Node N_70
|
|
||||||
1 2 1 Node cpu_est_11_0_1__n
|
|
||||||
1 1 1 Node N_46_i
|
|
||||||
1 1 1 Node N_55_i
|
|
||||||
1 1 1 Node N_44_i
|
|
||||||
1 1 1 Node N_45_i
|
|
||||||
1 2 1 Node N_33_i
|
|
||||||
1 2 1 Node N_32_i
|
|
||||||
1 2 1 Node N_22_i
|
|
||||||
1 1 1 Node N_51_i
|
|
||||||
1 1 1 Node N_52_i
|
|
||||||
1 1 1 Node N_53_i
|
|
||||||
1 2 1 Node cpu_est_11_0_3__n
|
|
||||||
1 1 1 Node N_42_i
|
|
||||||
1 1 1 Node N_43_i
|
|
||||||
1 1 1 Node N_40_i
|
|
||||||
1 1 1 Node N_41_i
|
|
||||||
1 1 1 Node N_39_i
|
|
||||||
1 1 1 Node N_57_i
|
|
||||||
1 2 1 Node N_11_0
|
|
||||||
1 2 1 Node bg_amiga_un1_as_030_0_n
|
|
||||||
1 2 1 Node N_47_i
|
|
||||||
1 2 1 Node un5_lds_logic_i
|
|
||||||
1 1 1 Node a_c_i_0__n
|
|
||||||
1 1 1 Node size_c_i_1__n
|
|
||||||
1 2 1 Node un1_as_000_int2_1_0
|
|
||||||
1 2 1 Node un1_as_000_int2_0
|
|
||||||
1 1 1 Node N_70_i
|
|
||||||
1 2 1 Node N_69_i
|
|
||||||
1 2 1 Node un22_fpu_cs_int_1
|
|
||||||
1 2 1 Node un22_fpu_cs_int_2
|
|
||||||
1 2 1 Node un22_fpu_cs_int_3
|
|
||||||
1 2 1 Node un22_fpu_cs_int_4
|
|
||||||
1 2 1 Node un22_fpu_cs_int_5
|
|
||||||
1 2 1 Node UDS_000_INT_1_sqmuxa_1
|
|
||||||
1 2 1 Node un5_lds_logic_i_1
|
|
||||||
1 2 1 Node cpu_est_11_0_1_1__n
|
|
||||||
1 2 1 Node cpu_est_11_0_2_1__n
|
|
||||||
1 2 1 Node as_edge_un11_as_030_ne_1_n
|
|
||||||
1 2 1 Node as_edge_un11_as_030_ne_2_n
|
|
||||||
1 2 1 Node as_edge_un11_as_030_ne_3_n
|
|
||||||
1 2 1 Node as_edge_un11_as_030_ne_4_n
|
|
||||||
1 2 1 Node as_edge_un11_as_030_ne_5_n
|
|
||||||
1 2 1 Node as_edge_un11_as_030_ne_6_n
|
|
||||||
1 2 1 Node as_edge_un11_as_030_ne_7_n
|
|
||||||
1 2 1 Node as_edge_un11_as_030_ne_8_n
|
|
||||||
1 2 1 Node as_edge_un11_as_030_ne_9_n
|
|
||||||
1 2 1 Node N_39_1
|
|
||||||
1 2 1 Node N_39_2
|
|
||||||
1 2 1 Node N_39_3
|
|
||||||
1 2 1 Node cpu_est_11_0_1_3__n
|
|
||||||
1 2 1 Node N_15_i_1
|
|
||||||
1 2 1 Node N_53_1
|
|
||||||
1 2 1 Node N_43_1
|
|
||||||
1 2 1 Node N_42_1
|
|
||||||
=========
|
|
||||||
246/110 Best P-Term Total: 246
|
|
||||||
Total Pins: 74
|
|
||||||
Total Nodes: 192
|
|
||||||
Average P-Term/Output: 1
|
|
||||||
|
|
||||||
|
|
||||||
Equations:
|
|
||||||
|
|
||||||
IPL_030_2_ = (IPL_030DFF_2_reg);
|
|
||||||
|
|
||||||
DSACK_1_ = (DSACK_INT_1_);
|
|
||||||
|
|
||||||
DSACK_1_.OE = (CPU_SPACE_c);
|
|
||||||
|
|
||||||
AS_000 = (inst_AS_000_INT);
|
|
||||||
|
|
||||||
AS_000.OE = (N_69_i);
|
|
||||||
|
|
||||||
UDS_000 = (inst_UDS_000_INTreg);
|
|
||||||
|
|
||||||
UDS_000.OE = (N_69_i);
|
|
||||||
|
|
||||||
LDS_000 = (inst_LDS_000_INTreg);
|
|
||||||
|
|
||||||
LDS_000.OE = (N_69_i);
|
|
||||||
|
|
||||||
BERR = (gnd_n_n);
|
|
||||||
|
|
||||||
BERR.OE = (un22_fpu_cs_int);
|
|
||||||
|
|
||||||
BG_000 = (BG_000DFFSHreg);
|
|
||||||
|
|
||||||
BGACK_030 = (N_69_i);
|
|
||||||
|
|
||||||
CLK_DIV_OUT = (CLK_OUT_INTreg);
|
|
||||||
|
|
||||||
CLK_EXP = (CLK_OUT_INTreg);
|
|
||||||
|
|
||||||
FPU_CS = (un22_fpu_cs_int_i);
|
|
||||||
|
|
||||||
DTACK = (un1_dtack_int_i);
|
|
||||||
|
|
||||||
DTACK.OE = (N_69);
|
|
||||||
|
|
||||||
AVEC = (N_47_i);
|
|
||||||
|
|
||||||
E = (cpu_est_3_reg);
|
|
||||||
|
|
||||||
VMA = (inst_VMA_INTreg);
|
|
||||||
|
|
||||||
IPL_030_1_ = (IPL_030DFF_1_reg);
|
|
||||||
|
|
||||||
IPL_030_0_ = (IPL_030DFF_0_reg);
|
|
||||||
|
|
||||||
DSACK_0_ = (vcc_n_n);
|
|
||||||
|
|
||||||
DSACK_0_.OE = (CPU_SPACE_c);
|
|
||||||
|
|
||||||
N_41_1 = (cpu_est_1_ & cpu_est_i_0__n);
|
|
||||||
|
|
||||||
N_40_1 = (N_22 & cpu_est_0_);
|
|
||||||
|
|
||||||
vma_int_0_un3_n = (!N_11);
|
|
||||||
|
|
||||||
vma_int_0_un1_n = (cpu_est_3_reg & N_11);
|
|
||||||
|
|
||||||
vma_int_0_un0_n = (inst_VMA_INTreg & vma_int_0_un3_n);
|
|
||||||
|
|
||||||
uds_000_int_0_un3_n = (!UDS_000_INT_1_sqmuxa);
|
|
||||||
|
|
||||||
uds_000_int_0_un1_n = (inst_UDS_000_INTreg & UDS_000_INT_1_sqmuxa);
|
|
||||||
|
|
||||||
uds_000_int_0_un0_n = (un1_as_000_int2 & uds_000_int_0_un3_n);
|
|
||||||
|
|
||||||
cpu_est_3_reg.D = (!cpu_est_11_0_3__n);
|
|
||||||
|
|
||||||
cpu_est_3_reg.C = (CLK_000_i);
|
|
||||||
|
|
||||||
lds_000_int_0_un3_n = (!UDS_000_INT_1_sqmuxa);
|
|
||||||
|
|
||||||
inst_VMA_INTreg.D = (vma_int_0_un1_n
|
|
||||||
# vma_int_0_un0_n);
|
|
||||||
|
|
||||||
inst_VMA_INTreg.C = (CLK_000_i);
|
|
||||||
|
|
||||||
lds_000_int_0_un1_n = (inst_LDS_000_INTreg & UDS_000_INT_1_sqmuxa);
|
|
||||||
|
|
||||||
cpu_est_0_.D = (cpu_est_i_0__n);
|
|
||||||
|
|
||||||
cpu_est_0_.C = (CLK_000_i);
|
|
||||||
|
|
||||||
lds_000_int_0_un0_n = (un1_as_000_int2_1 & lds_000_int_0_un3_n);
|
|
||||||
|
|
||||||
cpu_est_1_.D = (!cpu_est_11_0_1__n);
|
|
||||||
|
|
||||||
cpu_est_1_.C = (CLK_000_i);
|
|
||||||
|
|
||||||
a_23__n = (A_23_);
|
|
||||||
|
|
||||||
inst_AS_000_INT_D.D = (inst_AS_000_INT);
|
|
||||||
|
|
||||||
inst_AS_000_INT_D.AP = (N_48_i);
|
|
||||||
|
|
||||||
inst_AS_000_INT_D.C = (CLK_000_c);
|
|
||||||
|
|
||||||
inst_AS_000_INT_DD.D = (inst_AS_000_INT_D);
|
|
||||||
|
|
||||||
inst_AS_000_INT_DD.AP = (N_48_i);
|
|
||||||
|
|
||||||
inst_AS_000_INT_DD.C = (CLK_000_c);
|
|
||||||
|
|
||||||
a_22__n = (A_22_);
|
|
||||||
|
|
||||||
inst_AS_030_AMIGA_ENABLE.D = (as_edge_un11_as_030_ne_9_n & as_edge_un11_as_030_ne_8_n);
|
|
||||||
|
|
||||||
inst_AS_030_AMIGA_ENABLE.AP = (RST_i);
|
|
||||||
|
|
||||||
inst_AS_030_AMIGA_ENABLE.C = (CLK_030_i);
|
|
||||||
|
|
||||||
vcc_n_n = (1);
|
|
||||||
|
|
||||||
a_21__n = (A_21_);
|
|
||||||
|
|
||||||
gnd_n_n = (0);
|
|
||||||
|
|
||||||
cpu_est_2_.D = (N_40_i & N_41_i);
|
|
||||||
|
|
||||||
cpu_est_2_.C = (CLK_000_i);
|
|
||||||
|
|
||||||
a_20__n = (A_20_);
|
|
||||||
|
|
||||||
inst_AS_030_delay.D = (AS_030_c);
|
|
||||||
|
|
||||||
inst_AS_030_delay.AP = (RST_i);
|
|
||||||
|
|
||||||
inst_AS_030_delay.C = (CLK_030_i);
|
|
||||||
|
|
||||||
DSACK_INT_1_.D = (N_15_i_1 & N_43_i);
|
|
||||||
|
|
||||||
DSACK_INT_1_.AP = (N_48_i);
|
|
||||||
|
|
||||||
DSACK_INT_1_.C = (CLK_000_c);
|
|
||||||
|
|
||||||
a_15__n = (A_15_);
|
|
||||||
|
|
||||||
un1_as_000_int2 = (!un1_as_000_int2_0);
|
|
||||||
|
|
||||||
a_14__n = (A_14_);
|
|
||||||
|
|
||||||
un22_fpu_cs_int = (un22_fpu_cs_int_4 & un22_fpu_cs_int_5);
|
|
||||||
|
|
||||||
inst_AS_000_INT.D = (!inst_AS_030_AMIGA_ENABLE);
|
|
||||||
|
|
||||||
inst_AS_000_INT.AP = (N_48_i);
|
|
||||||
|
|
||||||
inst_AS_000_INT.C = (CLK_000_c);
|
|
||||||
|
|
||||||
a_13__n = (A_13_);
|
|
||||||
|
|
||||||
un1_as_000_int2_1 = (!un1_as_000_int2_1_0);
|
|
||||||
|
|
||||||
a_12__n = (A_12_);
|
|
||||||
|
|
||||||
UDS_000_INT_1_sqmuxa = (UDS_000_INT_1_sqmuxa_1 & inst_AS_030_AMIGA_ENABLE);
|
|
||||||
|
|
||||||
inst_LDS_000_INTreg.D = (lds_000_int_0_un1_n
|
|
||||||
# lds_000_int_0_un0_n);
|
|
||||||
|
|
||||||
inst_LDS_000_INTreg.AP = (N_48_i);
|
|
||||||
|
|
||||||
inst_LDS_000_INTreg.C = (CLK_000_c);
|
|
||||||
|
|
||||||
a_11__n = (A_11_);
|
|
||||||
|
|
||||||
inst_UDS_000_INTreg.D = (uds_000_int_0_un1_n
|
|
||||||
# uds_000_int_0_un0_n);
|
|
||||||
|
|
||||||
inst_UDS_000_INTreg.AP = (N_48_i);
|
|
||||||
|
|
||||||
inst_UDS_000_INTreg.C = (CLK_000_c);
|
|
||||||
|
|
||||||
un1_dtack_int = (AS_000_i & dsack_i_1__n);
|
|
||||||
|
|
||||||
a_10__n = (A_10_);
|
|
||||||
|
|
||||||
a_9__n = (A_9_);
|
|
||||||
|
|
||||||
a_8__n = (A_8_);
|
|
||||||
|
|
||||||
un5_lds_logic = (!un5_lds_logic_i);
|
|
||||||
|
|
||||||
a_7__n = (A_7_);
|
|
||||||
|
|
||||||
N_11 = (!N_11_0);
|
|
||||||
|
|
||||||
N_22 = (!N_22_i);
|
|
||||||
|
|
||||||
a_6__n = (A_6_);
|
|
||||||
|
|
||||||
N_32 = (!N_32_i);
|
|
||||||
|
|
||||||
N_33 = (!N_33_i);
|
|
||||||
|
|
||||||
a_5__n = (A_5_);
|
|
||||||
|
|
||||||
N_48 = (AS_030_i & RST_c);
|
|
||||||
|
|
||||||
N_39 = (N_39_3 & cpu_est_i_0__n);
|
|
||||||
|
|
||||||
a_4__n = (A_4_);
|
|
||||||
|
|
||||||
N_40 = (N_40_1 & cpu_est_i_3__n);
|
|
||||||
|
|
||||||
N_41 = (N_41_1 & cpu_est_i_2__n);
|
|
||||||
|
|
||||||
a_3__n = (A_3_);
|
|
||||||
|
|
||||||
N_42 = (N_42_1 & VPA_c);
|
|
||||||
|
|
||||||
N_43 = (N_43_1 & VPA_i);
|
|
||||||
|
|
||||||
a_2__n = (A_2_);
|
|
||||||
|
|
||||||
N_44 = (N_32 & cpu_est_i_0__n);
|
|
||||||
|
|
||||||
N_45 = (cpu_est_i_2__n & cpu_est_i_3__n);
|
|
||||||
|
|
||||||
a_1__n = (A_1_);
|
|
||||||
|
|
||||||
N_46 = (N_32_i & cpu_est_0_);
|
|
||||||
|
|
||||||
N_51 = (N_33 & cpu_est_3_reg);
|
|
||||||
|
|
||||||
d_31__n = (D_31_);
|
|
||||||
|
|
||||||
N_52 = (N_33_i & cpu_est_i_2__n);
|
|
||||||
|
|
||||||
N_53 = (N_53_1 & cpu_est_i_2__n);
|
|
||||||
|
|
||||||
d_30__n = (D_30_);
|
|
||||||
|
|
||||||
N_55 = (N_22_i & cpu_est_3_reg);
|
|
||||||
|
|
||||||
N_57 = (N_55 & cpu_est_0_);
|
|
||||||
|
|
||||||
d_29__n = (D_29_);
|
|
||||||
|
|
||||||
N_69 = (!N_69_i);
|
|
||||||
|
|
||||||
un22_fpu_cs_int_i = (!un22_fpu_cs_int);
|
|
||||||
|
|
||||||
d_28__n = (D_28_);
|
|
||||||
|
|
||||||
AS_000_i = (!AS_000_c);
|
|
||||||
|
|
||||||
VPA_i = (!VPA_c);
|
|
||||||
|
|
||||||
cpu_est_i_0__n = (!cpu_est_0_);
|
|
||||||
|
|
||||||
AS_030_i = (!AS_030_c);
|
|
||||||
|
|
||||||
cpu_est_i_1__n = (!cpu_est_1_);
|
|
||||||
|
|
||||||
cpu_est_i_2__n = (!cpu_est_2_);
|
|
||||||
|
|
||||||
cpu_est_i_3__n = (!cpu_est_3_reg);
|
|
||||||
|
|
||||||
VMA_INT_i = (!inst_VMA_INTreg);
|
|
||||||
|
|
||||||
AS_000_INT_DD_i = (!inst_AS_000_INT_DD);
|
|
||||||
|
|
||||||
DTACK_i = (!DTACK_c);
|
|
||||||
|
|
||||||
dsack_i_1__n = (!dsack_c_1__n);
|
|
||||||
|
|
||||||
RW_i = (!RW_c);
|
|
||||||
|
|
||||||
BGACK_000_i = (!BGACK_000_c);
|
|
||||||
|
|
||||||
a_i_18__n = (!a_c_18__n);
|
|
||||||
|
|
||||||
a_i_19__n = (!a_c_19__n);
|
|
||||||
|
|
||||||
a_i_16__n = (!a_c_16__n);
|
|
||||||
|
|
||||||
a_i_30__n = (!a_c_30__n);
|
|
||||||
|
|
||||||
a_i_31__n = (!a_c_31__n);
|
|
||||||
|
|
||||||
a_i_28__n = (!a_c_28__n);
|
|
||||||
|
|
||||||
a_i_29__n = (!a_c_29__n);
|
|
||||||
|
|
||||||
a_i_26__n = (!a_c_26__n);
|
|
||||||
|
|
||||||
a_i_27__n = (!a_c_27__n);
|
|
||||||
|
|
||||||
a_i_24__n = (!a_c_24__n);
|
|
||||||
|
|
||||||
a_i_25__n = (!a_c_25__n);
|
|
||||||
|
|
||||||
CLK_030_i = (!CLK_030_c);
|
|
||||||
|
|
||||||
RST_i = (!RST_c);
|
|
||||||
|
|
||||||
N_48_i = (!N_48);
|
|
||||||
|
|
||||||
CLK_000_i = (!CLK_000_c);
|
|
||||||
|
|
||||||
un1_dtack_int_i = (!un1_dtack_int);
|
|
||||||
|
|
||||||
AS_030_c = (AS_030);
|
|
||||||
|
|
||||||
AS_000_c = (AS_000.PIN);
|
|
||||||
|
|
||||||
size_c_0__n = (SIZE_0_);
|
|
||||||
|
|
||||||
size_c_1__n = (SIZE_1_);
|
|
||||||
|
|
||||||
a_c_0__n = (A_0_);
|
|
||||||
|
|
||||||
a_c_16__n = (A_16_);
|
|
||||||
|
|
||||||
a_c_17__n = (A_17_);
|
|
||||||
|
|
||||||
a_c_18__n = (A_18_);
|
|
||||||
|
|
||||||
a_c_19__n = (A_19_);
|
|
||||||
|
|
||||||
a_c_24__n = (A_24_);
|
|
||||||
|
|
||||||
a_c_25__n = (A_25_);
|
|
||||||
|
|
||||||
a_c_26__n = (A_26_);
|
|
||||||
|
|
||||||
a_c_27__n = (A_27_);
|
|
||||||
|
|
||||||
a_c_28__n = (A_28_);
|
|
||||||
|
|
||||||
a_c_29__n = (A_29_);
|
|
||||||
|
|
||||||
a_c_30__n = (A_30_);
|
|
||||||
|
|
||||||
a_c_31__n = (A_31_);
|
|
||||||
|
|
||||||
CPU_SPACE_c = (CPU_SPACE);
|
|
||||||
|
|
||||||
BG_000DFFSHreg.D = (!bg_amiga_un1_as_030_0_n);
|
|
||||||
|
|
||||||
BG_000DFFSHreg.AP = (BG_030);
|
|
||||||
|
|
||||||
BG_000DFFSHreg.C = (CLK_000_i);
|
|
||||||
|
|
||||||
BGACK_000_c = (BGACK_000);
|
|
||||||
|
|
||||||
CLK_030_c = (CLK_030);
|
|
||||||
|
|
||||||
CLK_000_c = (CLK_000);
|
|
||||||
|
|
||||||
CLK_OUT_INTreg.D = (!CLK_OUT_INTreg);
|
|
||||||
|
|
||||||
CLK_OUT_INTreg.C = (CLK_OSZI);
|
|
||||||
|
|
||||||
IPL_030DFF_0_reg.D = (IPL_0_);
|
|
||||||
|
|
||||||
IPL_030DFF_0_reg.C = (CLK_000_c);
|
|
||||||
|
|
||||||
IPL_030DFF_1_reg.D = (IPL_1_);
|
|
||||||
|
|
||||||
IPL_030DFF_1_reg.C = (CLK_000_c);
|
|
||||||
|
|
||||||
IPL_030DFF_2_reg.D = (IPL_2_);
|
|
||||||
|
|
||||||
IPL_030DFF_2_reg.C = (CLK_000_c);
|
|
||||||
|
|
||||||
dsack_c_1__n = (DSACK_1_.PIN);
|
|
||||||
|
|
||||||
DTACK_c = (DTACK.PIN);
|
|
||||||
|
|
||||||
VPA_c = (VPA);
|
|
||||||
|
|
||||||
RST_c = (RST);
|
|
||||||
|
|
||||||
RW_c = (RW);
|
|
||||||
|
|
||||||
fc_c_0__n = (FC_0_);
|
|
||||||
|
|
||||||
fc_c_1__n = (FC_1_);
|
|
||||||
|
|
||||||
N_70 = (CLK_000_i & N_69);
|
|
||||||
|
|
||||||
cpu_est_11_0_1__n = (cpu_est_11_0_1_1__n & cpu_est_11_0_2_1__n);
|
|
||||||
|
|
||||||
N_46_i = (!N_46);
|
|
||||||
|
|
||||||
N_55_i = (!N_55);
|
|
||||||
|
|
||||||
N_44_i = (!N_44);
|
|
||||||
|
|
||||||
N_45_i = (!N_45);
|
|
||||||
|
|
||||||
N_33_i = (cpu_est_0_ & cpu_est_1_);
|
|
||||||
|
|
||||||
N_32_i = (cpu_est_i_1__n & cpu_est_i_3__n);
|
|
||||||
|
|
||||||
N_22_i = (cpu_est_1_ & cpu_est_2_);
|
|
||||||
|
|
||||||
N_51_i = (!N_51);
|
|
||||||
|
|
||||||
N_52_i = (!N_52);
|
|
||||||
|
|
||||||
N_53_i = (!N_53);
|
|
||||||
|
|
||||||
cpu_est_11_0_3__n = (cpu_est_11_0_1_3__n & N_52_i);
|
|
||||||
|
|
||||||
N_42_i = (!N_42);
|
|
||||||
|
|
||||||
N_43_i = (!N_43);
|
|
||||||
|
|
||||||
N_40_i = (!N_40);
|
|
||||||
|
|
||||||
N_41_i = (!N_41);
|
|
||||||
|
|
||||||
N_39_i = (!N_39);
|
|
||||||
|
|
||||||
N_57_i = (!N_57);
|
|
||||||
|
|
||||||
N_11_0 = (N_39_i & N_57_i);
|
|
||||||
|
|
||||||
bg_amiga_un1_as_030_0_n = (AS_030_c & CPU_SPACE_c);
|
|
||||||
|
|
||||||
N_47_i = (CPU_SPACE_c & VPA_c);
|
|
||||||
|
|
||||||
un5_lds_logic_i = (un5_lds_logic_i_1 & size_c_0__n);
|
|
||||||
|
|
||||||
a_c_i_0__n = (!a_c_0__n);
|
|
||||||
|
|
||||||
size_c_i_1__n = (!size_c_1__n);
|
|
||||||
|
|
||||||
un1_as_000_int2_1_0 = (inst_AS_030_AMIGA_ENABLE & un5_lds_logic);
|
|
||||||
|
|
||||||
un1_as_000_int2_0 = (inst_AS_030_AMIGA_ENABLE & a_c_i_0__n);
|
|
||||||
|
|
||||||
N_70_i = (!N_70);
|
|
||||||
|
|
||||||
N_69_i = (BGACK_000_c & N_70_i);
|
|
||||||
|
|
||||||
un22_fpu_cs_int_1 = (a_c_17__n & a_i_16__n);
|
|
||||||
|
|
||||||
un22_fpu_cs_int_2 = (a_i_18__n & a_i_19__n);
|
|
||||||
|
|
||||||
un22_fpu_cs_int_3 = (fc_c_1__n & BGACK_000_i);
|
|
||||||
|
|
||||||
un22_fpu_cs_int_4 = (un22_fpu_cs_int_1 & un22_fpu_cs_int_2);
|
|
||||||
|
|
||||||
un22_fpu_cs_int_5 = (un22_fpu_cs_int_3 & fc_c_0__n);
|
|
||||||
|
|
||||||
UDS_000_INT_1_sqmuxa_1 = (RW_i & inst_AS_000_INT_D);
|
|
||||||
|
|
||||||
un5_lds_logic_i_1 = (size_c_i_1__n & a_c_i_0__n);
|
|
||||||
|
|
||||||
cpu_est_11_0_1_1__n = (N_44_i & N_45_i);
|
|
||||||
|
|
||||||
cpu_est_11_0_2_1__n = (N_46_i & N_55_i);
|
|
||||||
|
|
||||||
as_edge_un11_as_030_ne_1_n = (CPU_SPACE_c & a_i_30__n);
|
|
||||||
|
|
||||||
as_edge_un11_as_030_ne_2_n = (a_i_31__n & inst_AS_030_delay);
|
|
||||||
|
|
||||||
as_edge_un11_as_030_ne_3_n = (AS_030_i & a_i_24__n);
|
|
||||||
|
|
||||||
as_edge_un11_as_030_ne_4_n = (a_i_25__n & a_i_26__n);
|
|
||||||
|
|
||||||
as_edge_un11_as_030_ne_5_n = (a_i_27__n & a_i_28__n);
|
|
||||||
|
|
||||||
as_edge_un11_as_030_ne_6_n = (as_edge_un11_as_030_ne_1_n & as_edge_un11_as_030_ne_2_n);
|
|
||||||
|
|
||||||
as_edge_un11_as_030_ne_7_n = (as_edge_un11_as_030_ne_3_n & as_edge_un11_as_030_ne_4_n);
|
|
||||||
|
|
||||||
as_edge_un11_as_030_ne_8_n = (as_edge_un11_as_030_ne_5_n & a_i_29__n);
|
|
||||||
|
|
||||||
as_edge_un11_as_030_ne_9_n = (as_edge_un11_as_030_ne_6_n & as_edge_un11_as_030_ne_7_n);
|
|
||||||
|
|
||||||
N_39_1 = (AS_000_i & N_32_i);
|
|
||||||
|
|
||||||
N_39_2 = (VPA_i & cpu_est_2_);
|
|
||||||
|
|
||||||
N_39_3 = (N_39_1 & N_39_2);
|
|
||||||
|
|
||||||
cpu_est_11_0_1_3__n = (N_53_i & N_51_i);
|
|
||||||
|
|
||||||
N_15_i_1 = (DSACK_INT_1_ & N_42_i);
|
|
||||||
|
|
||||||
N_53_1 = (cpu_est_i_0__n & cpu_est_i_1__n);
|
|
||||||
|
|
||||||
N_43_1 = (N_57 & VMA_INT_i);
|
|
||||||
|
|
||||||
N_42_1 = (AS_000_INT_DD_i & DTACK_i);
|
|
||||||
|
|
||||||
|
|
||||||
Reverse-Polarity Equations:
|
|
||||||
|
|
||||||
!IPL_030_2_ = (!IPL_030DFF_2_reg);
|
|
||||||
|
|
||||||
!DSACK_1_ = (!DSACK_INT_1_);
|
|
||||||
|
|
||||||
!DSACK_1_.OE = (!CPU_SPACE_c);
|
|
||||||
|
|
||||||
!AS_000 = (!inst_AS_000_INT);
|
|
||||||
|
|
||||||
!AS_000.OE = (!N_69_i);
|
|
||||||
|
|
||||||
!UDS_000 = (!inst_UDS_000_INTreg);
|
|
||||||
|
|
||||||
!UDS_000.OE = (!N_69_i);
|
|
||||||
|
|
||||||
!LDS_000 = (!inst_LDS_000_INTreg);
|
|
||||||
|
|
||||||
!LDS_000.OE = (!N_69_i);
|
|
||||||
|
|
||||||
!BERR = (!gnd_n_n);
|
|
||||||
|
|
||||||
!BERR.OE = (!un22_fpu_cs_int);
|
|
||||||
|
|
||||||
!BG_000 = (!BG_000DFFSHreg);
|
|
||||||
|
|
||||||
!BGACK_030 = (!N_69_i);
|
|
||||||
|
|
||||||
!CLK_DIV_OUT = (!CLK_OUT_INTreg);
|
|
||||||
|
|
||||||
!CLK_EXP = (!CLK_OUT_INTreg);
|
|
||||||
|
|
||||||
!FPU_CS = (!un22_fpu_cs_int_i);
|
|
||||||
|
|
||||||
!DTACK = (!un1_dtack_int_i);
|
|
||||||
|
|
||||||
!DTACK.OE = (!N_69);
|
|
||||||
|
|
||||||
!AVEC = (!N_47_i);
|
|
||||||
|
|
||||||
!E = (!cpu_est_3_reg);
|
|
||||||
|
|
||||||
!VMA = (!inst_VMA_INTreg);
|
|
||||||
|
|
||||||
!IPL_030_1_ = (!IPL_030DFF_1_reg);
|
|
||||||
|
|
||||||
!IPL_030_0_ = (!IPL_030DFF_0_reg);
|
|
||||||
|
|
||||||
!DSACK_0_ = (!vcc_n_n);
|
|
||||||
|
|
||||||
!DSACK_0_.OE = (!CPU_SPACE_c);
|
|
||||||
|
|
||||||
!cpu_est_3_reg.C = (!CLK_000_i);
|
|
||||||
|
|
||||||
!inst_VMA_INTreg.C = (!CLK_000_i);
|
|
||||||
|
|
||||||
!cpu_est_0_.D = (!cpu_est_i_0__n);
|
|
||||||
|
|
||||||
!cpu_est_0_.C = (!CLK_000_i);
|
|
||||||
|
|
||||||
!cpu_est_1_.C = (!CLK_000_i);
|
|
||||||
|
|
||||||
!a_23__n = (!A_23_);
|
|
||||||
|
|
||||||
!inst_AS_000_INT_D.D = (!inst_AS_000_INT);
|
|
||||||
|
|
||||||
!inst_AS_000_INT_D.AP = (!N_48_i);
|
|
||||||
|
|
||||||
!inst_AS_000_INT_D.C = (!CLK_000_c);
|
|
||||||
|
|
||||||
!inst_AS_000_INT_DD.D = (!inst_AS_000_INT_D);
|
|
||||||
|
|
||||||
!inst_AS_000_INT_DD.AP = (!N_48_i);
|
|
||||||
|
|
||||||
!inst_AS_000_INT_DD.C = (!CLK_000_c);
|
|
||||||
|
|
||||||
!a_22__n = (!A_22_);
|
|
||||||
|
|
||||||
!inst_AS_030_AMIGA_ENABLE.AP = (!RST_i);
|
|
||||||
|
|
||||||
!inst_AS_030_AMIGA_ENABLE.C = (!CLK_030_i);
|
|
||||||
|
|
||||||
!a_21__n = (!A_21_);
|
|
||||||
|
|
||||||
!cpu_est_2_.C = (!CLK_000_i);
|
|
||||||
|
|
||||||
!a_20__n = (!A_20_);
|
|
||||||
|
|
||||||
!inst_AS_030_delay.D = (!AS_030_c);
|
|
||||||
|
|
||||||
!inst_AS_030_delay.AP = (!RST_i);
|
|
||||||
|
|
||||||
!inst_AS_030_delay.C = (!CLK_030_i);
|
|
||||||
|
|
||||||
!DSACK_INT_1_.AP = (!N_48_i);
|
|
||||||
|
|
||||||
!DSACK_INT_1_.C = (!CLK_000_c);
|
|
||||||
|
|
||||||
!a_15__n = (!A_15_);
|
|
||||||
|
|
||||||
!a_14__n = (!A_14_);
|
|
||||||
|
|
||||||
!inst_AS_000_INT.AP = (!N_48_i);
|
|
||||||
|
|
||||||
!inst_AS_000_INT.C = (!CLK_000_c);
|
|
||||||
|
|
||||||
!a_13__n = (!A_13_);
|
|
||||||
|
|
||||||
!a_12__n = (!A_12_);
|
|
||||||
|
|
||||||
!inst_LDS_000_INTreg.AP = (!N_48_i);
|
|
||||||
|
|
||||||
!inst_LDS_000_INTreg.C = (!CLK_000_c);
|
|
||||||
|
|
||||||
!a_11__n = (!A_11_);
|
|
||||||
|
|
||||||
!inst_UDS_000_INTreg.AP = (!N_48_i);
|
|
||||||
|
|
||||||
!inst_UDS_000_INTreg.C = (!CLK_000_c);
|
|
||||||
|
|
||||||
!a_10__n = (!A_10_);
|
|
||||||
|
|
||||||
!a_9__n = (!A_9_);
|
|
||||||
|
|
||||||
!a_8__n = (!A_8_);
|
|
||||||
|
|
||||||
!a_7__n = (!A_7_);
|
|
||||||
|
|
||||||
!a_6__n = (!A_6_);
|
|
||||||
|
|
||||||
!a_5__n = (!A_5_);
|
|
||||||
|
|
||||||
!a_4__n = (!A_4_);
|
|
||||||
|
|
||||||
!a_3__n = (!A_3_);
|
|
||||||
|
|
||||||
!a_2__n = (!A_2_);
|
|
||||||
|
|
||||||
!a_1__n = (!A_1_);
|
|
||||||
|
|
||||||
!d_31__n = (!D_31_);
|
|
||||||
|
|
||||||
!d_30__n = (!D_30_);
|
|
||||||
|
|
||||||
!d_29__n = (!D_29_);
|
|
||||||
|
|
||||||
!d_28__n = (!D_28_);
|
|
||||||
|
|
||||||
!AS_030_c = (!AS_030);
|
|
||||||
|
|
||||||
!AS_000_c = (!AS_000.PIN);
|
|
||||||
|
|
||||||
!size_c_0__n = (!SIZE_0_);
|
|
||||||
|
|
||||||
!size_c_1__n = (!SIZE_1_);
|
|
||||||
|
|
||||||
!a_c_0__n = (!A_0_);
|
|
||||||
|
|
||||||
!a_c_16__n = (!A_16_);
|
|
||||||
|
|
||||||
!a_c_17__n = (!A_17_);
|
|
||||||
|
|
||||||
!a_c_18__n = (!A_18_);
|
|
||||||
|
|
||||||
!a_c_19__n = (!A_19_);
|
|
||||||
|
|
||||||
!a_c_24__n = (!A_24_);
|
|
||||||
|
|
||||||
!a_c_25__n = (!A_25_);
|
|
||||||
|
|
||||||
!a_c_26__n = (!A_26_);
|
|
||||||
|
|
||||||
!a_c_27__n = (!A_27_);
|
|
||||||
|
|
||||||
!a_c_28__n = (!A_28_);
|
|
||||||
|
|
||||||
!a_c_29__n = (!A_29_);
|
|
||||||
|
|
||||||
!a_c_30__n = (!A_30_);
|
|
||||||
|
|
||||||
!a_c_31__n = (!A_31_);
|
|
||||||
|
|
||||||
!CPU_SPACE_c = (!CPU_SPACE);
|
|
||||||
|
|
||||||
!BG_000DFFSHreg.AP = (!BG_030);
|
|
||||||
|
|
||||||
!BG_000DFFSHreg.C = (!CLK_000_i);
|
|
||||||
|
|
||||||
!BGACK_000_c = (!BGACK_000);
|
|
||||||
|
|
||||||
!CLK_030_c = (!CLK_030);
|
|
||||||
|
|
||||||
!CLK_000_c = (!CLK_000);
|
|
||||||
|
|
||||||
!CLK_OUT_INTreg.C = (!CLK_OSZI);
|
|
||||||
|
|
||||||
!IPL_030DFF_0_reg.D = (!IPL_0_);
|
|
||||||
|
|
||||||
!IPL_030DFF_0_reg.C = (!CLK_000_c);
|
|
||||||
|
|
||||||
!IPL_030DFF_1_reg.D = (!IPL_1_);
|
|
||||||
|
|
||||||
!IPL_030DFF_1_reg.C = (!CLK_000_c);
|
|
||||||
|
|
||||||
!IPL_030DFF_2_reg.D = (!IPL_2_);
|
|
||||||
|
|
||||||
!IPL_030DFF_2_reg.C = (!CLK_000_c);
|
|
||||||
|
|
||||||
!dsack_c_1__n = (!DSACK_1_.PIN);
|
|
||||||
|
|
||||||
!DTACK_c = (!DTACK.PIN);
|
|
||||||
|
|
||||||
!VPA_c = (!VPA);
|
|
||||||
|
|
||||||
!RST_c = (!RST);
|
|
||||||
|
|
||||||
!RW_c = (!RW);
|
|
||||||
|
|
||||||
!fc_c_0__n = (!FC_0_);
|
|
||||||
|
|
||||||
!fc_c_1__n = (!FC_1_);
|
|
||||||
|
|
|
@ -1,46 +1,46 @@
|
||||||
|
|
||||||
fsm_encoding {7138321381} onehot
|
fsm_encoding {7140321401} onehot
|
||||||
|
|
||||||
fsm_state_encoding {7138321381} idle_p {00000001}
|
fsm_state_encoding {7140321401} idle_p {00000001}
|
||||||
|
|
||||||
fsm_state_encoding {7138321381} idle_n {00000010}
|
fsm_state_encoding {7140321401} idle_n {00000010}
|
||||||
|
|
||||||
fsm_state_encoding {7138321381} as_set_p {00000100}
|
fsm_state_encoding {7140321401} as_set_p {00000100}
|
||||||
|
|
||||||
fsm_state_encoding {7138321381} as_set_n {00001000}
|
fsm_state_encoding {7140321401} as_set_n {00001000}
|
||||||
|
|
||||||
fsm_state_encoding {7138321381} sample_dtack_p {00010000}
|
fsm_state_encoding {7140321401} sample_dtack_p {00010000}
|
||||||
|
|
||||||
fsm_state_encoding {7138321381} data_fetch_n {00100000}
|
fsm_state_encoding {7140321401} data_fetch_n {00100000}
|
||||||
|
|
||||||
fsm_state_encoding {7138321381} data_fetch_p {01000000}
|
fsm_state_encoding {7140321401} data_fetch_p {01000000}
|
||||||
|
|
||||||
fsm_state_encoding {7138321381} end_cycle_n {10000000}
|
fsm_state_encoding {7140321401} end_cycle_n {10000000}
|
||||||
|
|
||||||
fsm_registers {7138321381} {SM_AMIGA[0]} {SM_AMIGA[1]} {SM_AMIGA[2]} {SM_AMIGA[3]} {SM_AMIGA[4]} {SM_AMIGA[5]} {SM_AMIGA[6]} {SM_AMIGA[7]}
|
fsm_registers {7140321401} {SM_AMIGA[0]} {SM_AMIGA[1]} {SM_AMIGA[2]} {SM_AMIGA[3]} {SM_AMIGA[4]} {SM_AMIGA[5]} {SM_AMIGA[6]} {SM_AMIGA[7]}
|
||||||
|
|
||||||
fsm_encoding {7126341262} original
|
fsm_encoding {7128341282} original
|
||||||
|
|
||||||
fsm_state_encoding {7126341262} e20 {0000}
|
fsm_state_encoding {7128341282} e20 {0000}
|
||||||
|
|
||||||
fsm_state_encoding {7126341262} e5 {0010}
|
fsm_state_encoding {7128341282} e5 {0010}
|
||||||
|
|
||||||
fsm_state_encoding {7126341262} e6 {0011}
|
fsm_state_encoding {7128341282} e6 {0011}
|
||||||
|
|
||||||
fsm_state_encoding {7126341262} e3 {0100}
|
fsm_state_encoding {7128341282} e3 {0100}
|
||||||
|
|
||||||
fsm_state_encoding {7126341262} e4 {0101}
|
fsm_state_encoding {7128341282} e4 {0101}
|
||||||
|
|
||||||
fsm_state_encoding {7126341262} e1 {0110}
|
fsm_state_encoding {7128341282} e1 {0110}
|
||||||
|
|
||||||
fsm_state_encoding {7126341262} e2 {0111}
|
fsm_state_encoding {7128341282} e2 {0111}
|
||||||
|
|
||||||
fsm_state_encoding {7126341262} e7 {1010}
|
fsm_state_encoding {7128341282} e7 {1010}
|
||||||
|
|
||||||
fsm_state_encoding {7126341262} e8 {1011}
|
fsm_state_encoding {7128341282} e8 {1011}
|
||||||
|
|
||||||
fsm_state_encoding {7126341262} e9 {1100}
|
fsm_state_encoding {7128341282} e9 {1100}
|
||||||
|
|
||||||
fsm_state_encoding {7126341262} e10 {1111}
|
fsm_state_encoding {7128341282} e10 {1111}
|
||||||
|
|
||||||
fsm_registers {7126341262} {cpu_est[3]} {cpu_est[2]} {cpu_est[1]} {cpu_est[0]}
|
fsm_registers {7128341282} {cpu_est[3]} {cpu_est[2]} {cpu_est[1]} {cpu_est[0]}
|
||||||
|
|
|
@ -1,59 +0,0 @@
|
||||||
AS_030 b
|
|
||||||
AS_000 b
|
|
||||||
RW_000 b
|
|
||||||
DS_030 b
|
|
||||||
UDS_000 b
|
|
||||||
LDS_000 b
|
|
||||||
SIZE[1] b
|
|
||||||
SIZE[0] b
|
|
||||||
A[31] i
|
|
||||||
A[30] i
|
|
||||||
A[29] i
|
|
||||||
A[28] i
|
|
||||||
A[27] i
|
|
||||||
A[26] i
|
|
||||||
A[25] i
|
|
||||||
A[24] i
|
|
||||||
A[23] i
|
|
||||||
A[22] i
|
|
||||||
A[21] i
|
|
||||||
A[20] i
|
|
||||||
A[19] i
|
|
||||||
A[18] i
|
|
||||||
A[17] i
|
|
||||||
A[16] i
|
|
||||||
A0 b
|
|
||||||
nEXP_SPACE i
|
|
||||||
BERR b
|
|
||||||
BG_030 i
|
|
||||||
BG_000 o
|
|
||||||
BGACK_030 o
|
|
||||||
BGACK_000 i
|
|
||||||
CLK_030 i
|
|
||||||
CLK_000 i
|
|
||||||
CLK_OSZI i
|
|
||||||
CLK_DIV_OUT o
|
|
||||||
CLK_EXP o
|
|
||||||
FPU_CS o
|
|
||||||
IPL_030[2] o
|
|
||||||
IPL_030[1] o
|
|
||||||
IPL_030[0] o
|
|
||||||
IPL[2] i
|
|
||||||
IPL[1] i
|
|
||||||
IPL[0] i
|
|
||||||
DSACK1 b
|
|
||||||
DTACK b
|
|
||||||
AVEC o
|
|
||||||
AVEC_EXP b
|
|
||||||
E o
|
|
||||||
VPA i
|
|
||||||
VMA o
|
|
||||||
RST i
|
|
||||||
RESET o
|
|
||||||
RW b
|
|
||||||
FC[1] i
|
|
||||||
FC[0] i
|
|
||||||
AMIGA_BUS_ENABLE o
|
|
||||||
AMIGA_BUS_DATA_DIR o
|
|
||||||
AMIGA_BUS_ENABLE_LOW o
|
|
||||||
CIIN o
|
|
|
@ -1,6 +1,6 @@
|
||||||
#-- Lattice Semiconductor Corporation Ltd.
|
#-- Lattice Semiconductor Corporation Ltd.
|
||||||
#-- Synplify OEM project file c:/users/matze/documents/github/68030tk/logic\BUS68030.prj
|
#-- Synplify OEM project file c:/users/matze/documents/github/68030tk/logic\BUS68030.prj
|
||||||
#-- Written on Sun Jun 22 21:24:14 2014
|
#-- Written on Fri Jul 18 14:05:26 2014
|
||||||
|
|
||||||
|
|
||||||
#device options
|
#device options
|
||||||
|
|
2903
Logic/BUS68030.srm
2903
Logic/BUS68030.srm
File diff suppressed because it is too large
Load Diff
|
@ -1,116 +0,0 @@
|
||||||
#Build: Synplify Pro G-2012.09LC-SP1 , Build 035R, Mar 19 2013
|
|
||||||
#install: C:\Program Files (x86)\ispLever\synpbase
|
|
||||||
#OS: Windows 7 6.1
|
|
||||||
#Hostname: DEEPTHOUGHT
|
|
||||||
|
|
||||||
#Implementation: logic
|
|
||||||
|
|
||||||
$ Start of Compile
|
|
||||||
#Sun Jun 22 21:24:14 2014
|
|
||||||
|
|
||||||
Synopsys VHDL Compiler, version comp201209rcp1, Build 283R, built Mar 19 2013
|
|
||||||
@N|Running in 64-bit mode
|
|
||||||
Copyright (C) 1994-2012 Synopsys, Inc. This software the associated documentation are confidential and proprietary to Synopsys, Inc. Your use or disclosure of this software subject to the terms and conditions of a written license agreement between you, or your company, and Synopsys, Inc.
|
|
||||||
|
|
||||||
@N: CD720 :"C:\Program Files (x86)\ispLever\synpbase\lib\vhd\std.vhd":123:18:123:21|Setting time resolution to ns
|
|
||||||
@N:"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":13:7:13:14|Top entity is set to BUS68030.
|
|
||||||
File C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd changed - recompiling
|
|
||||||
VHDL syntax check successful!
|
|
||||||
File C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd changed - recompiling
|
|
||||||
@N: CD630 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":13:7:13:14|Synthesizing work.bus68030.behavioral
|
|
||||||
Post processing for work.bus68030.behavioral
|
|
||||||
@W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":136:34:136:36|Pruning register CLK_000_NE_D
|
|
||||||
@W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":117:53:117:56|Pruning register FPU_CS_INT
|
|
||||||
@W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":138:32:138:34|Pruning register CLK_REF(1 downto 0)
|
|
||||||
@W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":110:29:110:31|Pruning register DTACK_D0
|
|
||||||
@W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":131:34:131:36|Pruning register CLK_000_D4
|
|
||||||
@W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":124:35:124:37|Pruning register CLK_OUT_NE
|
|
||||||
@W: CL265 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":133:61:133:75|Pruning bit 12 of CLK_000_N_SYNC(12 downto 0) -- not in use ...
|
|
||||||
@W: CL271 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":102:36:102:38|Pruning bits 12 to 10 of CLK_000_P_SYNC(12 downto 0) -- not in use ...
|
|
||||||
@A: CL282 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":137:35:137:37|Feedback mux created for signal CLK_030_H -- possible set/reset assignment for signal missing. Specifying a reset value will improve timing and area.
|
|
||||||
@N: CL201 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":138:32:138:34|Trying to extract state machine for register SM_AMIGA
|
|
||||||
Extracted state machine for register SM_AMIGA
|
|
||||||
State machine has 8 reachable states with original encodings of:
|
|
||||||
000
|
|
||||||
001
|
|
||||||
010
|
|
||||||
011
|
|
||||||
100
|
|
||||||
101
|
|
||||||
110
|
|
||||||
111
|
|
||||||
@N: CL201 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":126:34:126:36|Trying to extract state machine for register cpu_est
|
|
||||||
Extracted state machine for register cpu_est
|
|
||||||
State machine has 11 reachable states with original encodings of:
|
|
||||||
0000
|
|
||||||
0010
|
|
||||||
0011
|
|
||||||
0100
|
|
||||||
0101
|
|
||||||
0110
|
|
||||||
0111
|
|
||||||
1010
|
|
||||||
1011
|
|
||||||
1100
|
|
||||||
1111
|
|
||||||
@END
|
|
||||||
Process took 0h:00m:01s realtime, 0h:00m:01s cputime
|
|
||||||
# Sun Jun 22 21:24:14 2014
|
|
||||||
|
|
||||||
###########################################################]
|
|
||||||
Map & Optimize Report
|
|
||||||
|
|
||||||
Synopsys CPLD Technology Mapper, Version maplat, Build 621R, Built Mar 19 2013
|
|
||||||
Copyright (C) 1994-2012, Synopsys Inc. This software the associated documentation are confidential and proprietary to Synopsys, Inc. Your use or disclosure of this software subject to the terms and conditions of a written license agreement between you, or your company, and Synopsys, Inc.
|
|
||||||
Product Version G-2012.09LC-SP1
|
|
||||||
@N: MF248 |Running in 64-bit mode.
|
|
||||||
Encoding state machine SM_AMIGA[0:7] (view:work.BUS68030(behavioral))
|
|
||||||
original code -> new code
|
|
||||||
000 -> 00000001
|
|
||||||
001 -> 00000010
|
|
||||||
010 -> 00000100
|
|
||||||
011 -> 00001000
|
|
||||||
100 -> 00010000
|
|
||||||
101 -> 00100000
|
|
||||||
110 -> 01000000
|
|
||||||
111 -> 10000000
|
|
||||||
Encoding state machine cpu_est[0:10] (view:work.BUS68030(behavioral))
|
|
||||||
original code -> new code
|
|
||||||
0000 -> 0000
|
|
||||||
0010 -> 0010
|
|
||||||
0011 -> 0011
|
|
||||||
0100 -> 0100
|
|
||||||
0101 -> 0101
|
|
||||||
0110 -> 0110
|
|
||||||
0111 -> 0111
|
|
||||||
1010 -> 1010
|
|
||||||
1011 -> 1011
|
|
||||||
1100 -> 1100
|
|
||||||
1111 -> 1111
|
|
||||||
---------------------------------------
|
|
||||||
Resource Usage Report
|
|
||||||
|
|
||||||
Simple gate primitives:
|
|
||||||
DFFRH 47 uses
|
|
||||||
DFFSH 27 uses
|
|
||||||
DFF 1 use
|
|
||||||
BI_DIR 12 uses
|
|
||||||
IBUF 30 uses
|
|
||||||
OBUF 16 uses
|
|
||||||
BUFTH 1 use
|
|
||||||
AND2 212 uses
|
|
||||||
INV 173 uses
|
|
||||||
OR2 21 uses
|
|
||||||
XOR2 4 uses
|
|
||||||
|
|
||||||
|
|
||||||
@N: FC100 |Timing Report not generated for this device, please use place and route tools for timing analysis.
|
|
||||||
G-2012.09LC-SP1
|
|
||||||
Mapper successful!
|
|
||||||
|
|
||||||
At Mapper Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 31MB peak: 96MB)
|
|
||||||
|
|
||||||
Process took 0h:00m:01s realtime, 0h:00m:01s cputime
|
|
||||||
# Sun Jun 22 21:24:16 2014
|
|
||||||
|
|
||||||
###########################################################]
|
|
Binary file not shown.
|
@ -19,8 +19,8 @@
|
||||||
<BScanVal>0</BScanVal>
|
<BScanVal>0</BScanVal>
|
||||||
</Bypass>
|
</Bypass>
|
||||||
<File>C:\Users\Matze\Documents\GitHub\68030tk\Logic\68030_tk.jed</File>
|
<File>C:\Users\Matze\Documents\GitHub\68030tk\Logic\68030_tk.jed</File>
|
||||||
<FileTime>06/22/14 21:24:26</FileTime>
|
<FileTime>07/18/14 14:05:38</FileTime>
|
||||||
<JedecChecksum>0x5CF9</JedecChecksum>
|
<JedecChecksum>0xE862</JedecChecksum>
|
||||||
<Operation>Erase,Program,Verify</Operation>
|
<Operation>Erase,Program,Verify</Operation>
|
||||||
<Option>
|
<Option>
|
||||||
<SVFVendor>JTAG STANDARD</SVFVendor>
|
<SVFVendor>JTAG STANDARD</SVFVendor>
|
||||||
|
|
|
@ -1,702 +0,0 @@
|
||||||
Section Type Array Num Name Real Name Base Number Increment
|
|
||||||
// -------------------------------------------------------------------------------------------------
|
|
||||||
Port 1 A(31:16) A 31 16 -1
|
|
||||||
Port 2 IPL(2:0) IPL 2 3 -1
|
|
||||||
Port 3 FC(1:0) FC 1 2 -1
|
|
||||||
Port 4 IPL_030(2:0) IPL_030 2 3 -1
|
|
||||||
Port 5 SIZE(1:0) SIZE 1 2 -1
|
|
||||||
End
|
|
||||||
Section Member Rename Array-Notation Array Number Index
|
|
||||||
// -------------------------------------------------------------------------------------
|
|
||||||
Port SIZE_1_ SIZE[1] 5 0
|
|
||||||
Port SIZE_0_ SIZE[0] 5 1
|
|
||||||
Port A_31_ A[31] 1 0
|
|
||||||
Port A_30_ A[30] 1 1
|
|
||||||
Port A_29_ A[29] 1 2
|
|
||||||
Port A_28_ A[28] 1 3
|
|
||||||
Port A_27_ A[27] 1 4
|
|
||||||
Port A_26_ A[26] 1 5
|
|
||||||
Port A_25_ A[25] 1 6
|
|
||||||
Port A_24_ A[24] 1 7
|
|
||||||
Port A_23_ A[23] 1 8
|
|
||||||
Port A_22_ A[22] 1 9
|
|
||||||
Port A_21_ A[21] 1 10
|
|
||||||
Port A_20_ A[20] 1 11
|
|
||||||
Port A_19_ A[19] 1 12
|
|
||||||
Port A_18_ A[18] 1 13
|
|
||||||
Port A_17_ A[17] 1 14
|
|
||||||
Port A_16_ A[16] 1 15
|
|
||||||
Port IPL_030_2_ IPL_030[2] 4 0
|
|
||||||
Port IPL_030_1_ IPL_030[1] 4 1
|
|
||||||
Port IPL_030_0_ IPL_030[0] 4 2
|
|
||||||
Port IPL_2_ IPL[2] 2 0
|
|
||||||
Port IPL_1_ IPL[1] 2 1
|
|
||||||
Port IPL_0_ IPL[0] 2 2
|
|
||||||
Port FC_1_ FC[1] 3 0
|
|
||||||
Port FC_0_ FC[0] 3 1
|
|
||||||
End
|
|
||||||
Section Cross Reference File
|
|
||||||
Design 'BUS68030' created Sun Jun 22 21:24:20 2014
|
|
||||||
Type New Name Original Name
|
|
||||||
// ----------------------------------------------------------------------
|
|
||||||
Inst i_z3N3N AS_030
|
|
||||||
Inst i_z3O3O AS_000
|
|
||||||
Inst i_z3P3P RW_000
|
|
||||||
Inst i_z3Q3Q DS_030
|
|
||||||
Inst i_z3R3R UDS_000
|
|
||||||
Inst i_z3S3S LDS_000
|
|
||||||
Inst i_z4F4F A0
|
|
||||||
Inst i_z5252 DSACK1
|
|
||||||
Inst i_z5353 DTACK
|
|
||||||
Inst i_z5B5B RW
|
|
||||||
Inst i_z5H5H CIIN
|
|
||||||
Inst state_machine_un28_as_030_2 state_machine.un28_as_030_2
|
|
||||||
Inst IPL_030_0_2__n IPL_030_0_2_.n
|
|
||||||
Inst IPL_030_0_2__p IPL_030_0_2_.p
|
|
||||||
Inst IPL_030_0_1__r IPL_030_0_1_.r
|
|
||||||
Inst IPL_030_0_1__m IPL_030_0_1_.m
|
|
||||||
Inst IPL_030_0_1__n IPL_030_0_1_.n
|
|
||||||
Inst IPL_030_0_1__p IPL_030_0_1_.p
|
|
||||||
Inst IPL_030_0_0__r IPL_030_0_0_.r
|
|
||||||
Inst IPL_030_0_0__m IPL_030_0_0_.m
|
|
||||||
Inst SIZE_c_i_1_ SIZE_c_i[1]
|
|
||||||
Inst IPL_030_0_0__n IPL_030_0_0_.n
|
|
||||||
Inst cpu_est_ns_0_1_2_ cpu_est_ns_0_1[2]
|
|
||||||
Inst IPL_030_0_0__p IPL_030_0_0_.p
|
|
||||||
Inst cpu_est_ns_0_2_ cpu_est_ns_0[2]
|
|
||||||
Inst SM_AMIGA_ns_1_0_ SM_AMIGA_ns_1[0]
|
|
||||||
Inst state_machine_un28_as_030_i state_machine.un28_as_030_i
|
|
||||||
Inst SM_AMIGA_ns_2_0_ SM_AMIGA_ns_2[0]
|
|
||||||
Inst A_i_16_ A_i[16]
|
|
||||||
Inst SM_AMIGA_ns_3_0_ SM_AMIGA_ns_3[0]
|
|
||||||
Inst A_i_18_ A_i[18]
|
|
||||||
Inst SM_AMIGA_ns_0_ SM_AMIGA_ns[0]
|
|
||||||
Inst A_i_19_ A_i[19]
|
|
||||||
Inst state_machine_un5_clk_000_n_sync state_machine.un5_clk_000_n_sync
|
|
||||||
Inst state_machine_un4_bgack_000 state_machine.un4_bgack_000
|
|
||||||
Inst state_machine_un9_clk_000_ne state_machine.un9_clk_000_ne
|
|
||||||
Inst state_machine_RW_000_INT_3_i state_machine.RW_000_INT_3_i
|
|
||||||
Inst state_machine_un8_bg_030 state_machine.un8_bg_030
|
|
||||||
Inst state_machine_un9_clk_000_ne_i state_machine.un9_clk_000_ne_i
|
|
||||||
Inst state_machine_un15_clk_000_ne_i state_machine.un15_clk_000_ne_i
|
|
||||||
Inst state_machine_un11_clk_000_ne_i_0 state_machine.un11_clk_000_ne_i_0
|
|
||||||
Inst state_machine_un6_bg_030_i state_machine.un6_bg_030_i
|
|
||||||
Inst state_machine_un8_bg_030_i state_machine.un8_bg_030_i
|
|
||||||
Inst state_machine_un4_bgack_000_i state_machine.un4_bgack_000_i
|
|
||||||
Inst CLK_CNT_N_i_0_ CLK_CNT_N_i[0]
|
|
||||||
Inst state_machine_un10_bgack_030_int_i state_machine.un10_bgack_030_int_i
|
|
||||||
Inst state_machine_un26_clk_000_pe_i state_machine.un26_clk_000_pe_i
|
|
||||||
Inst state_machine_un5_clk_000_ne_i state_machine.un5_clk_000_ne_i
|
|
||||||
Inst state_machine_un28_clk_000_pe_i state_machine.un28_clk_000_pe_i
|
|
||||||
Inst cpu_est_ns_0_i_1_ cpu_est_ns_0_i[1]
|
|
||||||
Inst un4_clk_cnt_n_1_i_1_ un4_clk_cnt_n_1_i[1]
|
|
||||||
Inst un2_clk_cnt_p_i_1_ un2_clk_cnt_p_i[1]
|
|
||||||
Inst state_machine_un26_clk_000_pe_2_i state_machine.un26_clk_000_pe_2_i
|
|
||||||
Inst state_machine_un3_clk_out_pre_50 state_machine.un3_clk_out_pre_50
|
|
||||||
Inst cpu_est_0_ cpu_est[0]
|
|
||||||
Inst AS_030_000_SYNC_0_r AS_030_000_SYNC_0.r
|
|
||||||
Inst cpu_est_1_ cpu_est[1]
|
|
||||||
Inst cpu_est_ns_0_i_2_ cpu_est_ns_0_i[2]
|
|
||||||
Inst AS_030_000_SYNC_0_m AS_030_000_SYNC_0.m
|
|
||||||
Inst cpu_est_2_ cpu_est[2]
|
|
||||||
Inst AS_030_000_SYNC_0_n AS_030_000_SYNC_0.n
|
|
||||||
Inst cpu_est_3_ cpu_est[3]
|
|
||||||
Inst AS_030_000_SYNC_0_p AS_030_000_SYNC_0.p
|
|
||||||
Inst IPL_030DFFSH_0_ IPL_030DFFSH[0]
|
|
||||||
Inst AS_000_INT_0_r AS_000_INT_0.r
|
|
||||||
Inst IPL_030DFFSH_1_ IPL_030DFFSH[1]
|
|
||||||
Inst AS_000_INT_0_m AS_000_INT_0.m
|
|
||||||
Inst IPL_030DFFSH_2_ IPL_030DFFSH[2]
|
|
||||||
Inst AS_000_INT_0_n AS_000_INT_0.n
|
|
||||||
Inst SM_AMIGA_7_ SM_AMIGA[7]
|
|
||||||
Inst SM_AMIGA_ns_i_4_ SM_AMIGA_ns_i[4]
|
|
||||||
Inst AS_000_INT_0_p AS_000_INT_0.p
|
|
||||||
Inst SM_AMIGA_6_ SM_AMIGA[6]
|
|
||||||
Inst SM_AMIGA_ns_i_0_ SM_AMIGA_ns_i[0]
|
|
||||||
Inst DS_000_ENABLE_0_r DS_000_ENABLE_0.r
|
|
||||||
Inst SM_AMIGA_5_ SM_AMIGA[5]
|
|
||||||
Inst DS_000_ENABLE_0_m DS_000_ENABLE_0.m
|
|
||||||
Inst SM_AMIGA_4_ SM_AMIGA[4]
|
|
||||||
Inst DS_000_ENABLE_0_n DS_000_ENABLE_0.n
|
|
||||||
Inst SM_AMIGA_3_ SM_AMIGA[3]
|
|
||||||
Inst DS_000_ENABLE_0_p DS_000_ENABLE_0.p
|
|
||||||
Inst SM_AMIGA_2_ SM_AMIGA[2]
|
|
||||||
Inst DSACK1_INT_0_r DSACK1_INT_0.r
|
|
||||||
Inst SM_AMIGA_1_ SM_AMIGA[1]
|
|
||||||
Inst DSACK1_INT_0_m DSACK1_INT_0.m
|
|
||||||
Inst SM_AMIGA_0_ SM_AMIGA[0]
|
|
||||||
Inst state_machine_CLK_030_H_2_f1_i state_machine.CLK_030_H_2_f1_i
|
|
||||||
Inst DSACK1_INT_0_n DSACK1_INT_0.n
|
|
||||||
Inst CLK_000_N_SYNC_3_ CLK_000_N_SYNC[3]
|
|
||||||
Inst state_machine_SIZE_DMA_4_i_1_ state_machine.SIZE_DMA_4_i[1]
|
|
||||||
Inst DSACK1_INT_0_p DSACK1_INT_0.p
|
|
||||||
Inst CLK_000_N_SYNC_4_ CLK_000_N_SYNC[4]
|
|
||||||
Inst state_machine_SIZE_DMA_4_i_0_ state_machine.SIZE_DMA_4_i[0]
|
|
||||||
Inst BG_000_0_r BG_000_0.r
|
|
||||||
Inst CLK_000_N_SYNC_5_ CLK_000_N_SYNC[5]
|
|
||||||
Inst SM_AMIGA_ns_o4_0_i_0_ SM_AMIGA_ns_o4_0_i[0]
|
|
||||||
Inst BG_000_0_m BG_000_0.m
|
|
||||||
Inst CLK_000_N_SYNC_6_ CLK_000_N_SYNC[6]
|
|
||||||
Inst SM_AMIGA_i_5_ SM_AMIGA_i[5]
|
|
||||||
Inst BG_000_0_n BG_000_0.n
|
|
||||||
Inst CLK_000_N_SYNC_7_ CLK_000_N_SYNC[7]
|
|
||||||
Inst SM_AMIGA_ns_o4_1_i_0_ SM_AMIGA_ns_o4_1_i[0]
|
|
||||||
Inst BG_000_0_p BG_000_0.p
|
|
||||||
Inst CLK_000_N_SYNC_8_ CLK_000_N_SYNC[8]
|
|
||||||
Inst SM_AMIGA_ns_i_o4_i_7_ SM_AMIGA_ns_i_o4_i[7]
|
|
||||||
Inst LDS_000_INT_0_r LDS_000_INT_0.r
|
|
||||||
Inst CLK_000_N_SYNC_9_ CLK_000_N_SYNC[9]
|
|
||||||
Inst state_machine_un3_bgack_030_int_d_i state_machine.un3_bgack_030_int_d_i
|
|
||||||
Inst LDS_000_INT_0_m LDS_000_INT_0.m
|
|
||||||
Inst CLK_000_N_SYNC_10_ CLK_000_N_SYNC[10]
|
|
||||||
Inst LDS_000_INT_0_n LDS_000_INT_0.n
|
|
||||||
Inst CLK_000_N_SYNC_11_ CLK_000_N_SYNC[11]
|
|
||||||
Inst LDS_000_INT_0_p LDS_000_INT_0.p
|
|
||||||
Inst CLK_CNT_N_0_ CLK_CNT_N[0]
|
|
||||||
Inst UDS_000_INT_0_r UDS_000_INT_0.r
|
|
||||||
Inst CLK_CNT_N_1_ CLK_CNT_N[1]
|
|
||||||
Inst SM_AMIGA_i_6_ SM_AMIGA_i[6]
|
|
||||||
Inst UDS_000_INT_0_m UDS_000_INT_0.m
|
|
||||||
Inst CLK_CNT_P_0_ CLK_CNT_P[0]
|
|
||||||
Inst SM_AMIGA_ns_o4_2_i_0_ SM_AMIGA_ns_o4_2_i[0]
|
|
||||||
Inst UDS_000_INT_0_n UDS_000_INT_0.n
|
|
||||||
Inst CLK_CNT_P_1_ CLK_CNT_P[1]
|
|
||||||
Inst SM_AMIGA_i_2_ SM_AMIGA_i[2]
|
|
||||||
Inst UDS_000_INT_0_p UDS_000_INT_0.p
|
|
||||||
Inst SIZE_DMA_0_ SIZE_DMA[0]
|
|
||||||
Inst SM_AMIGA_i_4_ SM_AMIGA_i[4]
|
|
||||||
Inst BGACK_030_INT_0_r BGACK_030_INT_0.r
|
|
||||||
Inst SIZE_DMA_1_ SIZE_DMA[1]
|
|
||||||
Inst BGACK_030_INT_0_m BGACK_030_INT_0.m
|
|
||||||
Inst CLK_000_P_SYNC_0_ CLK_000_P_SYNC[0]
|
|
||||||
Inst BGACK_030_INT_0_n BGACK_030_INT_0.n
|
|
||||||
Inst CLK_000_P_SYNC_1_ CLK_000_P_SYNC[1]
|
|
||||||
Inst BGACK_030_INT_0_p BGACK_030_INT_0.p
|
|
||||||
Inst CLK_000_P_SYNC_2_ CLK_000_P_SYNC[2]
|
|
||||||
Inst CLK_000_P_SYNC_3_ CLK_000_P_SYNC[3]
|
|
||||||
Inst CLK_000_P_SYNC_4_ CLK_000_P_SYNC[4]
|
|
||||||
Inst SM_AMIGA_ns_i_1_ SM_AMIGA_ns_i[1]
|
|
||||||
Inst CLK_000_P_SYNC_5_ CLK_000_P_SYNC[5]
|
|
||||||
Inst CLK_000_P_SYNC_6_ CLK_000_P_SYNC[6]
|
|
||||||
Inst SM_AMIGA_ns_i_2_ SM_AMIGA_ns_i[2]
|
|
||||||
Inst CLK_000_P_SYNC_7_ CLK_000_P_SYNC[7]
|
|
||||||
Inst CLK_000_P_SYNC_8_ CLK_000_P_SYNC[8]
|
|
||||||
Inst CLK_000_P_SYNC_9_ CLK_000_P_SYNC[9]
|
|
||||||
Inst SM_AMIGA_ns_i_3_ SM_AMIGA_ns_i[3]
|
|
||||||
Inst CLK_000_N_SYNC_0_ CLK_000_N_SYNC[0]
|
|
||||||
Inst CLK_000_N_SYNC_1_ CLK_000_N_SYNC[1]
|
|
||||||
Inst CLK_000_N_SYNC_2_ CLK_000_N_SYNC[2]
|
|
||||||
Inst SM_AMIGA_ns_i_5_ SM_AMIGA_ns_i[5]
|
|
||||||
Inst SM_AMIGA_ns_i_6_ SM_AMIGA_ns_i[6]
|
|
||||||
Inst SM_AMIGA_ns_o4_i_0_ SM_AMIGA_ns_o4_i[0]
|
|
||||||
Inst state_machine_un1_as_030_i_0 state_machine.un1_as_030_i_0
|
|
||||||
Inst A_i_27_ A_i[27]
|
|
||||||
Inst A_i_28_ A_i[28]
|
|
||||||
Inst A_i_25_ A_i[25]
|
|
||||||
Inst A_i_26_ A_i[26]
|
|
||||||
Inst A_i_24_ A_i[24]
|
|
||||||
Inst SM_AMIGA_ns_5_ SM_AMIGA_ns[5]
|
|
||||||
Inst SM_AMIGA_ns_3_ SM_AMIGA_ns[3]
|
|
||||||
Inst SM_AMIGA_ns_2_ SM_AMIGA_ns[2]
|
|
||||||
Inst SM_AMIGA_ns_1_ SM_AMIGA_ns[1]
|
|
||||||
Inst SM_AMIGA_ns_a4_6_ SM_AMIGA_ns_a4[6]
|
|
||||||
Inst SM_AMIGA_ns_a4_0_5_ SM_AMIGA_ns_a4_0[5]
|
|
||||||
Inst SM_AMIGA_ns_a4_5_ SM_AMIGA_ns_a4[5]
|
|
||||||
Inst SM_AMIGA_ns_a4_0_3_ SM_AMIGA_ns_a4_0[3]
|
|
||||||
Inst SM_AMIGA_ns_a4_3_ SM_AMIGA_ns_a4[3]
|
|
||||||
Inst SM_AMIGA_ns_a4_0_1_ SM_AMIGA_ns_a4_0[1]
|
|
||||||
Inst state_machine_un1_as_030 state_machine.un1_as_030
|
|
||||||
Inst A_i_31_ A_i[31]
|
|
||||||
Inst A_i_29_ A_i[29]
|
|
||||||
Inst A_i_30_ A_i[30]
|
|
||||||
Inst SM_AMIGA_i_7_ SM_AMIGA_i[7]
|
|
||||||
Inst SM_AMIGA_i_0_ SM_AMIGA_i[0]
|
|
||||||
Inst SIZE_0_ SIZE[0]
|
|
||||||
Inst SIZE_1_ SIZE[1]
|
|
||||||
Inst SM_AMIGA_i_3_ SM_AMIGA_i[3]
|
|
||||||
Inst A_16_ A[16]
|
|
||||||
Inst SM_AMIGA_ns_a4_2_ SM_AMIGA_ns_a4[2]
|
|
||||||
Inst A_17_ A[17]
|
|
||||||
Inst SM_AMIGA_ns_a4_1_2_ SM_AMIGA_ns_a4_1[2]
|
|
||||||
Inst A_18_ A[18]
|
|
||||||
Inst SM_AMIGA_ns_a4_0_6_ SM_AMIGA_ns_a4_0[6]
|
|
||||||
Inst A_19_ A[19]
|
|
||||||
Inst SM_AMIGA_i_1_ SM_AMIGA_i[1]
|
|
||||||
Inst A_20_ A[20]
|
|
||||||
Inst SM_AMIGA_ns_i_o4_7_ SM_AMIGA_ns_i_o4[7]
|
|
||||||
Inst A_21_ A[21]
|
|
||||||
Inst SM_AMIGA_ns_o4_1_0_ SM_AMIGA_ns_o4_1[0]
|
|
||||||
Inst A_22_ A[22]
|
|
||||||
Inst A_23_ A[23]
|
|
||||||
Inst SM_AMIGA_ns_o4_0_0_ SM_AMIGA_ns_o4_0[0]
|
|
||||||
Inst A_24_ A[24]
|
|
||||||
Inst SM_AMIGA_ns_o4_0_ SM_AMIGA_ns_o4[0]
|
|
||||||
Inst A_25_ A[25]
|
|
||||||
Inst SM_AMIGA_ns_6_ SM_AMIGA_ns[6]
|
|
||||||
Inst A_26_ A[26]
|
|
||||||
Inst A_27_ A[27]
|
|
||||||
Inst state_machine_un5_bgack_030_int_d state_machine.un5_bgack_030_int_d
|
|
||||||
Inst A_28_ A[28]
|
|
||||||
Inst A_29_ A[29]
|
|
||||||
Inst A_30_ A[30]
|
|
||||||
Inst A_31_ A[31]
|
|
||||||
Inst SM_AMIGA_ns_a4_2_0_ SM_AMIGA_ns_a4_2[0]
|
|
||||||
Inst SM_AMIGA_ns_a4_1_ SM_AMIGA_ns_a4[1]
|
|
||||||
Inst SM_AMIGA_ns_i_a4_7_ SM_AMIGA_ns_i_a4[7]
|
|
||||||
Inst SM_AMIGA_ns_4_ SM_AMIGA_ns[4]
|
|
||||||
Inst SM_AMIGA_ns_i_7_ SM_AMIGA_ns_i[7]
|
|
||||||
Inst state_machine_CLK_030_H_2_f0 state_machine.CLK_030_H_2_f0
|
|
||||||
Inst IPL_030_0_ IPL_030[0]
|
|
||||||
Inst IPL_030_1_ IPL_030[1]
|
|
||||||
Inst IPL_030_2_ IPL_030[2]
|
|
||||||
Inst IPL_0_ IPL[0]
|
|
||||||
Inst IPL_1_ IPL[1]
|
|
||||||
Inst IPL_2_ IPL[2]
|
|
||||||
Inst state_machine_un24_bgack_030_int_i state_machine.un24_bgack_030_int_i
|
|
||||||
Inst state_machine_un8_bgack_030_int state_machine.un8_bgack_030_int
|
|
||||||
Inst AMIGA_BUS_ENABLE_INT_0_r AMIGA_BUS_ENABLE_INT_0.r
|
|
||||||
Inst AMIGA_BUS_ENABLE_INT_0_m AMIGA_BUS_ENABLE_INT_0.m
|
|
||||||
Inst AMIGA_BUS_ENABLE_INT_0_n AMIGA_BUS_ENABLE_INT_0.n
|
|
||||||
Inst AMIGA_BUS_ENABLE_INT_0_p AMIGA_BUS_ENABLE_INT_0.p
|
|
||||||
Inst state_machine_un3_bgack_030_int_d state_machine.un3_bgack_030_int_d
|
|
||||||
Inst DS_000_DMA_0_r DS_000_DMA_0.r
|
|
||||||
Inst FC_0_ FC[0]
|
|
||||||
Inst DS_000_DMA_0_m DS_000_DMA_0.m
|
|
||||||
Inst FC_1_ FC[1]
|
|
||||||
Inst DS_000_DMA_0_n DS_000_DMA_0.n
|
|
||||||
Inst DS_000_DMA_0_p DS_000_DMA_0.p
|
|
||||||
Inst AS_000_DMA_0_r AS_000_DMA_0.r
|
|
||||||
Inst AS_000_DMA_0_m AS_000_DMA_0.m
|
|
||||||
Inst AS_000_DMA_0_n AS_000_DMA_0.n
|
|
||||||
Inst AS_000_DMA_0_p AS_000_DMA_0.p
|
|
||||||
Inst state_machine_un24_bgack_030_int state_machine.un24_bgack_030_int
|
|
||||||
Inst state_machine_un10_bgack_030_int state_machine.un10_bgack_030_int
|
|
||||||
Inst state_machine_un31_bgack_030_int state_machine.un31_bgack_030_int
|
|
||||||
Inst state_machine_A0_DMA_2 state_machine.A0_DMA_2
|
|
||||||
Inst state_machine_un31_bgack_030_int_i state_machine.un31_bgack_030_int_i
|
|
||||||
Inst state_machine_SIZE_DMA_4_0_ state_machine.SIZE_DMA_4[0]
|
|
||||||
Inst state_machine_un8_bgack_030_int_i state_machine.un8_bgack_030_int_i
|
|
||||||
Inst state_machine_SIZE_DMA_4_1_ state_machine.SIZE_DMA_4[1]
|
|
||||||
Inst state_machine_CLK_030_H_2_f1 state_machine.CLK_030_H_2_f1
|
|
||||||
Inst cpu_est_ns_0_a3_2_ cpu_est_ns_0_a3[2]
|
|
||||||
Inst cpu_est_i_1_ cpu_est_i[1]
|
|
||||||
Inst cpu_est_ns_0_a3_1_1_ cpu_est_ns_0_a3_1[1]
|
|
||||||
Inst cpu_est_ns_0_a3_0_1_ cpu_est_ns_0_a3_0[1]
|
|
||||||
Inst cpu_est_i_3_ cpu_est_i[3]
|
|
||||||
Inst cpu_est_i_2_ cpu_est_i[2]
|
|
||||||
Inst SM_AMIGA_ns_o4_2_0_ SM_AMIGA_ns_o4_2[0]
|
|
||||||
Inst cpu_est_ns_0_a3_1_ cpu_est_ns_0_a3[1]
|
|
||||||
Inst SM_AMIGA_ns_a4_1_4_ SM_AMIGA_ns_a4_1[4]
|
|
||||||
Inst state_machine_un28_clk_000_pe state_machine.un28_clk_000_pe
|
|
||||||
Inst SM_AMIGA_ns_a4_4_ SM_AMIGA_ns_a4[4]
|
|
||||||
Inst cpu_estse_2_r cpu_estse_2.r
|
|
||||||
Inst SM_AMIGA_ns_a4_1_0_0_ SM_AMIGA_ns_a4_1_0[0]
|
|
||||||
Inst cpu_estse_2_m cpu_estse_2.m
|
|
||||||
Inst SM_AMIGA_ns_a4_0_ SM_AMIGA_ns_a4[0]
|
|
||||||
Inst cpu_estse_2_n cpu_estse_2.n
|
|
||||||
Inst SM_AMIGA_ns_a4_0_1_0_ SM_AMIGA_ns_a4_0_1[0]
|
|
||||||
Inst cpu_estse_2_p cpu_estse_2.p
|
|
||||||
Inst SM_AMIGA_ns_a4_0_0_ SM_AMIGA_ns_a4_0[0]
|
|
||||||
Inst cpu_estse_1_r cpu_estse_1.r
|
|
||||||
Inst SM_AMIGA_ns_a4_1_1_0_ SM_AMIGA_ns_a4_1_1[0]
|
|
||||||
Inst cpu_estse_1_m cpu_estse_1.m
|
|
||||||
Inst SM_AMIGA_ns_a4_1_0_ SM_AMIGA_ns_a4_1[0]
|
|
||||||
Inst cpu_estse_1_n cpu_estse_1.n
|
|
||||||
Inst SM_AMIGA_ns_a4_3_1_0_ SM_AMIGA_ns_a4_3_1[0]
|
|
||||||
Inst cpu_estse_1_p cpu_estse_1.p
|
|
||||||
Inst SM_AMIGA_ns_a4_3_2_0_ SM_AMIGA_ns_a4_3_2[0]
|
|
||||||
Inst cpu_estse_0_r cpu_estse_0.r
|
|
||||||
Inst SM_AMIGA_ns_a4_3_3_0_ SM_AMIGA_ns_a4_3_3[0]
|
|
||||||
Inst cpu_estse_0_m cpu_estse_0.m
|
|
||||||
Inst SM_AMIGA_ns_a4_3_0_ SM_AMIGA_ns_a4_3[0]
|
|
||||||
Inst cpu_estse_0_n cpu_estse_0.n
|
|
||||||
Inst cpu_estse_0_p cpu_estse_0.p
|
|
||||||
Inst VMA_INT_0_r VMA_INT_0.r
|
|
||||||
Inst VMA_INT_0_m VMA_INT_0.m
|
|
||||||
Inst state_machine_un26_clk_000_pe_1 state_machine.un26_clk_000_pe_1
|
|
||||||
Inst VMA_INT_0_n VMA_INT_0.n
|
|
||||||
Inst state_machine_un26_clk_000_pe state_machine.un26_clk_000_pe
|
|
||||||
Inst VMA_INT_0_p VMA_INT_0.p
|
|
||||||
Inst state_machine_un26_clk_000_pe_4_1 state_machine.un26_clk_000_pe_4_1
|
|
||||||
Inst CLK_030_H_0_r CLK_030_H_0.r
|
|
||||||
Inst state_machine_un26_clk_000_pe_4 state_machine.un26_clk_000_pe_4
|
|
||||||
Inst CLK_030_H_0_m CLK_030_H_0.m
|
|
||||||
Inst state_machine_un5_clk_000_ne_1 state_machine.un5_clk_000_ne_1
|
|
||||||
Inst CLK_030_H_0_n CLK_030_H_0.n
|
|
||||||
Inst state_machine_un5_clk_000_ne_2 state_machine.un5_clk_000_ne_2
|
|
||||||
Inst CLK_030_H_0_p CLK_030_H_0.p
|
|
||||||
Inst state_machine_un5_clk_000_ne state_machine.un5_clk_000_ne
|
|
||||||
Inst cpu_est_ns_0_1_1_ cpu_est_ns_0_1[1]
|
|
||||||
Inst RW_000_DMA_0_r RW_000_DMA_0.r
|
|
||||||
Inst cpu_est_ns_0_2_1_ cpu_est_ns_0_2[1]
|
|
||||||
Inst RW_000_DMA_0_m RW_000_DMA_0.m
|
|
||||||
Inst cpu_est_ns_0_1_ cpu_est_ns_0[1]
|
|
||||||
Inst RW_000_DMA_0_n RW_000_DMA_0.n
|
|
||||||
Inst RW_000_DMA_0_p RW_000_DMA_0.p
|
|
||||||
Inst RW_000_INT_0_r RW_000_INT_0.r
|
|
||||||
Inst RW_000_INT_0_m RW_000_INT_0.m
|
|
||||||
Inst SM_AMIGA_ns_o4_2_1_0_ SM_AMIGA_ns_o4_2_1[0]
|
|
||||||
Inst RW_000_INT_0_n RW_000_INT_0.n
|
|
||||||
Inst state_machine_un28_as_030 state_machine.un28_as_030
|
|
||||||
Inst RW_000_INT_0_p RW_000_INT_0.p
|
|
||||||
Inst state_machine_un15_clk_000_ne_1_0 state_machine.un15_clk_000_ne_1_0
|
|
||||||
Inst state_machine_un15_clk_000_ne state_machine.un15_clk_000_ne
|
|
||||||
Inst state_machine_un6_bg_030_1 state_machine.un6_bg_030_1
|
|
||||||
Inst state_machine_un6_bg_030 state_machine.un6_bg_030
|
|
||||||
Inst state_machine_CLK_000_P_SYNC_3_1_0_ state_machine.CLK_000_P_SYNC_3_1[0]
|
|
||||||
Inst state_machine_CLK_000_P_SYNC_3_2_0_ state_machine.CLK_000_P_SYNC_3_2[0]
|
|
||||||
Inst state_machine_un15_clk_000_ne_1 state_machine.un15_clk_000_ne_1
|
|
||||||
Inst state_machine_CLK_000_P_SYNC_3_0_ state_machine.CLK_000_P_SYNC_3[0]
|
|
||||||
Inst cpu_est_ns_i_3_ cpu_est_ns_i[3]
|
|
||||||
Inst state_machine_CLK_000_N_SYNC_2_1_0_ state_machine.CLK_000_N_SYNC_2_1[0]
|
|
||||||
Inst cpu_est_ns_0_a2_1_ cpu_est_ns_0_a2[1]
|
|
||||||
Inst state_machine_CLK_000_N_SYNC_2_0_ state_machine.CLK_000_N_SYNC_2[0]
|
|
||||||
Inst cpu_est_ns_i_a3_0_3_ cpu_est_ns_i_a3_0[3]
|
|
||||||
Inst state_machine_un15_clk_000_ne_1_i state_machine.un15_clk_000_ne_1_i
|
|
||||||
Inst cpu_est_ns_i_a3_3_ cpu_est_ns_i_a3[3]
|
|
||||||
Inst cpu_est_i_0_ cpu_est_i[0]
|
|
||||||
Inst cpu_est_ns_0_a3_1_2_ cpu_est_ns_0_a3_1[2]
|
|
||||||
Inst cpu_est_ns_0_a3_0_2_ cpu_est_ns_0_a3_0[2]
|
|
||||||
Inst state_machine_un7_ds_030_1 state_machine.un7_ds_030_1
|
|
||||||
Inst state_machine_un11_clk_000_ne state_machine.un11_clk_000_ne
|
|
||||||
Inst state_machine_un7_ds_030 state_machine.un7_ds_030
|
|
||||||
Inst state_machine_RW_000_INT_3 state_machine.RW_000_INT_3
|
|
||||||
Inst IPL_030_0_2__r IPL_030_0_2_.r
|
|
||||||
Inst state_machine_un28_as_030_1 state_machine.un28_as_030_1
|
|
||||||
Inst IPL_030_0_2__m IPL_030_0_2_.m
|
|
||||||
Net a_i_19__n A_i[19]
|
|
||||||
Net a_i_16__n A_i[16]
|
|
||||||
Net a_i_18__n A_i[18]
|
|
||||||
Net vcc_n_n VCC
|
|
||||||
Net state_machine_un28_as_030_i_n state_machine.un28_as_030_i
|
|
||||||
Net clk_cnt_n_i_0__n CLK_CNT_N_i[0]
|
|
||||||
Net un2_clk_cnt_p_i_1__n un2_clk_cnt_p_i[1]
|
|
||||||
Net un4_clk_cnt_n_1_i_1__n un4_clk_cnt_n_1_i[1]
|
|
||||||
Net clk_cnt_n_0__n CLK_CNT_N[0]
|
|
||||||
Net amiga_bus_enable_int_0_un3_n AMIGA_BUS_ENABLE_INT_0.un3
|
|
||||||
Net amiga_bus_enable_int_0_un1_n AMIGA_BUS_ENABLE_INT_0.un1
|
|
||||||
Net amiga_bus_enable_int_0_un0_n AMIGA_BUS_ENABLE_INT_0.un0
|
|
||||||
Net ds_000_dma_0_un3_n DS_000_DMA_0.un3
|
|
||||||
Net ds_000_dma_0_un1_n DS_000_DMA_0.un1
|
|
||||||
Net ds_000_dma_0_un0_n DS_000_DMA_0.un0
|
|
||||||
Net as_000_dma_0_un3_n AS_000_DMA_0.un3
|
|
||||||
Net as_000_dma_0_un1_n AS_000_DMA_0.un1
|
|
||||||
Net as_000_dma_0_un0_n AS_000_DMA_0.un0
|
|
||||||
Net clk_000_p_sync_9__n CLK_000_P_SYNC[9]
|
|
||||||
Net cpu_estse_2_un3_n cpu_estse_2.un3
|
|
||||||
Net clk_000_n_sync_11__n CLK_000_N_SYNC[11]
|
|
||||||
Net cpu_estse_2_un1_n cpu_estse_2.un1
|
|
||||||
Net cpu_estse_2_un0_n cpu_estse_2.un0
|
|
||||||
Net sm_amiga_7__n SM_AMIGA[7]
|
|
||||||
Net size_c_0__n SIZE_c[0]
|
|
||||||
Net cpu_estse_1_un3_n cpu_estse_1.un3
|
|
||||||
Net sm_amiga_6__n SM_AMIGA[6]
|
|
||||||
Net size_0__n SIZE[0]
|
|
||||||
Net cpu_estse_1_un1_n cpu_estse_1.un1
|
|
||||||
Net sm_amiga_1__n SM_AMIGA[1]
|
|
||||||
Net size_c_1__n SIZE_c[1]
|
|
||||||
Net cpu_estse_1_un0_n cpu_estse_1.un0
|
|
||||||
Net sm_amiga_0__n SM_AMIGA[0]
|
|
||||||
Net cpu_estse_0_un3_n cpu_estse_0.un3
|
|
||||||
Net sm_amiga_4__n SM_AMIGA[4]
|
|
||||||
Net a_c_16__n A_c[16]
|
|
||||||
Net cpu_estse_0_un1_n cpu_estse_0.un1
|
|
||||||
Net clk_000_n_sync_6__n CLK_000_N_SYNC[6]
|
|
||||||
Net a_16__n A[16]
|
|
||||||
Net cpu_estse_0_un0_n cpu_estse_0.un0
|
|
||||||
Net a_c_17__n A_c[17]
|
|
||||||
Net vma_int_0_un3_n VMA_INT_0.un3
|
|
||||||
Net clk_cnt_p_1__n CLK_CNT_P[1]
|
|
||||||
Net a_17__n A[17]
|
|
||||||
Net vma_int_0_un1_n VMA_INT_0.un1
|
|
||||||
Net clk_cnt_n_1__n CLK_CNT_N[1]
|
|
||||||
Net a_c_18__n A_c[18]
|
|
||||||
Net vma_int_0_un0_n VMA_INT_0.un0
|
|
||||||
Net a_18__n A[18]
|
|
||||||
Net clk_030_h_0_un3_n CLK_030_H_0.un3
|
|
||||||
Net a_c_19__n A_c[19]
|
|
||||||
Net clk_030_h_0_un1_n CLK_030_H_0.un1
|
|
||||||
Net state_machine_un3_clk_out_pre_50_n state_machine.un3_clk_out_pre_50
|
|
||||||
Net a_19__n A[19]
|
|
||||||
Net clk_030_h_0_un0_n CLK_030_H_0.un0
|
|
||||||
Net state_machine_un4_bgack_000_n state_machine.un4_bgack_000
|
|
||||||
Net a_c_20__n A_c[20]
|
|
||||||
Net rw_000_dma_0_un3_n RW_000_DMA_0.un3
|
|
||||||
Net clk_cnt_p_0__n CLK_CNT_P[0]
|
|
||||||
Net a_20__n A[20]
|
|
||||||
Net rw_000_dma_0_un1_n RW_000_DMA_0.un1
|
|
||||||
Net a_c_21__n A_c[21]
|
|
||||||
Net rw_000_dma_0_un0_n RW_000_DMA_0.un0
|
|
||||||
Net a_21__n A[21]
|
|
||||||
Net rw_000_int_0_un3_n RW_000_INT_0.un3
|
|
||||||
Net a_c_22__n A_c[22]
|
|
||||||
Net rw_000_int_0_un1_n RW_000_INT_0.un1
|
|
||||||
Net a_22__n A[22]
|
|
||||||
Net rw_000_int_0_un0_n RW_000_INT_0.un0
|
|
||||||
Net a_c_23__n A_c[23]
|
|
||||||
Net ipl_030_0_2__un3_n IPL_030_0_2_.un3
|
|
||||||
Net a_23__n A[23]
|
|
||||||
Net ipl_030_0_2__un1_n IPL_030_0_2_.un1
|
|
||||||
Net state_machine_clk_000_p_sync_3_0__n state_machine.CLK_000_P_SYNC_3[0]
|
|
||||||
Net a_c_24__n A_c[24]
|
|
||||||
Net ipl_030_0_2__un0_n IPL_030_0_2_.un0
|
|
||||||
Net state_machine_clk_000_n_sync_2_0__n state_machine.CLK_000_N_SYNC_2[0]
|
|
||||||
Net a_24__n A[24]
|
|
||||||
Net ipl_030_0_1__un3_n IPL_030_0_1_.un3
|
|
||||||
Net a_c_25__n A_c[25]
|
|
||||||
Net ipl_030_0_1__un1_n IPL_030_0_1_.un1
|
|
||||||
Net a_25__n A[25]
|
|
||||||
Net ipl_030_0_1__un0_n IPL_030_0_1_.un0
|
|
||||||
Net size_dma_0__n SIZE_DMA[0]
|
|
||||||
Net a_c_26__n A_c[26]
|
|
||||||
Net ipl_030_0_0__un3_n IPL_030_0_0_.un3
|
|
||||||
Net size_dma_1__n SIZE_DMA[1]
|
|
||||||
Net a_26__n A[26]
|
|
||||||
Net ipl_030_0_0__un1_n IPL_030_0_0_.un1
|
|
||||||
Net a_c_27__n A_c[27]
|
|
||||||
Net ipl_030_0_0__un0_n IPL_030_0_0_.un0
|
|
||||||
Net un4_clk_cnt_n_1_1__n un4_clk_cnt_n_1[1]
|
|
||||||
Net a_27__n A[27]
|
|
||||||
Net as_030_000_sync_0_un3_n AS_030_000_SYNC_0.un3
|
|
||||||
Net un2_clk_cnt_p_1__n un2_clk_cnt_p[1]
|
|
||||||
Net a_c_28__n A_c[28]
|
|
||||||
Net as_030_000_sync_0_un1_n AS_030_000_SYNC_0.un1
|
|
||||||
Net clk_000_p_sync_0__n CLK_000_P_SYNC[0]
|
|
||||||
Net a_28__n A[28]
|
|
||||||
Net as_030_000_sync_0_un0_n AS_030_000_SYNC_0.un0
|
|
||||||
Net clk_000_p_sync_1__n CLK_000_P_SYNC[1]
|
|
||||||
Net a_c_29__n A_c[29]
|
|
||||||
Net as_000_int_0_un3_n AS_000_INT_0.un3
|
|
||||||
Net clk_000_p_sync_2__n CLK_000_P_SYNC[2]
|
|
||||||
Net a_29__n A[29]
|
|
||||||
Net as_000_int_0_un1_n AS_000_INT_0.un1
|
|
||||||
Net clk_000_p_sync_3__n CLK_000_P_SYNC[3]
|
|
||||||
Net a_c_30__n A_c[30]
|
|
||||||
Net as_000_int_0_un0_n AS_000_INT_0.un0
|
|
||||||
Net clk_000_p_sync_4__n CLK_000_P_SYNC[4]
|
|
||||||
Net a_30__n A[30]
|
|
||||||
Net ds_000_enable_0_un3_n DS_000_ENABLE_0.un3
|
|
||||||
Net clk_000_p_sync_5__n CLK_000_P_SYNC[5]
|
|
||||||
Net a_c_31__n A_c[31]
|
|
||||||
Net ds_000_enable_0_un1_n DS_000_ENABLE_0.un1
|
|
||||||
Net clk_000_p_sync_6__n CLK_000_P_SYNC[6]
|
|
||||||
Net ds_000_enable_0_un0_n DS_000_ENABLE_0.un0
|
|
||||||
Net clk_000_p_sync_7__n CLK_000_P_SYNC[7]
|
|
||||||
Net dsack1_int_0_un3_n DSACK1_INT_0.un3
|
|
||||||
Net clk_000_p_sync_8__n CLK_000_P_SYNC[8]
|
|
||||||
Net dsack1_int_0_un1_n DSACK1_INT_0.un1
|
|
||||||
Net clk_000_n_sync_0__n CLK_000_N_SYNC[0]
|
|
||||||
Net dsack1_int_0_un0_n DSACK1_INT_0.un0
|
|
||||||
Net clk_000_n_sync_1__n CLK_000_N_SYNC[1]
|
|
||||||
Net bg_000_0_un3_n BG_000_0.un3
|
|
||||||
Net clk_000_n_sync_2__n CLK_000_N_SYNC[2]
|
|
||||||
Net bg_000_0_un1_n BG_000_0.un1
|
|
||||||
Net clk_000_n_sync_3__n CLK_000_N_SYNC[3]
|
|
||||||
Net bg_000_0_un0_n BG_000_0.un0
|
|
||||||
Net clk_000_n_sync_4__n CLK_000_N_SYNC[4]
|
|
||||||
Net lds_000_int_0_un3_n LDS_000_INT_0.un3
|
|
||||||
Net clk_000_n_sync_5__n CLK_000_N_SYNC[5]
|
|
||||||
Net lds_000_int_0_un1_n LDS_000_INT_0.un1
|
|
||||||
Net clk_000_n_sync_7__n CLK_000_N_SYNC[7]
|
|
||||||
Net lds_000_int_0_un0_n LDS_000_INT_0.un0
|
|
||||||
Net clk_000_n_sync_8__n CLK_000_N_SYNC[8]
|
|
||||||
Net uds_000_int_0_un3_n UDS_000_INT_0.un3
|
|
||||||
Net clk_000_n_sync_9__n CLK_000_N_SYNC[9]
|
|
||||||
Net uds_000_int_0_un1_n UDS_000_INT_0.un1
|
|
||||||
Net clk_000_n_sync_10__n CLK_000_N_SYNC[10]
|
|
||||||
Net uds_000_int_0_un0_n UDS_000_INT_0.un0
|
|
||||||
Net state_machine_un1_as_030_n state_machine.un1_as_030
|
|
||||||
Net bgack_030_int_0_un3_n BGACK_030_INT_0.un3
|
|
||||||
Net bgack_030_int_0_un1_n BGACK_030_INT_0.un1
|
|
||||||
Net state_machine_un8_bg_030_n state_machine.un8_bg_030
|
|
||||||
Net bgack_030_int_0_un0_n BGACK_030_INT_0.un0
|
|
||||||
Net sm_amiga_5__n SM_AMIGA[5]
|
|
||||||
Net sm_amiga_3__n SM_AMIGA[3]
|
|
||||||
Net sm_amiga_2__n SM_AMIGA[2]
|
|
||||||
Net ipl_030_c_0__n IPL_030_c[0]
|
|
||||||
Net state_machine_a0_dma_2_n state_machine.A0_DMA_2
|
|
||||||
Net ipl_030_0__n IPL_030[0]
|
|
||||||
Net state_machine_size_dma_4_0__n state_machine.SIZE_DMA_4[0]
|
|
||||||
Net ipl_030_c_1__n IPL_030_c[1]
|
|
||||||
Net state_machine_size_dma_4_1__n state_machine.SIZE_DMA_4[1]
|
|
||||||
Net ipl_030_1__n IPL_030[1]
|
|
||||||
Net ipl_030_c_2__n IPL_030_c[2]
|
|
||||||
Net ipl_c_0__n IPL_c[0]
|
|
||||||
Net ipl_0__n IPL[0]
|
|
||||||
Net ipl_c_1__n IPL_c[1]
|
|
||||||
Net ipl_1__n IPL[1]
|
|
||||||
Net ipl_c_2__n IPL_c[2]
|
|
||||||
Net sm_amiga_ns_0__n SM_AMIGA_ns[0]
|
|
||||||
Net sm_amiga_ns_1__n SM_AMIGA_ns[1]
|
|
||||||
Net sm_amiga_ns_2__n SM_AMIGA_ns[2]
|
|
||||||
Net sm_amiga_ns_3__n SM_AMIGA_ns[3]
|
|
||||||
Net sm_amiga_ns_4__n SM_AMIGA_ns[4]
|
|
||||||
Net fc_c_0__n FC_c[0]
|
|
||||||
Net sm_amiga_ns_5__n SM_AMIGA_ns[5]
|
|
||||||
Net fc_0__n FC[0]
|
|
||||||
Net sm_amiga_ns_6__n SM_AMIGA_ns[6]
|
|
||||||
Net fc_c_1__n FC_c[1]
|
|
||||||
Net cpu_est_0__n cpu_est[0]
|
|
||||||
Net cpu_est_1__n cpu_est[1]
|
|
||||||
Net cpu_est_2__n cpu_est[2]
|
|
||||||
Net cpu_est_3__n cpu_est[3]
|
|
||||||
Net cpu_est_ns_e_0__n cpu_est_ns_e[0]
|
|
||||||
Net cpu_est_ns_e_1__n cpu_est_ns_e[1]
|
|
||||||
Net cpu_est_ns_e_2__n cpu_est_ns_e[2]
|
|
||||||
Net cpu_est_ns_e_3__n cpu_est_ns_e[3]
|
|
||||||
Net state_machine_un1_as_030_i_n state_machine.un1_as_030_i
|
|
||||||
Net sm_amiga_ns_0_1__n SM_AMIGA_ns_0[1]
|
|
||||||
Net state_machine_un28_as_030_n state_machine.un28_as_030
|
|
||||||
Net sm_amiga_ns_0_2__n SM_AMIGA_ns_0[2]
|
|
||||||
Net state_machine_un5_clk_000_n_sync_n state_machine.un5_clk_000_n_sync
|
|
||||||
Net state_machine_un9_clk_000_ne_n state_machine.un9_clk_000_ne
|
|
||||||
Net state_machine_un6_bg_030_n state_machine.un6_bg_030
|
|
||||||
Net sm_amiga_ns_0_3__n SM_AMIGA_ns_0[3]
|
|
||||||
Net state_machine_un11_clk_000_ne_n state_machine.un11_clk_000_ne
|
|
||||||
Net state_machine_un15_clk_000_ne_n state_machine.un15_clk_000_ne
|
|
||||||
Net sm_amiga_ns_0_5__n SM_AMIGA_ns_0[5]
|
|
||||||
Net state_machine_un15_clk_000_ne_1_n state_machine.un15_clk_000_ne_1
|
|
||||||
Net sm_amiga_ns_0_6__n SM_AMIGA_ns_0[6]
|
|
||||||
Net state_machine_rw_000_int_3_n state_machine.RW_000_INT_3
|
|
||||||
Net sm_amiga_i_5__n SM_AMIGA_i[5]
|
|
||||||
Net cpu_est_ns_2__n cpu_est_ns[2]
|
|
||||||
Net state_machine_un3_bgack_030_int_d_i_n state_machine.un3_bgack_030_int_d_i
|
|
||||||
Net state_machine_un26_clk_000_pe_2_n state_machine.un26_clk_000_pe_2
|
|
||||||
Net state_machine_un26_clk_000_pe_n state_machine.un26_clk_000_pe
|
|
||||||
Net sm_amiga_i_6__n SM_AMIGA_i[6]
|
|
||||||
Net state_machine_un26_clk_000_pe_4_n state_machine.un26_clk_000_pe_4
|
|
||||||
Net state_machine_un5_clk_000_ne_n state_machine.un5_clk_000_ne
|
|
||||||
Net sm_amiga_i_2__n SM_AMIGA_i[2]
|
|
||||||
Net sm_amiga_i_4__n SM_AMIGA_i[4]
|
|
||||||
Net cpu_est_ns_1__n cpu_est_ns[1]
|
|
||||||
Net state_machine_un28_clk_000_pe_n state_machine.un28_clk_000_pe
|
|
||||||
Net state_machine_clk_030_h_2_n state_machine.CLK_030_H_2
|
|
||||||
Net sm_amiga_ns_0_4__n SM_AMIGA_ns_0[4]
|
|
||||||
Net sm_amiga_ns_0_0__n SM_AMIGA_ns_0[0]
|
|
||||||
Net state_machine_un8_bgack_030_int_n state_machine.un8_bgack_030_int
|
|
||||||
Net state_machine_un24_bgack_030_int_n state_machine.un24_bgack_030_int
|
|
||||||
Net state_machine_un10_bgack_030_int_n state_machine.un10_bgack_030_int
|
|
||||||
Net state_machine_un5_bgack_030_int_d_i_n state_machine.un5_bgack_030_int_d_i
|
|
||||||
Net state_machine_un31_bgack_030_int_n state_machine.un31_bgack_030_int
|
|
||||||
Net state_machine_clk_030_h_2_f1_n state_machine.CLK_030_H_2_f1
|
|
||||||
Net state_machine_clk_030_h_2_f1_0_n state_machine.CLK_030_H_2_f1_0
|
|
||||||
Net state_machine_size_dma_4_0_1__n state_machine.SIZE_DMA_4_0[1]
|
|
||||||
Net state_machine_size_dma_4_0_0__n state_machine.SIZE_DMA_4_0[0]
|
|
||||||
Net state_machine_un3_bgack_030_int_d_n state_machine.un3_bgack_030_int_d
|
|
||||||
Net state_machine_un10_bgack_030_int_0_n state_machine.un10_bgack_030_int_0
|
|
||||||
Net state_machine_un26_clk_000_pe_i_n state_machine.un26_clk_000_pe_i
|
|
||||||
Net state_machine_un5_clk_000_ne_i_n state_machine.un5_clk_000_ne_i
|
|
||||||
Net state_machine_un28_clk_000_pe_0_n state_machine.un28_clk_000_pe_0
|
|
||||||
Net cpu_est_ns_0_1__n cpu_est_ns_0[1]
|
|
||||||
Net state_machine_un26_clk_000_pe_2_i_n state_machine.un26_clk_000_pe_2_i
|
|
||||||
Net cpu_est_ns_0_2__n cpu_est_ns_0[2]
|
|
||||||
Net state_machine_rw_000_int_3_0_n state_machine.RW_000_INT_3_0
|
|
||||||
Net state_machine_un9_clk_000_ne_i_n state_machine.un9_clk_000_ne_i
|
|
||||||
Net state_machine_un15_clk_000_ne_i_n state_machine.un15_clk_000_ne_i
|
|
||||||
Net state_machine_un11_clk_000_ne_i_n state_machine.un11_clk_000_ne_i
|
|
||||||
Net state_machine_un6_bg_030_i_n state_machine.un6_bg_030_i
|
|
||||||
Net state_machine_un8_bg_030_0_n state_machine.un8_bg_030_0
|
|
||||||
Net state_machine_un4_bgack_000_0_n state_machine.un4_bgack_000_0
|
|
||||||
Net a_i_24__n A_i[24]
|
|
||||||
Net a_i_25__n A_i[25]
|
|
||||||
Net state_machine_un7_ds_030_i_n state_machine.un7_ds_030_i
|
|
||||||
Net a_i_26__n A_i[26]
|
|
||||||
Net a_i_27__n A_i[27]
|
|
||||||
Net size_c_i_1__n SIZE_c_i[1]
|
|
||||||
Net a_i_28__n A_i[28]
|
|
||||||
Net cpu_est_ns_0_1_2__n cpu_est_ns_0_1[2]
|
|
||||||
Net a_i_29__n A_i[29]
|
|
||||||
Net sm_amiga_ns_0_1_0__n SM_AMIGA_ns_0_1[0]
|
|
||||||
Net a_i_30__n A_i[30]
|
|
||||||
Net sm_amiga_ns_0_2_0__n SM_AMIGA_ns_0_2[0]
|
|
||||||
Net a_i_31__n A_i[31]
|
|
||||||
Net sm_amiga_ns_0_3_0__n SM_AMIGA_ns_0_3[0]
|
|
||||||
Net state_machine_un7_ds_030_i_1_n state_machine.un7_ds_030_i_1
|
|
||||||
Net sm_amiga_i_1__n SM_AMIGA_i[1]
|
|
||||||
Net sm_amiga_i_3__n SM_AMIGA_i[3]
|
|
||||||
Net sm_amiga_i_0__n SM_AMIGA_i[0]
|
|
||||||
Net sm_amiga_i_7__n SM_AMIGA_i[7]
|
|
||||||
Net state_machine_un28_as_030_1_n state_machine.un28_as_030_1
|
|
||||||
Net state_machine_un28_as_030_2_n state_machine.un28_as_030_2
|
|
||||||
Net state_machine_un24_bgack_030_int_i_n state_machine.un24_bgack_030_int_i
|
|
||||||
Net state_machine_un15_clk_000_ne_1_0_n state_machine.un15_clk_000_ne_1_0
|
|
||||||
Net state_machine_un6_bg_030_1_n state_machine.un6_bg_030_1
|
|
||||||
Net state_machine_clk_000_p_sync_3_1_0__n state_machine.CLK_000_P_SYNC_3_1[0]
|
|
||||||
Net state_machine_clk_000_p_sync_3_2_0__n state_machine.CLK_000_P_SYNC_3_2[0]
|
|
||||||
Net state_machine_clk_000_n_sync_2_1_0__n state_machine.CLK_000_N_SYNC_2_1[0]
|
|
||||||
Net state_machine_un8_bgack_030_int_i_n state_machine.un8_bgack_030_int_i
|
|
||||||
Net state_machine_un31_bgack_030_int_i_n state_machine.un31_bgack_030_int_i
|
|
||||||
Net state_machine_un26_clk_000_pe_1_n state_machine.un26_clk_000_pe_1
|
|
||||||
Net state_machine_un26_clk_000_pe_4_1_n state_machine.un26_clk_000_pe_4_1
|
|
||||||
Net state_machine_un5_clk_000_ne_1_n state_machine.un5_clk_000_ne_1
|
|
||||||
Net cpu_est_i_3__n cpu_est_i[3]
|
|
||||||
Net state_machine_un5_clk_000_ne_2_n state_machine.un5_clk_000_ne_2
|
|
||||||
Net cpu_est_i_2__n cpu_est_i[2]
|
|
||||||
Net cpu_est_ns_0_1_1__n cpu_est_ns_0_1[1]
|
|
||||||
Net cpu_est_i_1__n cpu_est_i[1]
|
|
||||||
Net cpu_est_ns_0_2_1__n cpu_est_ns_0_2[1]
|
|
||||||
Net cpu_est_i_0__n cpu_est_i[0]
|
|
||||||
Net state_machine_un15_clk_000_ne_1_i_n state_machine.un15_clk_000_ne_1_i
|
|
||||||
End
|
|
||||||
Section Type Name
|
|
||||||
// ----------------------------------------------------------------------
|
|
||||||
Input A_31_
|
|
||||||
Input IPL_2_
|
|
||||||
Input FC_1_
|
|
||||||
Input nEXP_SPACE
|
|
||||||
Input BERR
|
|
||||||
Input BG_030
|
|
||||||
Input BGACK_000
|
|
||||||
Input CLK_030
|
|
||||||
Input CLK_000
|
|
||||||
Input CLK_OSZI
|
|
||||||
Input VPA
|
|
||||||
Input RST
|
|
||||||
Input A_30_
|
|
||||||
Input A_29_
|
|
||||||
Input A_28_
|
|
||||||
Input A_27_
|
|
||||||
Input A_26_
|
|
||||||
Input A_25_
|
|
||||||
Input A_24_
|
|
||||||
Input A_23_
|
|
||||||
Input A_22_
|
|
||||||
Input A_21_
|
|
||||||
Input A_20_
|
|
||||||
Input A_19_
|
|
||||||
Input A_18_
|
|
||||||
Input A_17_
|
|
||||||
Input A_16_
|
|
||||||
Input IPL_1_
|
|
||||||
Input IPL_0_
|
|
||||||
Input FC_0_
|
|
||||||
Output IPL_030_2_
|
|
||||||
Output BG_000
|
|
||||||
Output BGACK_030
|
|
||||||
Output CLK_DIV_OUT
|
|
||||||
Output CLK_EXP
|
|
||||||
Output FPU_CS
|
|
||||||
Output AVEC
|
|
||||||
Output AVEC_EXP
|
|
||||||
Output E
|
|
||||||
Output VMA
|
|
||||||
Output RESET
|
|
||||||
Output AMIGA_BUS_ENABLE
|
|
||||||
Output AMIGA_BUS_DATA_DIR
|
|
||||||
Output AMIGA_BUS_ENABLE_LOW
|
|
||||||
Output CIIN
|
|
||||||
Output IPL_030_1_
|
|
||||||
Output IPL_030_0_
|
|
||||||
Bidi SIZE_1_
|
|
||||||
Bidi AS_030
|
|
||||||
Bidi AS_000
|
|
||||||
Bidi RW_000
|
|
||||||
Bidi DS_030
|
|
||||||
Bidi UDS_000
|
|
||||||
Bidi LDS_000
|
|
||||||
Bidi A0
|
|
||||||
Bidi DSACK1
|
|
||||||
Bidi DTACK
|
|
||||||
Bidi RW
|
|
||||||
Bidi SIZE_0_
|
|
||||||
End
|
|
|
@ -6,7 +6,7 @@
|
||||||
#Implementation: logic
|
#Implementation: logic
|
||||||
|
|
||||||
$ Start of Compile
|
$ Start of Compile
|
||||||
#Sun Jun 22 21:24:14 2014
|
#Fri Jul 18 14:05:26 2014
|
||||||
|
|
||||||
Synopsys VHDL Compiler, version comp201209rcp1, Build 283R, built Mar 19 2013
|
Synopsys VHDL Compiler, version comp201209rcp1, Build 283R, built Mar 19 2013
|
||||||
@N|Running in 64-bit mode
|
@N|Running in 64-bit mode
|
||||||
|
@ -19,16 +19,19 @@ VHDL syntax check successful!
|
||||||
File C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd changed - recompiling
|
File C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd changed - recompiling
|
||||||
@N: CD630 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":13:7:13:14|Synthesizing work.bus68030.behavioral
|
@N: CD630 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":13:7:13:14|Synthesizing work.bus68030.behavioral
|
||||||
Post processing for work.bus68030.behavioral
|
Post processing for work.bus68030.behavioral
|
||||||
@W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":136:34:136:36|Pruning register CLK_000_NE_D
|
@W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":496:2:496:3|Pruning register CLK_OUT_PRE_33
|
||||||
@W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":117:53:117:56|Pruning register FPU_CS_INT
|
@W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":140:32:140:34|Pruning register CLK_REF(1 downto 0)
|
||||||
@W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":138:32:138:34|Pruning register CLK_REF(1 downto 0)
|
@W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":112:29:112:31|Pruning register DTACK_D0
|
||||||
@W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":110:29:110:31|Pruning register DTACK_D0
|
@W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":133:34:133:36|Pruning register CLK_000_D4
|
||||||
@W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":131:34:131:36|Pruning register CLK_000_D4
|
@W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":132:34:132:36|Pruning register CLK_000_D3
|
||||||
@W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":124:35:124:37|Pruning register CLK_OUT_NE
|
@W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":131:34:131:36|Pruning register CLK_000_D2
|
||||||
@W: CL265 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":133:61:133:75|Pruning bit 12 of CLK_000_N_SYNC(12 downto 0) -- not in use ...
|
@W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":126:35:126:37|Pruning register CLK_OUT_NE
|
||||||
@W: CL271 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":102:36:102:38|Pruning bits 12 to 10 of CLK_000_P_SYNC(12 downto 0) -- not in use ...
|
@W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":161:2:161:3|Pruning register CLK_CNT_P(1 downto 0)
|
||||||
@A: CL282 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":137:35:137:37|Feedback mux created for signal CLK_030_H -- possible set/reset assignment for signal missing. Specifying a reset value will improve timing and area.
|
@W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":148:2:148:3|Pruning register CLK_CNT_N(1 downto 0)
|
||||||
@N: CL201 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":138:32:138:34|Trying to extract state machine for register SM_AMIGA
|
@W: CL265 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":135:61:135:75|Pruning bit 12 of CLK_000_N_SYNC(12 downto 0) -- not in use ...
|
||||||
|
@W: CL271 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":105:36:105:38|Pruning bits 12 to 10 of CLK_000_P_SYNC(12 downto 0) -- not in use ...
|
||||||
|
@A: CL282 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":102:32:102:34|Feedback mux created for signal CLK_030_H -- possible set/reset assignment for signal missing. Specifying a reset value will improve timing and area.
|
||||||
|
@N: CL201 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":140:32:140:34|Trying to extract state machine for register SM_AMIGA
|
||||||
Extracted state machine for register SM_AMIGA
|
Extracted state machine for register SM_AMIGA
|
||||||
State machine has 8 reachable states with original encodings of:
|
State machine has 8 reachable states with original encodings of:
|
||||||
000
|
000
|
||||||
|
@ -39,7 +42,7 @@ State machine has 8 reachable states with original encodings of:
|
||||||
101
|
101
|
||||||
110
|
110
|
||||||
111
|
111
|
||||||
@N: CL201 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":126:34:126:36|Trying to extract state machine for register cpu_est
|
@N: CL201 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":128:34:128:36|Trying to extract state machine for register cpu_est
|
||||||
Extracted state machine for register cpu_est
|
Extracted state machine for register cpu_est
|
||||||
State machine has 11 reachable states with original encodings of:
|
State machine has 11 reachable states with original encodings of:
|
||||||
0000
|
0000
|
||||||
|
@ -55,7 +58,7 @@ State machine has 11 reachable states with original encodings of:
|
||||||
1111
|
1111
|
||||||
@END
|
@END
|
||||||
Process took 0h:00m:01s realtime, 0h:00m:01s cputime
|
Process took 0h:00m:01s realtime, 0h:00m:01s cputime
|
||||||
# Sun Jun 22 21:24:14 2014
|
# Fri Jul 18 14:05:26 2014
|
||||||
|
|
||||||
###########################################################]
|
###########################################################]
|
||||||
Map & Optimize Report
|
Map & Optimize Report
|
||||||
|
@ -91,17 +94,17 @@ original code -> new code
|
||||||
Resource Usage Report
|
Resource Usage Report
|
||||||
|
|
||||||
Simple gate primitives:
|
Simple gate primitives:
|
||||||
DFFRH 47 uses
|
DFFRH 44 uses
|
||||||
DFFSH 27 uses
|
DFFSH 26 uses
|
||||||
DFF 1 use
|
DFF 1 use
|
||||||
BI_DIR 12 uses
|
BI_DIR 13 uses
|
||||||
IBUF 30 uses
|
IBUF 30 uses
|
||||||
OBUF 16 uses
|
OBUF 16 uses
|
||||||
BUFTH 1 use
|
BUFTH 1 use
|
||||||
AND2 212 uses
|
AND2 203 uses
|
||||||
INV 173 uses
|
INV 161 uses
|
||||||
OR2 21 uses
|
OR2 21 uses
|
||||||
XOR2 4 uses
|
XOR2 2 uses
|
||||||
|
|
||||||
|
|
||||||
@N: FC100 |Timing Report not generated for this device, please use place and route tools for timing analysis.
|
@N: FC100 |Timing Report not generated for this device, please use place and route tools for timing analysis.
|
||||||
|
@ -111,6 +114,6 @@ Mapper successful!
|
||||||
At Mapper Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 31MB peak: 96MB)
|
At Mapper Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 31MB peak: 96MB)
|
||||||
|
|
||||||
Process took 0h:00m:01s realtime, 0h:00m:01s cputime
|
Process took 0h:00m:01s realtime, 0h:00m:01s cputime
|
||||||
# Sun Jun 22 21:24:16 2014
|
# Fri Jul 18 14:05:28 2014
|
||||||
|
|
||||||
###########################################################]
|
###########################################################]
|
||||||
|
|
|
@ -1,3 +0,0 @@
|
||||||
clk i
|
|
||||||
rst_n i
|
|
||||||
clk_2by3 o
|
|
|
@ -1,7 +1,7 @@
|
||||||
#-- Synopsys, Inc.
|
#-- Synopsys, Inc.
|
||||||
#-- Version G-2012.09LC-SP1
|
#-- Version G-2012.09LC-SP1
|
||||||
#-- Project file C:\users\matze\documents\github\68030tk\logic\run_options.txt
|
#-- Project file C:\users\matze\documents\github\68030tk\logic\run_options.txt
|
||||||
#-- Written on Sun Jun 22 21:24:14 2014
|
#-- Written on Fri Jul 18 14:05:26 2014
|
||||||
|
|
||||||
|
|
||||||
#project files
|
#project files
|
||||||
|
|
|
@ -1,39 +0,0 @@
|
||||||
ABEL5DEV=C:\Program Files (x86)\ispLever\ispcpld\lib5
|
|
||||||
DIOEDA_ABEL5DEV=C:\Program Files (x86)\ispLever\ispcpld\lib5
|
|
||||||
DIOEDA_ActiveHDL=C:\Program Files (x86)\ispLever\active-hdl\BIN
|
|
||||||
DIOEDA_ActiveHDLPath=C:\Program Files (x86)\ispLever\active-hdl\BIN
|
|
||||||
DIOEDA_AppNotes=C:\Program Files (x86)\ispLever\ispcpld\bin
|
|
||||||
DIOEDA_Bin=C:\Program Files (x86)\ispLever\ispcpld\bin
|
|
||||||
DIOEDA_Config=C:\Program Files (x86)\ispLever\ispcpld\config
|
|
||||||
DIOEDA_CONTEXT=ispLEVER CLASSIC
|
|
||||||
DIOEDA_DSPPATH=C:\Program Files (x86)\ispLever\ispLeverDSP
|
|
||||||
DIOEDA_EPICPATH=C:\Program Files (x86)\ispLever\ispfpga\bin\nt
|
|
||||||
DIOEDA_Examples=C:\Program Files (x86)\ispLever\examples
|
|
||||||
DIOEDA_FPGABinPath=C:\Program Files (x86)\ispLever\ispfpga\bin\nt
|
|
||||||
DIOEDA_FPGAPath=C:\Program Files (x86)\ispLever\ispfpga
|
|
||||||
DIOEDA_HDLExplorer=C:\Program Files (x86)\ispLever\hdle\win32
|
|
||||||
DIOEDA_INI=C:\lsc_env
|
|
||||||
DIOEDA_ispVM=C:\Program Files (x86)\ispLever\ispvmsystem
|
|
||||||
DIOEDA_ispVMSystem=C:\Program Files (x86)\ispLever\ispvmsystem
|
|
||||||
DIOEDA_License=C:\Program Files (x86)\ispLever\license
|
|
||||||
DIOEDA_MachPath=C:\Program Files (x86)\ispLever\ispcpld\bin
|
|
||||||
DIOEDA_Manuals=C:\Program Files (x86)\ispLever\ispcpld\manuals
|
|
||||||
DIOEDA_ModelSim=C:\Program Files (x86)\ispLever\modelsim\win32loem
|
|
||||||
DIOEDA_ModelsimPath=C:\Program Files (x86)\ispLever\modelsim\win32loem
|
|
||||||
DIOEDA_PDSPath=C:\Program Files (x86)\ispLever\ispcomp
|
|
||||||
DIOEDA_Precision=C:\isptools\precision
|
|
||||||
DIOEDA_PrecisionPath=C:\isptools\precision
|
|
||||||
DIOEDA_ProductName=ispLEVER
|
|
||||||
DIOEDA_ProductPrefix=SYN
|
|
||||||
DIOEDA_ProductTitle=ispLEVER
|
|
||||||
DIOEDA_ProductType=1.7.00.05.28.13_LS_HDL_BASE_PC_N
|
|
||||||
DIOEDA_ProductVersion=1.7.00.05
|
|
||||||
DIOEDA_ProgramFolder=Lattice Semiconductor ispLEVER Classic 1.7
|
|
||||||
DIOEDA_Root=C:\Program Files (x86)\ispLever\ispcpld
|
|
||||||
DIOEDA_Spectrum=C:\isptools\spectrum
|
|
||||||
DIOEDA_SpectrumPath=C:\isptools\spectrum
|
|
||||||
DIOEDA_Synplify=C:\Program Files (x86)\ispLever\synpbase
|
|
||||||
DIOEDA_SynplifyPath=C:\Program Files (x86)\ispLever\synpbase
|
|
||||||
DIOEDA_Tutorial=C:\Program Files (x86)\ispLever\ispcpld\tutorial
|
|
||||||
DIOPRODUCT=ispLEVER
|
|
||||||
PATH=C:\Program Files (x86)\ispLever\ispcpld\bin
|
|
|
@ -29,17 +29,17 @@ original code -> new code
|
||||||
Resource Usage Report
|
Resource Usage Report
|
||||||
|
|
||||||
Simple gate primitives:
|
Simple gate primitives:
|
||||||
DFFRH 47 uses
|
DFFRH 44 uses
|
||||||
DFFSH 27 uses
|
DFFSH 26 uses
|
||||||
DFF 1 use
|
DFF 1 use
|
||||||
BI_DIR 12 uses
|
BI_DIR 13 uses
|
||||||
IBUF 30 uses
|
IBUF 30 uses
|
||||||
OBUF 16 uses
|
OBUF 16 uses
|
||||||
BUFTH 1 use
|
BUFTH 1 use
|
||||||
AND2 212 uses
|
AND2 203 uses
|
||||||
INV 173 uses
|
INV 161 uses
|
||||||
OR2 21 uses
|
OR2 21 uses
|
||||||
XOR2 4 uses
|
XOR2 2 uses
|
||||||
|
|
||||||
|
|
||||||
@N: FC100 |Timing Report not generated for this device, please use place and route tools for timing analysis.
|
@N: FC100 |Timing Report not generated for this device, please use place and route tools for timing analysis.
|
||||||
|
@ -49,6 +49,6 @@ Mapper successful!
|
||||||
At Mapper Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 31MB peak: 96MB)
|
At Mapper Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 31MB peak: 96MB)
|
||||||
|
|
||||||
Process took 0h:00m:01s realtime, 0h:00m:01s cputime
|
Process took 0h:00m:01s realtime, 0h:00m:01s cputime
|
||||||
# Sun Jun 22 21:24:16 2014
|
# Fri Jul 18 14:05:28 2014
|
||||||
|
|
||||||
###########################################################]
|
###########################################################]
|
||||||
|
|
|
@ -1,3 +1,3 @@
|
||||||
@E: CD415 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":542:58:542:59|Expecting keyword else
|
@E: CG119 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":426:92:426:93|Expecting closing )
|
||||||
@E|Parse errors encountered - exiting
|
@E|Parse errors encountered - exiting
|
||||||
|
|
||||||
|
|
|
@ -2,6 +2,6 @@
|
||||||
@N: CD720 :"C:\Program Files (x86)\ispLever\synpbase\lib\vhd\std.vhd":123:18:123:21|Setting time resolution to ns
|
@N: CD720 :"C:\Program Files (x86)\ispLever\synpbase\lib\vhd\std.vhd":123:18:123:21|Setting time resolution to ns
|
||||||
@N:"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":13:7:13:14|Top entity is set to BUS68030.
|
@N:"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":13:7:13:14|Top entity is set to BUS68030.
|
||||||
@N: CD630 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":13:7:13:14|Synthesizing work.bus68030.behavioral
|
@N: CD630 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":13:7:13:14|Synthesizing work.bus68030.behavioral
|
||||||
@N: CL201 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":138:32:138:34|Trying to extract state machine for register SM_AMIGA
|
@N: CL201 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":140:32:140:34|Trying to extract state machine for register SM_AMIGA
|
||||||
@N: CL201 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":126:34:126:36|Trying to extract state machine for register cpu_est
|
@N: CL201 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":128:34:128:36|Trying to extract state machine for register cpu_est
|
||||||
|
|
||||||
|
|
|
@ -18,7 +18,7 @@ The file contains the job information from compiler to be displayed as part of t
|
||||||
<report_link name="more"><data>C:\users\matze\documents\github\68030tk\logic\synlog\report\BUS68030_compiler_notes.txt</data></report_link>
|
<report_link name="more"><data>C:\users\matze\documents\github\68030tk\logic\synlog\report\BUS68030_compiler_notes.txt</data></report_link>
|
||||||
</info>
|
</info>
|
||||||
<info name="Warnings">
|
<info name="Warnings">
|
||||||
<data>8</data>
|
<data>11</data>
|
||||||
<report_link name="more"><data>C:\users\matze\documents\github\68030tk\logic\synlog\report\BUS68030_compiler_warnings.txt</data></report_link>
|
<report_link name="more"><data>C:\users\matze\documents\github\68030tk\logic\synlog\report\BUS68030_compiler_warnings.txt</data></report_link>
|
||||||
</info>
|
</info>
|
||||||
<info name="Errors">
|
<info name="Errors">
|
||||||
|
@ -35,7 +35,7 @@ The file contains the job information from compiler to be displayed as part of t
|
||||||
<data>-</data>
|
<data>-</data>
|
||||||
</info>
|
</info>
|
||||||
<info name="Date &Time">
|
<info name="Date &Time">
|
||||||
<data type="timestamp">1403465054</data>
|
<data type="timestamp">1405685126</data>
|
||||||
</info>
|
</info>
|
||||||
</job_info>
|
</job_info>
|
||||||
</job_run_status>
|
</job_run_status>
|
|
@ -1,9 +1,12 @@
|
||||||
@W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":136:34:136:36|Pruning register CLK_000_NE_D
|
@W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":496:2:496:3|Pruning register CLK_OUT_PRE_33
|
||||||
@W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":117:53:117:56|Pruning register FPU_CS_INT
|
@W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":140:32:140:34|Pruning register CLK_REF(1 downto 0)
|
||||||
@W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":138:32:138:34|Pruning register CLK_REF(1 downto 0)
|
@W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":112:29:112:31|Pruning register DTACK_D0
|
||||||
@W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":110:29:110:31|Pruning register DTACK_D0
|
@W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":133:34:133:36|Pruning register CLK_000_D4
|
||||||
@W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":131:34:131:36|Pruning register CLK_000_D4
|
@W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":132:34:132:36|Pruning register CLK_000_D3
|
||||||
@W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":124:35:124:37|Pruning register CLK_OUT_NE
|
@W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":131:34:131:36|Pruning register CLK_000_D2
|
||||||
@W: CL265 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":133:61:133:75|Pruning bit 12 of CLK_000_N_SYNC(12 downto 0) -- not in use ...
|
@W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":126:35:126:37|Pruning register CLK_OUT_NE
|
||||||
@W: CL271 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":102:36:102:38|Pruning bits 12 to 10 of CLK_000_P_SYNC(12 downto 0) -- not in use ...
|
@W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":161:2:161:3|Pruning register CLK_CNT_P(1 downto 0)
|
||||||
|
@W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":148:2:148:3|Pruning register CLK_CNT_N(1 downto 0)
|
||||||
|
@W: CL265 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":135:61:135:75|Pruning bit 12 of CLK_000_N_SYNC(12 downto 0) -- not in use ...
|
||||||
|
@W: CL271 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":105:36:105:38|Pruning bits 12 to 10 of CLK_000_P_SYNC(12 downto 0) -- not in use ...
|
||||||
|
|
||||||
|
|
|
@ -39,7 +39,7 @@ The file contains the job information from mapper to be displayed as part of the
|
||||||
<data>96MB</data>
|
<data>96MB</data>
|
||||||
</info>
|
</info>
|
||||||
<info name="Date & Time">
|
<info name="Date & Time">
|
||||||
<data type="timestamp">1403465056</data>
|
<data type="timestamp">1405685128</data>
|
||||||
</info>
|
</info>
|
||||||
</job_info>
|
</job_info>
|
||||||
</job_run_status>
|
</job_run_status>
|
||||||
|
|
|
@ -3,7 +3,7 @@
|
||||||
Synopsys, Inc.
|
Synopsys, Inc.
|
||||||
Version G-2012.09LC-SP1
|
Version G-2012.09LC-SP1
|
||||||
Project file C:\users\matze\documents\github\68030tk\logic\syntmp\run_option.xml
|
Project file C:\users\matze\documents\github\68030tk\logic\syntmp\run_option.xml
|
||||||
Written on Sun Jun 22 21:24:14 2014
|
Written on Fri Jul 18 14:05:26 2014
|
||||||
|
|
||||||
|
|
||||||
-->
|
-->
|
||||||
|
|
|
@ -10,7 +10,7 @@
|
||||||
#CUR:"C:\\Program Files (x86)\\ispLever\\synpbase\\lib\\vhd\\umr_capim.vhd":1363694328
|
#CUR:"C:\\Program Files (x86)\\ispLever\\synpbase\\lib\\vhd\\umr_capim.vhd":1363694328
|
||||||
#CUR:"C:\\Program Files (x86)\\ispLever\\synpbase\\lib\\vhd\\arith.vhd":1363694328
|
#CUR:"C:\\Program Files (x86)\\ispLever\\synpbase\\lib\\vhd\\arith.vhd":1363694328
|
||||||
#CUR:"C:\\Program Files (x86)\\ispLever\\synpbase\\lib\\vhd\\unsigned.vhd":1363694328
|
#CUR:"C:\\Program Files (x86)\\ispLever\\synpbase\\lib\\vhd\\unsigned.vhd":1363694328
|
||||||
#CUR:"C:\\users\\matze\\documents\\github\\68030tk\\logic\\68030-68000-bus.vhd":1403465050
|
#CUR:"C:\\users\\matze\\documents\\github\\68030tk\\logic\\68030-68000-bus.vhd":1405685121
|
||||||
0 "C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd" vhdl
|
0 "C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd" vhdl
|
||||||
|
|
||||||
# Dependency Lists (Uses list)
|
# Dependency Lists (Uses list)
|
||||||
|
|
|
@ -10,7 +10,7 @@
|
||||||
#CUR:"C:\\Program Files (x86)\\ispLever\\synpbase\\lib\\vhd\\umr_capim.vhd":1363694328
|
#CUR:"C:\\Program Files (x86)\\ispLever\\synpbase\\lib\\vhd\\umr_capim.vhd":1363694328
|
||||||
#CUR:"C:\\Program Files (x86)\\ispLever\\synpbase\\lib\\vhd\\arith.vhd":1363694328
|
#CUR:"C:\\Program Files (x86)\\ispLever\\synpbase\\lib\\vhd\\arith.vhd":1363694328
|
||||||
#CUR:"C:\\Program Files (x86)\\ispLever\\synpbase\\lib\\vhd\\unsigned.vhd":1363694328
|
#CUR:"C:\\Program Files (x86)\\ispLever\\synpbase\\lib\\vhd\\unsigned.vhd":1363694328
|
||||||
#CUR:"C:\\users\\matze\\documents\\github\\68030tk\\logic\\68030-68000-bus.vhd":1403465050
|
#CUR:"C:\\users\\matze\\documents\\github\\68030tk\\logic\\68030-68000-bus.vhd":1405685121
|
||||||
0 "C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd" vhdl
|
0 "C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd" vhdl
|
||||||
|
|
||||||
# Dependency Lists (Uses list)
|
# Dependency Lists (Uses list)
|
||||||
|
|
Binary file not shown.
|
@ -1,15 +1,18 @@
|
||||||
@N: CD630 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":13:7:13:14|Synthesizing work.bus68030.behavioral
|
@N: CD630 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":13:7:13:14|Synthesizing work.bus68030.behavioral
|
||||||
Post processing for work.bus68030.behavioral
|
Post processing for work.bus68030.behavioral
|
||||||
@W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":136:34:136:36|Pruning register CLK_000_NE_D
|
@W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":496:2:496:3|Pruning register CLK_OUT_PRE_33
|
||||||
@W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":117:53:117:56|Pruning register FPU_CS_INT
|
@W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":140:32:140:34|Pruning register CLK_REF(1 downto 0)
|
||||||
@W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":138:32:138:34|Pruning register CLK_REF(1 downto 0)
|
@W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":112:29:112:31|Pruning register DTACK_D0
|
||||||
@W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":110:29:110:31|Pruning register DTACK_D0
|
@W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":133:34:133:36|Pruning register CLK_000_D4
|
||||||
@W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":131:34:131:36|Pruning register CLK_000_D4
|
@W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":132:34:132:36|Pruning register CLK_000_D3
|
||||||
@W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":124:35:124:37|Pruning register CLK_OUT_NE
|
@W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":131:34:131:36|Pruning register CLK_000_D2
|
||||||
@W: CL265 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":133:61:133:75|Pruning bit 12 of CLK_000_N_SYNC(12 downto 0) -- not in use ...
|
@W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":126:35:126:37|Pruning register CLK_OUT_NE
|
||||||
@W: CL271 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":102:36:102:38|Pruning bits 12 to 10 of CLK_000_P_SYNC(12 downto 0) -- not in use ...
|
@W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":161:2:161:3|Pruning register CLK_CNT_P(1 downto 0)
|
||||||
@A: CL282 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":137:35:137:37|Feedback mux created for signal CLK_030_H -- possible set/reset assignment for signal missing. Specifying a reset value will improve timing and area.
|
@W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":148:2:148:3|Pruning register CLK_CNT_N(1 downto 0)
|
||||||
@N: CL201 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":138:32:138:34|Trying to extract state machine for register SM_AMIGA
|
@W: CL265 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":135:61:135:75|Pruning bit 12 of CLK_000_N_SYNC(12 downto 0) -- not in use ...
|
||||||
|
@W: CL271 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":105:36:105:38|Pruning bits 12 to 10 of CLK_000_P_SYNC(12 downto 0) -- not in use ...
|
||||||
|
@A: CL282 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":102:32:102:34|Feedback mux created for signal CLK_030_H -- possible set/reset assignment for signal missing. Specifying a reset value will improve timing and area.
|
||||||
|
@N: CL201 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":140:32:140:34|Trying to extract state machine for register SM_AMIGA
|
||||||
Extracted state machine for register SM_AMIGA
|
Extracted state machine for register SM_AMIGA
|
||||||
State machine has 8 reachable states with original encodings of:
|
State machine has 8 reachable states with original encodings of:
|
||||||
000
|
000
|
||||||
|
@ -20,7 +23,7 @@ State machine has 8 reachable states with original encodings of:
|
||||||
101
|
101
|
||||||
110
|
110
|
||||||
111
|
111
|
||||||
@N: CL201 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":126:34:126:36|Trying to extract state machine for register cpu_est
|
@N: CL201 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":128:34:128:36|Trying to extract state machine for register cpu_est
|
||||||
Extracted state machine for register cpu_est
|
Extracted state machine for register cpu_est
|
||||||
State machine has 11 reachable states with original encodings of:
|
State machine has 11 reachable states with original encodings of:
|
||||||
0000
|
0000
|
||||||
|
|
Loading…
Reference in New Issue
Block a user