AppleIISd/VHDL/AppleIISd.vhd

173 lines
4.5 KiB
VHDL
Raw Normal View History

2017-08-27 10:21:26 +00:00
----------------------------------------------------------------------------------
2017-10-10 20:55:21 +00:00
-- Company:
-- Engineer:
2017-08-27 10:21:26 +00:00
--
2017-10-10 20:55:21 +00:00
-- Create Date: 20:44:25 10/09/2017
-- Design Name:
-- Module Name: IO - Behavioral
-- Project Name:
-- Target Devices:
2017-08-27 10:21:26 +00:00
-- Tool versions:
2017-10-10 20:55:21 +00:00
-- Description:
2017-08-27 10:21:26 +00:00
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
2017-10-10 20:55:21 +00:00
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--use IEEE.NUMERIC_STD.ALL;
-- Uncomment the following library declaration if instantiating
-- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
2017-08-27 10:21:26 +00:00
entity AppleIISd is
2017-09-03 12:51:09 +00:00
Port (
2017-10-16 20:53:41 +00:00
ADD_HIGH : in std_logic_vector(11 downto 8);
2017-10-10 20:55:21 +00:00
ADD_LOW : in std_logic_vector(1 downto 0);
B : out std_logic_vector(10 downto 8);
CARD : in std_logic;
DATA : inout std_logic_vector (7 downto 0);
CLK : in std_logic;
LED : out std_logic;
NDEV_SEL : in std_logic;
NG : out std_logic;
NIO_SEL : in std_logic;
NIO_STB : in std_logic;
NOE : out std_logic;
2019-02-10 11:47:46 +00:00
NWE : out std_logic;
2017-10-10 20:55:21 +00:00
PHI0 : in std_logic;
NRESET : in std_logic;
RNW : in std_logic;
MISO : in std_logic;
MOSI : out std_logic;
NSEL : out std_logic;
SCLK : out std_logic;
WP : in std_logic
-- synthesis translate_off
;
data_dbg : out std_logic_vector (7 downto 0);
2017-10-15 18:58:33 +00:00
add_dbg : out std_logic_vector (1 downto 0);
data_en_dbg : out std_logic
2017-10-10 20:55:21 +00:00
-- synthesis translate_on
2017-09-10 12:07:23 +00:00
);
2017-08-27 10:21:26 +00:00
end AppleIISd;
architecture Behavioral of AppleIISd is
2017-10-10 20:55:21 +00:00
signal data_in : std_logic_vector (7 downto 0);
signal data_out : std_logic_vector (7 downto 0);
signal addr_low_int : std_logic_vector (1 downto 0);
2017-09-03 12:51:09 +00:00
2017-10-10 20:55:21 +00:00
signal data_en : std_logic;
2019-02-14 22:58:48 +00:00
signal pgm_en : std_logic;
2017-10-10 20:55:21 +00:00
component SpiController is
Port (
data_in : in std_logic_vector (7 downto 0);
data_out : out std_logic_vector (7 downto 0);
is_read : in std_logic;
nreset : in std_logic;
addr : in std_logic_vector (1 downto 0);
phi0 : in std_logic;
ndev_sel : in std_logic;
clk : in std_logic;
miso: in std_logic;
mosi : out std_logic;
sclk : out std_logic;
nsel : out std_logic;
wp : in std_logic;
card : in std_logic;
2019-02-14 22:58:48 +00:00
led : out std_logic;
pgm_en : out std_logic
2017-10-10 20:55:21 +00:00
);
end component;
2017-08-27 10:21:26 +00:00
2019-02-10 11:47:46 +00:00
component AddressDecoder
2017-10-10 20:55:21 +00:00
Port (
2017-10-16 20:53:41 +00:00
A : in std_logic_vector (11 downto 8);
2017-10-10 20:55:21 +00:00
B : out std_logic_vector (10 downto 8);
2017-10-13 21:04:38 +00:00
CLK : in std_logic;
PHI0 : in std_logic;
2017-10-10 20:55:21 +00:00
RNW : in std_logic;
NDEV_SEL : in std_logic;
NIO_SEL : in std_logic;
NIO_STB : in std_logic;
NRESET : in std_logic;
DATA_EN : out std_logic;
2019-02-14 22:58:48 +00:00
PGM_EN : in std_logic;
2017-10-10 20:55:21 +00:00
NG : out std_logic;
2017-10-23 20:42:27 +00:00
NOE : out std_logic;
2019-02-14 19:37:35 +00:00
NWE : out std_logic
2019-02-10 11:47:46 +00:00
);
end component;
2017-08-27 10:21:26 +00:00
2017-10-10 20:55:21 +00:00
begin
spi: SpiController port map(
data_in => data_in,
data_out => data_out,
2017-10-13 21:04:38 +00:00
is_read => RNW,
2017-10-10 20:55:21 +00:00
nreset => NRESET,
addr => addr_low_int,
phi0 => PHI0,
2017-10-10 21:37:21 +00:00
ndev_sel => NDEV_SEL,
2017-10-10 20:55:21 +00:00
clk => CLK,
2017-10-23 20:42:27 +00:00
miso => MISO,
2017-10-10 20:55:21 +00:00
mosi => MOSI,
sclk => SCLK,
nsel => NSEL,
2017-10-23 20:42:27 +00:00
wp => WP,
card => CARD,
2019-02-14 22:58:48 +00:00
led => LED,
pgm_en => pgm_en
2017-10-10 20:55:21 +00:00
);
addDec: AddressDecoder port map(
A => ADD_HIGH,
B => B,
2017-10-13 21:04:38 +00:00
CLK => CLK,
PHI0 => PHI0,
2017-10-10 20:55:21 +00:00
RNW => RNW,
2017-10-13 21:04:38 +00:00
NDEV_SEL => NDEV_SEL,
2017-10-10 20:55:21 +00:00
NIO_SEL => NIO_SEL,
NIO_STB => NIO_STB,
NRESET => NRESET,
DATA_EN => data_en,
2019-02-14 22:58:48 +00:00
PGM_EN => pgm_en,
2017-10-10 20:55:21 +00:00
NOE => NOE,
2019-02-10 11:47:46 +00:00
NWE => NWE,
2017-10-10 20:55:21 +00:00
NG => NG
);
DATA <= data_out when (data_en = '1') else (others => 'Z'); -- data bus tristate
2017-09-03 12:51:09 +00:00
2017-10-10 20:55:21 +00:00
-- synthesis translate_off
2017-10-10 21:37:21 +00:00
data_dbg <= data_in;
add_dbg <= addr_low_int;
2017-10-15 18:58:33 +00:00
data_en_dbg <= data_en;
2017-10-10 20:55:21 +00:00
-- synthesis translate_on
2017-09-03 12:51:09 +00:00
2017-10-10 20:55:21 +00:00
data_latch: process(CLK)
2017-09-03 12:51:09 +00:00
begin
2017-10-10 21:37:21 +00:00
if falling_edge(CLK) then
2017-10-13 21:04:38 +00:00
addr_low_int <= ADD_LOW;
2017-10-10 20:55:21 +00:00
if (NDEV_SEL = '0') then
data_in <= DATA;
end if;
2017-09-03 12:51:09 +00:00
end if;
end process;
2017-10-10 20:55:21 +00:00
2017-08-27 10:21:26 +00:00
end Behavioral;