mirror of
https://github.com/kr239/68030tk.git
synced 2024-06-08 18:29:34 +00:00
New PCB and start for RW-Signal-Optimization
This commit is contained in:
parent
3060b6be03
commit
8a57db1209
|
@ -2720,9 +2720,6 @@ minimale Strichstärke: <b>0.2 mm</b><br><br>
|
|||
<element name="R2" library="rcl" package="R0603" value="4,7k" x="75.5904" y="52.578" smashed="yes" rot="R180">
|
||||
<attribute name="NAME" x="76.835" y="55.1434" size="1.27" layer="25" rot="R180"/>
|
||||
</element>
|
||||
<element name="R3" library="rcl" package="R0603" value="4,7k" x="82.1436" y="95.4024" smashed="yes" rot="R90">
|
||||
<attribute name="NAME" x="81.3054" y="94.0054" size="1.27" layer="25" rot="R90"/>
|
||||
</element>
|
||||
<element name="R12" library="rcl" package="R0603" value="4,7k" x="64.1604" y="50.7492" smashed="yes">
|
||||
<attribute name="NAME" x="63.2206" y="49.2506" size="0.8128" layer="25"/>
|
||||
</element>
|
||||
|
@ -2862,6 +2859,45 @@ minimale Strichstärke: <b>0.2 mm</b><br><br>
|
|||
</element>
|
||||
<element name="R14" library="rcl" package="R0603" value="" x="58.674" y="85.6488" rot="R180"/>
|
||||
<element name="R16" library="rcl" package="R0603" value="" x="28.6512" y="90.3732" rot="R180"/>
|
||||
<element name="R17" library="rcl" package="R0603" value="4,7k" x="80.01" y="37.6428" smashed="yes" rot="R90">
|
||||
<attribute name="NAME" x="79.0702" y="36.3982" size="1.27" layer="25" rot="R90"/>
|
||||
</element>
|
||||
<element name="RN4" library="resistor-dil" package="EXBV8V" value="4,7k" x="-21.1836" y="-3.2004">
|
||||
<attribute name="OC_NEWARK" value="unknown" x="-21.1836" y="-3.2004" size="1.778" layer="27" display="off"/>
|
||||
<attribute name="MPN" value="" x="-21.1836" y="-3.2004" size="1.778" layer="27" display="off"/>
|
||||
<attribute name="MF" value="" x="-21.1836" y="-3.2004" size="1.778" layer="27" display="off"/>
|
||||
<attribute name="OC_FARNELL" value="unknown" x="-21.1836" y="-3.2004" size="1.778" layer="27" display="off"/>
|
||||
</element>
|
||||
<element name="RN5" library="resistor-dil" package="EXBV8V" value="4,7k" x="-21.1836" y="-9.2964">
|
||||
<attribute name="OC_NEWARK" value="unknown" x="-21.1836" y="-9.2964" size="1.778" layer="27" display="off"/>
|
||||
<attribute name="MPN" value="" x="-21.1836" y="-9.2964" size="1.778" layer="27" display="off"/>
|
||||
<attribute name="MF" value="" x="-21.1836" y="-9.2964" size="1.778" layer="27" display="off"/>
|
||||
<attribute name="OC_FARNELL" value="unknown" x="-21.1836" y="-9.2964" size="1.778" layer="27" display="off"/>
|
||||
</element>
|
||||
<element name="RN6" library="resistor-dil" package="EXBV8V" value="4,7k" x="-21.1836" y="-15.3924">
|
||||
<attribute name="OC_NEWARK" value="unknown" x="-21.1836" y="-15.3924" size="1.778" layer="27" display="off"/>
|
||||
<attribute name="MPN" value="" x="-21.1836" y="-15.3924" size="1.778" layer="27" display="off"/>
|
||||
<attribute name="MF" value="" x="-21.1836" y="-15.3924" size="1.778" layer="27" display="off"/>
|
||||
<attribute name="OC_FARNELL" value="unknown" x="-21.1836" y="-15.3924" size="1.778" layer="27" display="off"/>
|
||||
</element>
|
||||
<element name="RN7" library="resistor-dil" package="EXBV8V" value="4,7k" x="-21.1836" y="-21.4884">
|
||||
<attribute name="OC_NEWARK" value="unknown" x="-21.1836" y="-21.4884" size="1.778" layer="27" display="off"/>
|
||||
<attribute name="MPN" value="" x="-21.1836" y="-21.4884" size="1.778" layer="27" display="off"/>
|
||||
<attribute name="MF" value="" x="-21.1836" y="-21.4884" size="1.778" layer="27" display="off"/>
|
||||
<attribute name="OC_FARNELL" value="unknown" x="-21.1836" y="-21.4884" size="1.778" layer="27" display="off"/>
|
||||
</element>
|
||||
<element name="RN8" library="resistor-dil" package="EXBV8V" value="4,7k" x="-21.1836" y="-27.5844">
|
||||
<attribute name="OC_NEWARK" value="unknown" x="-21.1836" y="-27.5844" size="1.778" layer="27" display="off"/>
|
||||
<attribute name="MPN" value="" x="-21.1836" y="-27.5844" size="1.778" layer="27" display="off"/>
|
||||
<attribute name="MF" value="" x="-21.1836" y="-27.5844" size="1.778" layer="27" display="off"/>
|
||||
<attribute name="OC_FARNELL" value="unknown" x="-21.1836" y="-27.5844" size="1.778" layer="27" display="off"/>
|
||||
</element>
|
||||
<element name="RN9" library="resistor-dil" package="EXBV8V" value="4,7k" x="-21.1836" y="-33.6804">
|
||||
<attribute name="OC_NEWARK" value="unknown" x="-21.1836" y="-33.6804" size="1.778" layer="27" display="off"/>
|
||||
<attribute name="MPN" value="" x="-21.1836" y="-33.6804" size="1.778" layer="27" display="off"/>
|
||||
<attribute name="MF" value="" x="-21.1836" y="-33.6804" size="1.778" layer="27" display="off"/>
|
||||
<attribute name="OC_FARNELL" value="unknown" x="-21.1836" y="-33.6804" size="1.778" layer="27" display="off"/>
|
||||
</element>
|
||||
</elements>
|
||||
<signals>
|
||||
<signal name="D0">
|
||||
|
@ -4105,6 +4141,8 @@ minimale Strichstärke: <b>0.2 mm</b><br><br>
|
|||
<wire x1="33.3134" y1="7.8486" x2="32.385" y2="7.8486" width="0.1524" layer="1"/>
|
||||
<wire x1="32.385" y1="7.8486" x2="32.004" y2="8.2296" width="0.1524" layer="1"/>
|
||||
<wire x1="32.004" y1="8.2296" x2="30.6324" y2="8.2296" width="0.1524" layer="1"/>
|
||||
<contactref element="RN9" pad="2"/>
|
||||
<wire x1="26.5938" y1="12.2682" x2="-21.5836" y2="-34.4554" width="0" layer="19" extent="1-1"/>
|
||||
</signal>
|
||||
<signal name="A2">
|
||||
<contactref element="IC1" pad="D13"/>
|
||||
|
@ -4149,6 +4187,8 @@ minimale Strichstärke: <b>0.2 mm</b><br><br>
|
|||
<wire x1="27.178" y1="75.0824" x2="27.7368" y2="74.5236" width="0.1524" layer="1"/>
|
||||
<wire x1="27.178" y1="83.1088" x2="27.178" y2="79.2734" width="0.1524" layer="1"/>
|
||||
<wire x1="61.2902" y1="59.4868" x2="59.7662" y2="57.9628" width="0.1524" layer="1"/>
|
||||
<contactref element="RN9" pad="3"/>
|
||||
<wire x1="26.8986" y1="12.7254" x2="-20.7836" y2="-34.4554" width="0" layer="19" extent="1-1"/>
|
||||
</signal>
|
||||
<signal name="A3">
|
||||
<contactref element="IC1" pad="D12"/>
|
||||
|
@ -4190,6 +4230,8 @@ minimale Strichstärke: <b>0.2 mm</b><br><br>
|
|||
<wire x1="26.2128" y1="82.4484" x2="27.8892" y2="80.772" width="0.1524" layer="16"/>
|
||||
<wire x1="27.8892" y1="80.772" x2="27.8892" y2="80.01" width="0.1524" layer="16"/>
|
||||
<via x="27.8892" y="80.01" extent="1-16" drill="0.3"/>
|
||||
<contactref element="RN9" pad="4"/>
|
||||
<wire x1="27.2034" y1="13.0302" x2="-19.9836" y2="-34.4554" width="0" layer="19" extent="1-1"/>
|
||||
</signal>
|
||||
<signal name="A4">
|
||||
<contactref element="IC1" pad="C13"/>
|
||||
|
@ -4234,6 +4276,8 @@ minimale Strichstärke: <b>0.2 mm</b><br><br>
|
|||
<wire x1="37.0332" y1="77.4192" x2="36.7284" y2="77.1144" width="0.1524" layer="16"/>
|
||||
<wire x1="36.7284" y1="77.1144" x2="30.7848" y2="77.1144" width="0.1524" layer="16"/>
|
||||
<wire x1="30.7848" y1="77.1144" x2="28.6512" y2="79.248" width="0.1524" layer="16"/>
|
||||
<contactref element="RN8" pad="1"/>
|
||||
<wire x1="27.5082" y1="13.1826" x2="-22.3836" y2="-28.3594" width="0" layer="19" extent="1-1"/>
|
||||
</signal>
|
||||
<signal name="A5">
|
||||
<contactref element="IC1" pad="C12"/>
|
||||
|
@ -4261,6 +4305,8 @@ minimale Strichstärke: <b>0.2 mm</b><br><br>
|
|||
<contactref element="IC7" pad="45"/>
|
||||
<wire x1="40.6908" y1="87.7824" x2="40.2896" y2="88.1836" width="0.1524" layer="1"/>
|
||||
<wire x1="40.2896" y1="88.1836" x2="40.2896" y2="94.8172" width="0.1524" layer="1"/>
|
||||
<contactref element="RN8" pad="2"/>
|
||||
<wire x1="-21.5836" y1="-28.3594" x2="15.24" y2="81.1022" width="0" layer="19" extent="1-1"/>
|
||||
</signal>
|
||||
<signal name="A6">
|
||||
<contactref element="IC1" pad="D11"/>
|
||||
|
@ -4278,10 +4324,6 @@ minimale Strichstärke: <b>0.2 mm</b><br><br>
|
|||
<wire x1="48.768" y1="80.1624" x2="48.006" y2="80.9244" width="0.1524" layer="1"/>
|
||||
<wire x1="55.5752" y1="76.962" x2="53.7972" y2="78.74" width="0.1524" layer="1"/>
|
||||
<wire x1="53.7972" y1="78.74" x2="53.7972" y2="79.5528" width="0.1524" layer="1"/>
|
||||
<contactref element="IC7" pad="42"/>
|
||||
<wire x1="41.7896" y1="94.8172" x2="41.7896" y2="88.2076" width="0.1524" layer="1"/>
|
||||
<wire x1="41.7896" y1="88.2076" x2="48.006" y2="81.9912" width="0.1524" layer="1"/>
|
||||
<wire x1="48.006" y1="81.9912" x2="48.006" y2="80.9244" width="0.1524" layer="1"/>
|
||||
<contactref element="X1" pad="C30"/>
|
||||
<contactref element="IC4" pad="8"/>
|
||||
<wire x1="15.24" y1="79.8322" x2="16.7386" y2="79.8322" width="0.1524" layer="1"/>
|
||||
|
@ -4289,6 +4331,12 @@ minimale Strichstärke: <b>0.2 mm</b><br><br>
|
|||
<via x="16.764" y="79.8576" extent="1-16" drill="0.3"/>
|
||||
<wire x1="26.8224" y1="83.6676" x2="20.574" y2="83.6676" width="0.1524" layer="16"/>
|
||||
<wire x1="20.574" y1="83.6676" x2="16.764" y2="79.8576" width="0.1524" layer="16"/>
|
||||
<contactref element="IC7" pad="22"/>
|
||||
<wire x1="48.006" y1="80.9244" x2="48.006" y2="90.3732" width="0.1524" layer="1"/>
|
||||
<wire x1="48.006" y1="90.3732" x2="48.95" y2="91.3172" width="0.1524" layer="1"/>
|
||||
<wire x1="48.95" y1="91.3172" x2="51.7896" y2="91.3172" width="0.1524" layer="1"/>
|
||||
<contactref element="RN8" pad="3"/>
|
||||
<wire x1="-20.7836" y1="-28.3594" x2="15.24" y2="79.8322" width="0" layer="19" extent="1-1"/>
|
||||
</signal>
|
||||
<signal name="A7">
|
||||
<contactref element="IC1" pad="B13"/>
|
||||
|
@ -4308,6 +4356,8 @@ minimale Strichstärke: <b>0.2 mm</b><br><br>
|
|||
<via x="16.764" y="78.6384" extent="1-16" drill="0.3"/>
|
||||
<wire x1="26.67" y1="83.3628" x2="21.4884" y2="83.3628" width="0.1524" layer="16"/>
|
||||
<wire x1="21.4884" y1="83.3628" x2="16.764" y2="78.6384" width="0.1524" layer="16"/>
|
||||
<contactref element="RN8" pad="4"/>
|
||||
<wire x1="-19.9836" y1="-28.3594" x2="15.24" y2="78.5622" width="0" layer="19" extent="1-1"/>
|
||||
</signal>
|
||||
<signal name="A8">
|
||||
<contactref element="IC1" pad="B12"/>
|
||||
|
@ -4327,6 +4377,8 @@ minimale Strichstärke: <b>0.2 mm</b><br><br>
|
|||
<via x="16.764" y="77.4192" extent="1-16" drill="0.3"/>
|
||||
<wire x1="26.5176" y1="83.058" x2="22.4028" y2="83.058" width="0.1524" layer="16"/>
|
||||
<wire x1="22.4028" y1="83.058" x2="16.764" y2="77.4192" width="0.1524" layer="16"/>
|
||||
<contactref element="RN7" pad="1"/>
|
||||
<wire x1="-22.3836" y1="-22.2634" x2="15.24" y2="77.2922" width="0" layer="19" extent="1-1"/>
|
||||
</signal>
|
||||
<signal name="A9">
|
||||
<contactref element="IC1" pad="C11"/>
|
||||
|
@ -4351,6 +4403,8 @@ minimale Strichstärke: <b>0.2 mm</b><br><br>
|
|||
<via x="16.764" y="65.9892" extent="1-16" drill="0.3"/>
|
||||
<wire x1="16.764" y1="65.9892" x2="16.637" y2="65.8622" width="0.1524" layer="1"/>
|
||||
<wire x1="16.637" y1="65.8622" x2="15.24" y2="65.8622" width="0.1524" layer="1"/>
|
||||
<contactref element="RN7" pad="2"/>
|
||||
<wire x1="-21.5836" y1="-22.2634" x2="15.24" y2="65.8622" width="0" layer="19" extent="1-1"/>
|
||||
</signal>
|
||||
<signal name="A10">
|
||||
<contactref element="IC1" pad="A13"/>
|
||||
|
@ -4378,6 +4432,8 @@ minimale Strichstärke: <b>0.2 mm</b><br><br>
|
|||
<wire x1="16.764" y1="64.6176" x2="18.5928" y2="66.4464" width="0.1524" layer="16"/>
|
||||
<wire x1="18.5928" y1="66.4464" x2="18.5928" y2="74.0664" width="0.1524" layer="16"/>
|
||||
<wire x1="18.5928" y1="74.0664" x2="21.9456" y2="77.4192" width="0.1524" layer="16"/>
|
||||
<contactref element="RN7" pad="3"/>
|
||||
<wire x1="-20.7836" y1="-22.2634" x2="15.24" y2="64.5922" width="0" layer="19" extent="1-1"/>
|
||||
</signal>
|
||||
<signal name="A11">
|
||||
<contactref element="IC1" pad="C10"/>
|
||||
|
@ -4404,6 +4460,8 @@ minimale Strichstärke: <b>0.2 mm</b><br><br>
|
|||
<via x="16.764" y="63.3984" extent="1-16" drill="0.3"/>
|
||||
<wire x1="16.764" y1="63.3984" x2="16.6878" y2="63.3222" width="0.1524" layer="1"/>
|
||||
<wire x1="16.6878" y1="63.3222" x2="15.24" y2="63.3222" width="0.1524" layer="1"/>
|
||||
<contactref element="RN7" pad="4"/>
|
||||
<wire x1="-19.9836" y1="-22.2634" x2="15.24" y2="63.3222" width="0" layer="19" extent="1-1"/>
|
||||
</signal>
|
||||
<signal name="A12">
|
||||
<contactref element="IC1" pad="B11"/>
|
||||
|
@ -4430,6 +4488,8 @@ minimale Strichstärke: <b>0.2 mm</b><br><br>
|
|||
<wire x1="16.764" y1="62.1792" x2="19.2024" y2="64.6176" width="0.1524" layer="16"/>
|
||||
<wire x1="19.2024" y1="64.6176" x2="19.2024" y2="73.4568" width="0.1524" layer="16"/>
|
||||
<wire x1="19.2024" y1="73.4568" x2="22.5552" y2="76.8096" width="0.1524" layer="16"/>
|
||||
<contactref element="RN6" pad="1"/>
|
||||
<wire x1="-22.3836" y1="-16.1674" x2="15.24" y2="62.0522" width="0" layer="19" extent="1-1"/>
|
||||
</signal>
|
||||
<signal name="A13">
|
||||
<contactref element="IC1" pad="A12"/>
|
||||
|
@ -4454,6 +4514,8 @@ minimale Strichstärke: <b>0.2 mm</b><br><br>
|
|||
<via x="16.764" y="60.8076" extent="1-16" drill="0.3"/>
|
||||
<wire x1="16.764" y1="60.8076" x2="16.7386" y2="60.7822" width="0.1524" layer="1"/>
|
||||
<wire x1="16.7386" y1="60.7822" x2="15.24" y2="60.7822" width="0.1524" layer="1"/>
|
||||
<contactref element="RN6" pad="2"/>
|
||||
<wire x1="-21.5836" y1="-16.1674" x2="15.24" y2="60.7822" width="0" layer="19" extent="1-1"/>
|
||||
</signal>
|
||||
<signal name="A14">
|
||||
<contactref element="IC1" pad="B10"/>
|
||||
|
@ -4477,6 +4539,8 @@ minimale Strichstärke: <b>0.2 mm</b><br><br>
|
|||
<wire x1="16.764" y1="59.5884" x2="19.812" y2="62.6364" width="0.1524" layer="16"/>
|
||||
<wire x1="19.812" y1="62.6364" x2="19.812" y2="71.0184" width="0.1524" layer="16"/>
|
||||
<wire x1="19.812" y1="71.0184" x2="23.3172" y2="74.5236" width="0.1524" layer="16"/>
|
||||
<contactref element="RN6" pad="3"/>
|
||||
<wire x1="-20.7836" y1="-16.1674" x2="15.24" y2="59.5122" width="0" layer="19" extent="1-1"/>
|
||||
</signal>
|
||||
<signal name="A15">
|
||||
<contactref element="IC1" pad="A11"/>
|
||||
|
@ -4499,6 +4563,8 @@ minimale Strichstärke: <b>0.2 mm</b><br><br>
|
|||
<via x="16.764" y="58.3692" extent="1-16" drill="0.3"/>
|
||||
<wire x1="16.764" y1="58.3692" x2="16.637" y2="58.2422" width="0.1524" layer="1"/>
|
||||
<wire x1="16.637" y1="58.2422" x2="15.24" y2="58.2422" width="0.1524" layer="1"/>
|
||||
<contactref element="RN6" pad="4"/>
|
||||
<wire x1="-19.9836" y1="-16.1674" x2="15.24" y2="58.2422" width="0" layer="19" extent="1-1"/>
|
||||
</signal>
|
||||
<signal name="A16">
|
||||
<contactref element="IC1" pad="B09"/>
|
||||
|
@ -4527,6 +4593,8 @@ minimale Strichstärke: <b>0.2 mm</b><br><br>
|
|||
<wire x1="16.764" y1="56.9976" x2="20.4216" y2="60.6552" width="0.1524" layer="16"/>
|
||||
<wire x1="20.4216" y1="60.6552" x2="20.4216" y2="70.5612" width="0.1524" layer="16"/>
|
||||
<wire x1="20.4216" y1="70.5612" x2="22.2504" y2="72.39" width="0.1524" layer="16"/>
|
||||
<contactref element="RN5" pad="1"/>
|
||||
<wire x1="-22.3836" y1="-10.0714" x2="15.24" y2="56.9722" width="0" layer="19" extent="1-1"/>
|
||||
</signal>
|
||||
<signal name="A17">
|
||||
<contactref element="IC1" pad="A10"/>
|
||||
|
@ -4556,6 +4624,8 @@ minimale Strichstärke: <b>0.2 mm</b><br><br>
|
|||
<via x="16.764" y="51.054" extent="1-16" drill="0.3"/>
|
||||
<wire x1="16.764" y1="51.054" x2="16.637" y2="50.927" width="0.1524" layer="1"/>
|
||||
<wire x1="16.637" y1="50.927" x2="15.24" y2="50.927" width="0.1524" layer="1"/>
|
||||
<contactref element="RN5" pad="2"/>
|
||||
<wire x1="-21.5836" y1="-10.0714" x2="15.24" y2="50.927" width="0" layer="19" extent="1-1"/>
|
||||
</signal>
|
||||
<signal name="A18">
|
||||
<contactref element="IC1" pad="C08"/>
|
||||
|
@ -4579,6 +4649,8 @@ minimale Strichstärke: <b>0.2 mm</b><br><br>
|
|||
<wire x1="16.764" y1="49.6824" x2="21.0312" y2="53.9496" width="0.1524" layer="16"/>
|
||||
<wire x1="21.0312" y1="53.9496" x2="21.0312" y2="70.2564" width="0.1524" layer="16"/>
|
||||
<wire x1="21.0312" y1="70.2564" x2="22.5552" y2="71.7804" width="0.1524" layer="16"/>
|
||||
<contactref element="RN5" pad="3"/>
|
||||
<wire x1="-20.7836" y1="-10.0714" x2="15.24" y2="49.657" width="0" layer="19" extent="1-1"/>
|
||||
</signal>
|
||||
<signal name="A19">
|
||||
<contactref element="IC1" pad="A09"/>
|
||||
|
@ -4603,6 +4675,8 @@ minimale Strichstärke: <b>0.2 mm</b><br><br>
|
|||
<via x="16.764" y="48.4632" extent="1-16" drill="0.3"/>
|
||||
<wire x1="16.764" y1="48.4632" x2="15.3162" y2="48.4632" width="0.1524" layer="1"/>
|
||||
<wire x1="15.3162" y1="48.4632" x2="15.24" y2="48.387" width="0.1524" layer="1"/>
|
||||
<contactref element="RN5" pad="4"/>
|
||||
<wire x1="-19.9836" y1="-10.0714" x2="15.24" y2="48.387" width="0" layer="19" extent="1-1"/>
|
||||
</signal>
|
||||
<signal name="A20">
|
||||
<contactref element="IC1" pad="B08"/>
|
||||
|
@ -4632,6 +4706,8 @@ minimale Strichstärke: <b>0.2 mm</b><br><br>
|
|||
<wire x1="46.2896" y1="78.8172" x2="46.2896" y2="77.764" width="0.1524" layer="1"/>
|
||||
<wire x1="46.2896" y1="77.764" x2="46.3296" y2="77.724" width="0.1524" layer="1"/>
|
||||
<wire x1="46.3296" y1="77.724" x2="46.3296" y2="71.5772" width="0.1524" layer="1"/>
|
||||
<contactref element="RN4" pad="1"/>
|
||||
<wire x1="-22.3836" y1="-3.9754" x2="15.24" y2="47.117" width="0" layer="19" extent="1-1"/>
|
||||
</signal>
|
||||
<signal name="A21">
|
||||
<contactref element="IC1" pad="A08"/>
|
||||
|
@ -4654,6 +4730,8 @@ minimale Strichstärke: <b>0.2 mm</b><br><br>
|
|||
<via x="16.764" y="45.8724" extent="1-16" drill="0.3"/>
|
||||
<wire x1="16.764" y1="45.8724" x2="16.7386" y2="45.847" width="0.1524" layer="1"/>
|
||||
<wire x1="16.7386" y1="45.847" x2="15.24" y2="45.847" width="0.1524" layer="1"/>
|
||||
<contactref element="RN4" pad="2"/>
|
||||
<wire x1="-21.5836" y1="-3.9754" x2="15.24" y2="45.847" width="0" layer="19" extent="1-1"/>
|
||||
</signal>
|
||||
<signal name="A22">
|
||||
<contactref element="IC1" pad="B07"/>
|
||||
|
@ -4681,6 +4759,8 @@ minimale Strichstärke: <b>0.2 mm</b><br><br>
|
|||
<via x="43.1292" y="69.4944" extent="1-16" drill="0.3"/>
|
||||
<wire x1="41.7896" y1="78.8172" x2="41.7896" y2="70.834" width="0.1524" layer="1"/>
|
||||
<wire x1="41.7896" y1="70.834" x2="43.1292" y2="69.4944" width="0.1524" layer="1"/>
|
||||
<contactref element="RN4" pad="3"/>
|
||||
<wire x1="-20.7836" y1="-3.9754" x2="15.24" y2="44.577" width="0" layer="19" extent="1-1"/>
|
||||
</signal>
|
||||
<signal name="A23">
|
||||
<contactref element="IC1" pad="A07"/>
|
||||
|
@ -4706,6 +4786,8 @@ minimale Strichstärke: <b>0.2 mm</b><br><br>
|
|||
<wire x1="44.6532" y1="68.8848" x2="42.2896" y2="71.2484" width="0.1524" layer="1"/>
|
||||
<wire x1="42.2896" y1="71.2484" x2="42.2896" y2="78.8172" width="0.1524" layer="1"/>
|
||||
<wire x1="31.369" y1="68.8848" x2="27.2542" y2="64.77" width="0.1524" layer="16"/>
|
||||
<contactref element="RN4" pad="4"/>
|
||||
<wire x1="-19.9836" y1="-3.9754" x2="15.24" y2="43.307" width="0" layer="19" extent="1-1"/>
|
||||
</signal>
|
||||
<signal name="FC0">
|
||||
<contactref element="IC2" pad="28"/>
|
||||
|
@ -4844,12 +4926,16 @@ minimale Strichstärke: <b>0.2 mm</b><br><br>
|
|||
<wire x1="18.8976" y1="85.9536" x2="18.5928" y2="86.2584" width="0.1524" layer="1"/>
|
||||
<wire x1="18.5928" y1="86.2584" x2="18.5928" y2="90.9828" width="0.1524" layer="1"/>
|
||||
<via x="18.5928" y="90.9828" extent="1-16" drill="0.3"/>
|
||||
<wire x1="18.5928" y1="90.9828" x2="45.2628" y2="90.9828" width="0.1524" layer="16"/>
|
||||
<contactref element="IC7" pad="33"/>
|
||||
<via x="45.2628" y="90.9828" extent="1-16" drill="0.3"/>
|
||||
<wire x1="46.2896" y1="94.8172" x2="46.2896" y2="94.0416" width="0.1524" layer="1"/>
|
||||
<wire x1="46.2896" y1="94.0416" x2="45.2628" y2="93.0148" width="0.1524" layer="1"/>
|
||||
<wire x1="45.2628" y1="93.0148" x2="45.2628" y2="90.9828" width="0.1524" layer="1"/>
|
||||
<wire x1="18.5928" y1="90.9828" x2="41.91" y2="90.9828" width="0.1524" layer="16"/>
|
||||
<via x="41.91" y="90.9828" extent="1-16" drill="0.3"/>
|
||||
<wire x1="45.7896" y1="80.2452" x2="43.8912" y2="82.1436" width="0" layer="19" extent="1-16"/>
|
||||
<wire x1="43.8912" y1="82.1436" x2="43.8912" y2="86.868" width="0" layer="19" extent="1-16"/>
|
||||
<wire x1="41.91" y1="90.9828" x2="43.2816" y2="87.4776" width="0" layer="19" extent="1-16"/>
|
||||
<wire x1="43.2816" y1="87.4776" x2="43.8912" y2="86.868" width="0" layer="19" extent="1-16"/>
|
||||
<contactref element="IC7" pad="42"/>
|
||||
<wire x1="41.7896" y1="94.8172" x2="41.7896" y2="92.932" width="0.1524" layer="1"/>
|
||||
<wire x1="41.7896" y1="92.932" x2="41.91" y2="92.8116" width="0.1524" layer="1"/>
|
||||
<wire x1="41.91" y1="92.8116" x2="41.91" y2="90.9828" width="0.1524" layer="1"/>
|
||||
</signal>
|
||||
<signal name="R/W">
|
||||
<contactref element="IC1" pad="L03"/>
|
||||
|
@ -4893,6 +4979,16 @@ minimale Strichstärke: <b>0.2 mm</b><br><br>
|
|||
<wire x1="35.3568" y1="38.735" x2="34.3662" y2="39.7256" width="0.1524" layer="1"/>
|
||||
<wire x1="34.3662" y1="39.7256" x2="34.3662" y2="40.1828" width="0.1524" layer="1"/>
|
||||
<wire x1="35.2044" y1="41.7576" x2="34.3662" y2="40.9194" width="0.1524" layer="1"/>
|
||||
<contactref element="IC9" pad="2"/>
|
||||
<wire x1="15.24" y1="42.037" x2="16.5862" y2="42.037" width="0.1524" layer="1"/>
|
||||
<wire x1="16.5862" y1="42.037" x2="16.764" y2="42.2148" width="0.1524" layer="1"/>
|
||||
<via x="16.764" y="42.2148" extent="1-16" drill="0.3"/>
|
||||
<wire x1="16.764" y1="42.2148" x2="19.2024" y2="42.2148" width="0.1524" layer="16"/>
|
||||
<wire x1="19.2024" y1="42.2148" x2="20.2692" y2="41.148" width="0.1524" layer="16"/>
|
||||
<wire x1="20.2692" y1="41.148" x2="23.4696" y2="41.148" width="0.1524" layer="16"/>
|
||||
<wire x1="23.4696" y1="41.148" x2="23.622" y2="41.3004" width="0.1524" layer="16"/>
|
||||
<wire x1="23.622" y1="41.3004" x2="33.2486" y2="41.3004" width="0.1524" layer="16"/>
|
||||
<wire x1="33.2486" y1="41.3004" x2="34.3662" y2="40.1828" width="0.1524" layer="16"/>
|
||||
</signal>
|
||||
<signal name="UDS_00">
|
||||
<contactref element="IC2" pad="7"/>
|
||||
|
@ -5365,20 +5461,10 @@ minimale Strichstärke: <b>0.2 mm</b><br><br>
|
|||
<contactref element="IC1" pad="E02"/>
|
||||
<wire x1="31.8262" y1="55.4228" x2="32.8168" y2="55.4228" width="0.1524" layer="16"/>
|
||||
<wire x1="32.8168" y1="55.4228" x2="33.8836" y2="54.356" width="0.1524" layer="16"/>
|
||||
<contactref element="IC7" pad="92"/>
|
||||
<wire x1="45.7896" y1="78.8172" x2="45.7896" y2="77.7936" width="0.1524" layer="1"/>
|
||||
<wire x1="45.4152" y1="71.1708" x2="45.4152" y2="70.104" width="0.1524" layer="1"/>
|
||||
<wire x1="45.4152" y1="70.104" x2="45.8724" y2="69.6468" width="0.1524" layer="1"/>
|
||||
<wire x1="45.8724" y1="69.6468" x2="45.8724" y2="54.5084" width="0.1524" layer="1"/>
|
||||
<via x="45.8724" y="54.5084" extent="1-16" drill="0.3"/>
|
||||
<wire x1="45.8724" y1="54.5084" x2="45.72" y2="54.356" width="0.1524" layer="16"/>
|
||||
<wire x1="45.72" y1="54.356" x2="33.8836" y2="54.356" width="0.1524" layer="16"/>
|
||||
<wire x1="45.4152" y1="71.1708" x2="46.0248" y2="71.7804" width="0.1524" layer="1"/>
|
||||
<wire x1="46.0248" y1="71.7804" x2="46.0248" y2="77.5584" width="0.1524" layer="1"/>
|
||||
<wire x1="46.0248" y1="77.5584" x2="45.7896" y2="77.7936" width="0.1524" layer="1"/>
|
||||
<wire x1="46.1772" y1="54.356" x2="33.8836" y2="54.356" width="0.1524" layer="16"/>
|
||||
<contactref element="X1" pad="A13"/>
|
||||
<wire x1="87.63" y1="43.8912" x2="86.106" y2="42.3672" width="0.1524" layer="16"/>
|
||||
<wire x1="86.106" y1="42.3672" x2="71.3232" y2="42.3672" width="0.1524" layer="16"/>
|
||||
<wire x1="78.3336" y1="42.3672" x2="71.3232" y2="42.3672" width="0.1524" layer="16"/>
|
||||
<wire x1="71.3232" y1="42.3672" x2="71.0184" y2="42.672" width="0.1524" layer="16"/>
|
||||
<wire x1="71.0184" y1="42.672" x2="69.9516" y2="42.672" width="0.1524" layer="16"/>
|
||||
<wire x1="69.9516" y1="42.672" x2="68.7324" y2="41.4528" width="0.1524" layer="16"/>
|
||||
|
@ -5392,9 +5478,29 @@ minimale Strichstärke: <b>0.2 mm</b><br><br>
|
|||
<wire x1="47.0916" y1="42.5196" x2="49.8348" y2="45.2628" width="0.1524" layer="1"/>
|
||||
<wire x1="49.8348" y1="45.2628" x2="49.8348" y2="55.1688" width="0.1524" layer="1"/>
|
||||
<via x="49.8348" y="55.1688" extent="1-16" drill="0.3"/>
|
||||
<wire x1="49.8348" y1="55.1688" x2="49.3776" y2="54.7116" width="0.1524" layer="16"/>
|
||||
<wire x1="49.3776" y1="54.7116" x2="46.0756" y2="54.7116" width="0.1524" layer="16"/>
|
||||
<wire x1="46.0756" y1="54.7116" x2="45.8724" y2="54.5084" width="0.1524" layer="16"/>
|
||||
<wire x1="49.8348" y1="55.1688" x2="49.0728" y2="55.9308" width="0.1524" layer="16"/>
|
||||
<contactref element="R17" pad="2"/>
|
||||
<wire x1="80.01" y1="38.4928" x2="79.4648" y2="38.4928" width="0.1524" layer="1"/>
|
||||
<wire x1="79.4648" y1="38.4928" x2="78.7908" y2="39.1668" width="0.1524" layer="1"/>
|
||||
<wire x1="78.7908" y1="39.1668" x2="78.7908" y2="41.91" width="0.1524" layer="1"/>
|
||||
<via x="78.7908" y="41.91" extent="1-16" drill="0.3"/>
|
||||
<wire x1="78.7908" y1="41.91" x2="78.3336" y2="42.3672" width="0.1524" layer="16"/>
|
||||
<wire x1="86.106" y1="42.3672" x2="79.248" y2="42.3672" width="0.1524" layer="16"/>
|
||||
<wire x1="79.248" y1="42.3672" x2="78.7908" y2="41.91" width="0.1524" layer="16"/>
|
||||
<contactref element="IC7" pad="92"/>
|
||||
<wire x1="45.7896" y1="78.8172" x2="45.7896" y2="77.8068" width="0.1524" layer="1"/>
|
||||
<wire x1="45.7896" y1="77.8068" x2="46.0248" y2="77.5716" width="0.1524" layer="1"/>
|
||||
<wire x1="46.0248" y1="77.5716" x2="46.0248" y2="71.7804" width="0.1524" layer="1"/>
|
||||
<wire x1="46.0248" y1="71.7804" x2="45.4152" y2="71.1708" width="0.1524" layer="1"/>
|
||||
<wire x1="45.4152" y1="71.1708" x2="45.4152" y2="69.7992" width="0.1524" layer="1"/>
|
||||
<wire x1="45.4152" y1="69.7992" x2="45.72" y2="69.4944" width="0.1524" layer="1"/>
|
||||
<wire x1="45.72" y1="69.4944" x2="45.72" y2="60.0456" width="0.1524" layer="1"/>
|
||||
<wire x1="45.72" y1="60.0456" x2="46.1772" y2="59.5884" width="0.1524" layer="1"/>
|
||||
<wire x1="46.1772" y1="59.5884" x2="46.1772" y2="54.356" width="0.1524" layer="1"/>
|
||||
<via x="46.1772" y="54.356" extent="1-16" drill="0.3"/>
|
||||
<wire x1="46.1772" y1="54.356" x2="46.5836" y2="54.356" width="0.1524" layer="16"/>
|
||||
<wire x1="46.5836" y1="54.356" x2="48.1584" y2="55.9308" width="0.1524" layer="16"/>
|
||||
<wire x1="48.1584" y1="55.9308" x2="49.0728" y2="55.9308" width="0.1524" layer="16"/>
|
||||
</signal>
|
||||
<signal name="CIIN">
|
||||
<contactref element="IC1" pad="L01"/>
|
||||
|
@ -5838,12 +5944,10 @@ minimale Strichstärke: <b>0.2 mm</b><br><br>
|
|||
</signal>
|
||||
<signal name="A0">
|
||||
<contactref element="IC1" pad="A02"/>
|
||||
<contactref element="R3" pad="1"/>
|
||||
<wire x1="31.8262" y1="65.5828" x2="31.8262" y2="65.659" width="0.1524" layer="1"/>
|
||||
<wire x1="82.55" y1="92.1512" x2="81.788" y2="92.1512" width="0.1524" layer="16"/>
|
||||
<wire x1="81.788" y1="92.1512" x2="72.8472" y2="83.2104" width="0.1524" layer="16"/>
|
||||
<wire x1="72.8472" y1="83.2104" x2="37.338" y2="83.2104" width="0.1524" layer="16"/>
|
||||
<wire x1="82.1436" y1="94.5524" x2="82.55" y2="94.146" width="0.1524" layer="1"/>
|
||||
<wire x1="82.55" y1="94.146" x2="82.55" y2="92.1512" width="0.1524" layer="1"/>
|
||||
<via x="37.338" y="83.2104" extent="1-16" drill="0.3"/>
|
||||
<wire x1="37.0788" y1="83.4696" x2="37.338" y2="83.2104" width="0.1524" layer="1"/>
|
||||
|
@ -5857,6 +5961,8 @@ minimale Strichstärke: <b>0.2 mm</b><br><br>
|
|||
<wire x1="34.5948" y1="68.4276" x2="34.5948" y2="75.5904" width="0.1524" layer="1"/>
|
||||
<wire x1="34.5948" y1="75.5904" x2="32.3088" y2="77.8764" width="0.1524" layer="1"/>
|
||||
<wire x1="32.3088" y1="77.8764" x2="32.3088" y2="82.2452" width="0.1524" layer="1"/>
|
||||
<contactref element="RN9" pad="1"/>
|
||||
<wire x1="-22.3836" y1="-34.4554" x2="31.8262" y2="65.5828" width="0" layer="19" extent="1-1"/>
|
||||
</signal>
|
||||
<signal name="A24">
|
||||
<contactref element="IC1" pad="A06"/>
|
||||
|
@ -6331,6 +6437,58 @@ minimale Strichstärke: <b>0.2 mm</b><br><br>
|
|||
<via x="73.6092" y="52.578" extent="1-16" drill="0.3"/>
|
||||
<wire x1="52.1716" y1="44.7942" x2="53.0238" y2="44.7942" width="0.6096" layer="1"/>
|
||||
<wire x1="53.0238" y1="44.7942" x2="53.34" y2="45.1104" width="0.6096" layer="1"/>
|
||||
<contactref element="R17" pad="1"/>
|
||||
<wire x1="80.01" y1="36.7928" x2="80.01" y2="36.703" width="0.1524" layer="1"/>
|
||||
<wire x1="80.01" y1="36.703" x2="79.0956" y2="35.7886" width="0.1524" layer="1"/>
|
||||
<wire x1="72.8336" y1="89.916" x2="71.7804" y2="94.3102" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="59.2328" y1="98.4504" x2="71.7804" y2="94.3102" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="54.5592" y1="96.608" x2="57.15" y2="98.4788" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="44.2896" y1="94.8172" x2="51.816" y2="97.9932" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="49.9872" y1="86.5124" x2="44.1452" y2="93.726" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="44.323" y1="80.4418" x2="49.9872" y2="86.5124" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="35.7896" y1="81.8172" x2="43.2896" y2="79.9672" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="33.133" y1="86.7664" x2="34.7256" y2="81.8172" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="41.9862" y1="60.5028" x2="43.1292" y2="75.438" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="44.0436" y1="55.3352" x2="42.5082" y2="56.8706" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="47.5742" y1="53.3794" x2="44.0436" y2="54.19" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="36.9062" y1="57.9628" x2="41.9862" y2="57.3926" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="46.0248" y1="48.1584" x2="47.5742" y2="53.3794" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="52.1462" y1="57.9628" x2="48.7172" y2="53.3908" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="54.6862" y1="52.8828" x2="52.1462" y2="57.9628" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="54.6862" y1="47.8028" x2="54.6862" y2="52.8828" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="53.34" y1="45.1104" x2="54.6862" y2="47.8028" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="52.1462" y1="42.7228" x2="52.1716" y2="44.7942" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="41.9862" y1="40.1828" x2="46.0378" y2="44.9772" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="37.1348" y1="42.9514" x2="41.9862" y2="40.1828" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="34.3662" y1="47.8028" x2="37.3012" y2="45.2882" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="31.8262" y1="52.8828" x2="34.3662" y2="47.8028" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="64.4652" y1="55.0164" x2="54.6862" y2="52.8828" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="73.6092" y1="52.578" x2="65.0104" y2="52.8828" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="75.424" y1="46.6344" x2="74.7404" y2="52.578" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="75.6412" y1="59.4732" x2="74.7404" y2="52.578" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="80.01" y1="36.7928" x2="75.4126" y2="44.6278" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="75.3872" y1="31.4084" x2="79.0956" y2="33.9208" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="77.8764" y1="24.9056" x2="75.3872" y2="29.6164" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="87.63" y1="23.5712" x2="77.8764" y2="23.4696" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="87.63" y1="21.0312" x2="87.63" y2="23.5712" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="87.63" y1="18.4912" x2="87.63" y2="21.0312" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="31.5976" y1="33.5788" x2="36.9062" y2="42.7228" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="24.7904" y1="35.2806" x2="31.5836" y2="33.5648" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="33.3134" y1="19.2786" x2="33.3134" y2="26.8986" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="15.3924" y1="34.3544" x2="24.7904" y2="35.2806" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="10.9728" y1="37.214" x2="15.3924" y2="34.3544" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="5.221" y1="38.862" x2="10.9728" y2="38.862" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="1.9304" y1="40.3606" x2="5.1816" y2="40.767" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="33.3134" y1="6.5786" x2="33.3134" y2="16.7386" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="55.513" y1="32.4612" x2="52.1462" y2="42.7228" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="56.6534" y1="18.0086" x2="55.1434" y2="28.4086" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="65.5828" y1="12.5192" x2="61.4172" y2="17.4104" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="60.932" y1="5.0686" x2="65.5828" y2="12.5192" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="5.0686" y1="53.9496" x2="5.1816" y2="40.767" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="5.221" y1="69.0372" x2="5.1816" y2="55.7022" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="4.9428" y1="84.582" x2="5.1816" y2="70.9422" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="5.334" y1="18.9738" x2="15.3924" y2="33.2232" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="5.4864" y1="3.429" x2="5.334" y2="16.1544" width="0" layer="19" extent="1-1"/>
|
||||
</signal>
|
||||
<signal name="GND">
|
||||
<contactref element="IC2" pad="16"/>
|
||||
|
@ -6386,7 +6544,6 @@ minimale Strichstärke: <b>0.2 mm</b><br><br>
|
|||
<via x="63.3476" y="33.4772" extent="1-16" drill="0.3"/>
|
||||
<wire x1="65.3288" y1="33.2232" x2="63.6016" y2="33.2232" width="0.6096" layer="1"/>
|
||||
<wire x1="63.6016" y1="33.2232" x2="63.3476" y2="33.4772" width="0.6096" layer="1"/>
|
||||
<contactref element="R3" pad="2"/>
|
||||
<contactref element="RN1" pad="8"/>
|
||||
<contactref element="RN1" pad="7"/>
|
||||
<contactref element="RN1" pad="6"/>
|
||||
|
@ -6541,8 +6698,6 @@ minimale Strichstärke: <b>0.2 mm</b><br><br>
|
|||
<via x="65.5828" y="16.7132" extent="1-16" drill="0.3"/>
|
||||
<wire x1="52.6034" y1="5.0686" x2="52.6034" y2="3.683" width="0.6096" layer="1"/>
|
||||
<via x="52.6034" y="3.683" extent="1-16" drill="0.3"/>
|
||||
<wire x1="82.1436" y1="96.2524" x2="82.1436" y2="97.282" width="0.4064" layer="1"/>
|
||||
<via x="82.1436" y="97.282" extent="1-16" drill="0.3"/>
|
||||
<wire x1="73.6222" y1="62.9604" x2="73.6222" y2="62.2938" width="0.3048" layer="1"/>
|
||||
<via x="73.8632" y="62.0776" extent="1-16" drill="0.3"/>
|
||||
<wire x1="38.6334" y1="5.0686" x2="38.6334" y2="11.9634" width="0.6096" layer="1"/>
|
||||
|
@ -6567,7 +6722,7 @@ minimale Strichstärke: <b>0.2 mm</b><br><br>
|
|||
<via x="47.4472" y="98.3488" extent="1-16" drill="0.3"/>
|
||||
<via x="35.6108" y="97.6884" extent="1-16" drill="0.3"/>
|
||||
<via x="33.5788" y="97.6884" extent="1-16" drill="0.3"/>
|
||||
<via x="17.3228" y="98.7044" extent="1-16" drill="0.3"/>
|
||||
<via x="16.256" y="98.7044" extent="1-16" drill="0.3"/>
|
||||
<via x="1.8288" y="83.3628" extent="1-16" drill="0.3"/>
|
||||
<via x="45.5676" y="86.9696" extent="1-16" drill="0.3"/>
|
||||
<via x="42.418" y="19.8628" extent="1-16" drill="0.3"/>
|
||||
|
@ -6575,7 +6730,6 @@ minimale Strichstärke: <b>0.2 mm</b><br><br>
|
|||
<via x="47.4472" y="57.9628" extent="1-16" drill="0.3"/>
|
||||
<via x="48.3616" y="57.9628" extent="1-16" drill="0.3"/>
|
||||
<via x="70.612" y="60.8076" extent="1-16" drill="0.3"/>
|
||||
<via x="78.74" y="39.5224" extent="1-16" drill="0.3"/>
|
||||
<via x="77.1906" y="39.5224" extent="1-16" drill="0.3"/>
|
||||
<wire x1="47.5742" y1="55.1294" x2="47.766" y2="55.3212" width="0.6096" layer="1"/>
|
||||
<wire x1="47.766" y1="55.3212" x2="48.8696" y2="55.3212" width="0.6096" layer="1"/>
|
||||
|
@ -6717,19 +6871,7 @@ minimale Strichstärke: <b>0.2 mm</b><br><br>
|
|||
<wire x1="76.7956" y1="31.75" x2="76.7956" y2="30.72" width="0.4064" layer="1"/>
|
||||
<wire x1="76.7956" y1="30.72" x2="76.7842" y2="30.7086" width="0.4064" layer="1"/>
|
||||
<via x="76.7842" y="30.7086" extent="1-16" drill="0.3"/>
|
||||
<contactref element="IC4" pad="19"/>
|
||||
<contactref element="IC8" pad="19"/>
|
||||
<contactref element="IC9" pad="19"/>
|
||||
<via x="7.5692" y="82.3976" extent="1-16" drill="0.3"/>
|
||||
<wire x1="5.1816" y1="72.2122" x2="5.207" y2="72.2376" width="0.1524" layer="1"/>
|
||||
<wire x1="5.207" y1="72.2376" x2="6.7056" y2="72.2376" width="0.1524" layer="1"/>
|
||||
<via x="6.7056" y="72.2376" extent="1-16" drill="0.3"/>
|
||||
<wire x1="5.1816" y1="56.9722" x2="5.207" y2="56.9976" width="0.1524" layer="1"/>
|
||||
<wire x1="5.207" y1="56.9976" x2="6.7056" y2="56.9976" width="0.1524" layer="1"/>
|
||||
<via x="6.7056" y="56.9976" extent="1-16" drill="0.3"/>
|
||||
<wire x1="5.1816" y1="42.037" x2="5.207" y2="42.0624" width="0.1524" layer="1"/>
|
||||
<wire x1="5.207" y1="42.0624" x2="6.7056" y2="42.0624" width="0.1524" layer="1"/>
|
||||
<via x="6.7056" y="42.0624" extent="1-16" drill="0.3"/>
|
||||
<wire x1="33.3134" y1="15.4686" x2="29.8336" y2="15.4686" width="0.6096" layer="1"/>
|
||||
<wire x1="29.8336" y1="15.4686" x2="28.956" y2="16.3462" width="0.6096" layer="1"/>
|
||||
<wire x1="28.956" y1="16.3462" x2="28.956" y2="15.24" width="0.6096" layer="1"/>
|
||||
|
@ -6746,6 +6888,234 @@ minimale Strichstärke: <b>0.2 mm</b><br><br>
|
|||
<wire x1="35.814" y1="78.514" x2="35.8762" y2="78.5762" width="0.3048" layer="1"/>
|
||||
<wire x1="31.383" y1="86.7664" x2="30.4038" y2="86.7664" width="0.3048" layer="1"/>
|
||||
<via x="30.4038" y="86.7664" extent="1-16" drill="0.3"/>
|
||||
<contactref element="RN4" pad="5"/>
|
||||
<contactref element="RN4" pad="6"/>
|
||||
<contactref element="RN4" pad="7"/>
|
||||
<contactref element="RN4" pad="8"/>
|
||||
<contactref element="RN7" pad="8"/>
|
||||
<contactref element="RN7" pad="7"/>
|
||||
<contactref element="RN7" pad="6"/>
|
||||
<contactref element="RN7" pad="5"/>
|
||||
<contactref element="RN6" pad="8"/>
|
||||
<contactref element="RN6" pad="7"/>
|
||||
<contactref element="RN6" pad="6"/>
|
||||
<contactref element="RN6" pad="5"/>
|
||||
<contactref element="RN5" pad="8"/>
|
||||
<contactref element="RN5" pad="7"/>
|
||||
<contactref element="RN5" pad="6"/>
|
||||
<contactref element="RN5" pad="5"/>
|
||||
<contactref element="RN8" pad="5"/>
|
||||
<contactref element="RN8" pad="6"/>
|
||||
<contactref element="RN8" pad="7"/>
|
||||
<contactref element="RN8" pad="8"/>
|
||||
<contactref element="RN9" pad="5"/>
|
||||
<contactref element="RN9" pad="6"/>
|
||||
<contactref element="RN9" pad="7"/>
|
||||
<contactref element="RN9" pad="8"/>
|
||||
<wire x1="85.7504" y1="96.1644" x2="86.5124" y2="98.7552" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="87.7316" y1="93.8784" x2="85.7504" y2="96.1644" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="91.9988" y1="93.5736" x2="87.7316" y2="93.8784" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="88.3412" y1="83.2104" x2="87.7316" y2="93.8784" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="88.4936" y1="72.9996" x2="88.3412" y2="83.2104" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="88.4936" y1="62.9412" x2="88.4936" y2="72.9996" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="91.5416" y1="62.7888" x2="88.4936" y2="62.9412" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="88.4936" y1="52.8828" x2="88.4936" y2="62.9412" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="88.4936" y1="42.672" x2="88.4936" y2="52.8828" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="88.4936" y1="32.4612" x2="88.4936" y2="42.672" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="91.5416" y1="32.3088" x2="88.4936" y2="32.4612" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="80.1624" y1="34.5948" x2="88.4936" y2="32.4612" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="77.1144" y1="34.5948" x2="80.1624" y2="34.5948" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="76.7956" y1="31.75" x2="77.1144" y2="34.5948" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="77.1906" y1="39.5224" x2="77.1144" y2="34.5948" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="73.6486" y1="46.609" x2="77.1906" y2="39.5224" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="74.3204" y1="49.6824" x2="73.6486" y2="46.609" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="78.8416" y1="57.0484" x2="74.3204" y2="49.6824" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="73.8632" y1="62.0776" x2="78.8416" y2="57.0484" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="70.612" y1="60.8076" x2="73.6222" y2="62.2938" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="69.7484" y1="58.42" x2="70.612" y2="60.8076" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="65.4304" y1="55.3212" x2="69.7484" y2="58.42" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="62.8396" y1="55.372" x2="65.4304" y2="55.3212" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="62.992" y1="58.0136" x2="62.8396" y2="55.372" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="58.4708" y1="48.8696" x2="62.8396" y2="55.372" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="56.7944" y1="49.022" x2="58.4708" y2="48.8696" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="54.6862" y1="50.3428" x2="56.7944" y2="49.022" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="52.1716" y1="47.6504" x2="54.6862" y2="50.3428" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="54.6862" y1="45.2628" x2="52.1716" y2="46.5442" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="49.8602" y1="42.4434" x2="52.1716" y2="46.5442" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="49.6062" y1="40.1828" x2="49.8602" y2="42.4434" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="47.0662" y1="40.1828" x2="49.6062" y2="40.1828" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="44.5262" y1="40.1828" x2="47.0662" y2="40.1828" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="42.0116" y1="43.5356" x2="44.5262" y2="40.1828" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="39.0512" y1="45.2882" x2="42.0116" y2="43.5356" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="37.592" y1="47.7012" x2="39.0144" y2="46.5328" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="34.3662" y1="45.2628" x2="37.592" y2="47.7012" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="34.3662" y1="50.3428" x2="37.592" y2="47.7012" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="34.3662" y1="52.8828" x2="34.3662" y2="50.3428" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="34.3662" y1="55.4228" x2="34.3662" y2="52.8828" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="39.4462" y1="40.1828" x2="42.0116" y2="43.5356" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="54.6862" y1="55.4228" x2="54.6862" y2="50.3428" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="44.1452" y1="48.768" x2="39.0512" y2="46.496" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="48.8696" y1="55.3212" x2="54.6862" y2="55.4228" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="47.4472" y1="57.9628" x2="47.766" y2="55.3212" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="48.3616" y1="57.9628" x2="47.4472" y2="57.9628" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="49.6062" y1="60.5028" x2="48.3616" y2="57.9628" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="44.2976" y1="57.9628" x2="47.4472" y2="57.9628" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="44.5262" y1="60.5028" x2="44.2976" y2="57.9628" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="39.4462" y1="60.5028" x2="44.5262" y2="60.5028" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="28.194" y1="57.2516" x2="34.3662" y2="55.4228" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="24.7904" y1="54.356" x2="28.194" y2="57.2516" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="23.876" y1="51.7652" x2="24.7904" y2="54.356" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="24.7396" y1="49.276" x2="23.876" y2="51.7652" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="24.7904" y1="46.6852" x2="24.7396" y2="49.276" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="24.8412" y1="44.0944" x2="24.7904" y2="46.6852" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="24.7904" y1="40.3606" x2="24.8412" y2="44.0944" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="16.764" y1="52.2732" x2="23.876" y2="51.7652" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="15.1892" y1="53.594" x2="15.24" y2="52.197" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="36.8808" y1="67.5132" x2="39.4462" y2="60.5028" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="19.7612" y1="34.2392" x2="24.7904" y2="40.3606" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="19.9136" y1="32.1056" x2="19.7612" y2="34.2392" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="15.3416" y1="32.1056" x2="19.9136" y2="32.1056" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="15.3924" y1="30.4038" x2="15.3416" y2="32.1056" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="10.9728" y1="32.766" x2="15.3416" y2="32.1056" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="14.2748" y1="37.8968" x2="10.9728" y2="34.414" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="24.7904" y1="31.496" x2="19.9136" y2="32.1056" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="24.7904" y1="28.9052" x2="24.7904" y2="31.496" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="25.7302" y1="28.829" x2="24.7904" y2="28.9052" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="29.718" y1="31.3944" x2="25.7302" y2="28.829" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="7.0104" y1="37.6428" x2="10.9728" y2="34.414" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="10.16" y1="41.2496" x2="6.971" y2="38.862" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="34.798" y1="30.1244" x2="29.8336" y2="31.51" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="36.0934" y1="30.1244" x2="34.8234" y2="30.099" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="37.3634" y1="28.4086" x2="36.0934" y2="28.4086" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="38.6334" y1="30.099" x2="37.3634" y2="30.099" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="39.9034" y1="28.4086" x2="38.6334" y2="28.4086" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="33.3134" y1="24.3586" x2="34.8234" y2="28.4086" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="31.1912" y1="22.2504" x2="31.9278" y2="24.3586" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="28.956" y1="16.3462" x2="31.623" y2="21.8186" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="38.608" y1="11.9888" x2="33.3134" y2="15.4686" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="42.4688" y1="14.1224" x2="38.6334" y2="11.9634" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="43.688" y1="14.1224" x2="42.4688" y2="14.1224" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="44.958" y1="14.1224" x2="43.688" y2="14.1224" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="46.228" y1="14.1224" x2="44.958" y2="14.1224" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="48.1076" y1="14.1224" x2="46.228" y2="14.1224" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="42.418" y1="19.8628" x2="42.4688" y2="14.1224" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="43.688" y1="19.9136" x2="42.418" y2="19.8628" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="44.958" y1="19.9136" x2="43.688" y2="19.9136" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="46.2788" y1="19.9136" x2="44.958" y2="19.9136" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="54.102" y1="12.6492" x2="48.1076" y2="14.1224" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="56.6534" y1="15.4686" x2="54.102" y2="12.6492" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="63.1952" y1="17.1704" x2="61.214" y2="15.4572" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="63.8048" y1="18.1864" x2="63.1952" y2="17.1704" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="65.5828" y1="16.7132" x2="65.3288" y2="18.1864" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="62.992" y1="19.9136" x2="63.8048" y2="18.1864" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="67.7672" y1="15.2908" x2="65.5828" y2="15.3192" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="58.5216" y1="19.9644" x2="62.992" y2="19.9136" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="10.3886" y1="24.7142" x2="15.3924" y2="30.4038" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="52.6034" y1="5.0686" x2="54.102" y2="12.6492" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="56.2356" y1="3.7592" x2="52.6034" y2="3.683" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="59.2836" y1="3.7592" x2="56.2356" y2="3.7592" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="10.3124" y1="16.8656" x2="10.3886" y2="24.7142" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="10.3124" y1="13.8176" x2="10.3124" y2="16.8656" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="10.3124" y1="12.1412" x2="10.3124" y2="13.8176" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="7.1234" y1="17.2212" x2="10.3124" y2="16.8656" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="10.7696" y1="8.0264" x2="10.3124" y2="12.1412" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="13.6652" y1="8.0264" x2="10.7696" y2="8.0264" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="9.7028" y1="4.826" x2="10.7696" y2="8.0264" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="8.2296" y1="1.6764" x2="9.7028" y2="4.826" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="2.7432" y1="0.9144" x2="7.1234" y2="1.6764" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="1.778" y1="0.9144" x2="2.7432" y2="0.9144" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="0.8636" y1="0.9144" x2="1.778" y2="0.9144" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="15.494" y1="16.5608" x2="10.3124" y2="16.8656" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="15.5448" y1="14.859" x2="15.494" y2="16.5608" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="19.9136" y1="3.1496" x2="13.6652" y2="8.0264" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="21.2852" y1="2.3876" x2="19.9136" y2="3.1496" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="26.4668" y1="5.9944" x2="21.2852" y2="2.3876" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="7.0104" y1="53.7578" x2="15.1892" y2="53.594" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="1.9304" y1="30.2006" x2="7.0104" y2="37.6428" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="38.1" y1="77.4192" x2="36.8808" y2="67.5132" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="35.8762" y1="79.0956" x2="37.7896" y2="78.8172" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="43.7896" y1="78.8172" x2="38.2896" y2="78.8172" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="49.6824" y1="76.8096" x2="44.8678" y2="76.835" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="49.3324" y1="80.8172" x2="49.2896" y2="78.8172" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="46.5328" y1="84.4804" x2="48.6156" y2="84.7344" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="45.5676" y1="86.9696" x2="46.5328" y2="84.4804" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="42.7736" y1="86.3346" x2="45.5676" y2="86.9696" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="42.9768" y1="84.9884" x2="42.7736" y2="86.3346" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="50.6476" y1="86.8172" x2="48.6156" y2="84.7344" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="55.4342" y1="86.4108" x2="51.7896" y2="86.8172" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="60.7568" y1="86.5124" x2="56.4388" y2="86.4108" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="50.2864" y1="92.8172" x2="49.9872" y2="87.4776" width="0" layer="19" extent="1-16"/>
|
||||
<wire x1="49.7896" y1="94.8172" x2="50.2864" y2="92.8172" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="47.4472" y1="98.3488" x2="49.276" y2="96.2152" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="45.212" y1="97.1296" x2="47.4472" y2="98.3488" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="38.2896" y1="94.8172" x2="43.2896" y2="94.8172" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="35.7632" y1="94.6912" x2="37.7896" y2="94.8172" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="35.6108" y1="97.6884" x2="37.7896" y2="96.4636" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="33.5788" y1="97.6884" x2="35.6108" y2="97.6884" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="31.1912" y1="96.1484" x2="33.5788" y2="97.6884" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="37.338" y1="86.8172" x2="42.7736" y2="86.3346" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="35.7896" y1="86.8172" x2="37.338" y2="86.8172" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="31.383" y1="86.7664" x2="34.4424" y2="86.5632" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="57.15" y1="95.6788" x2="51.7896" y2="92.8172" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="61.6712" y1="97.3836" x2="59.2836" y2="95.6564" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="64.1604" y1="94.3102" x2="61.6712" y2="97.3836" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="67.4116" y1="90.5256" x2="64.1604" y2="94.3102" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="72.0852" y1="84.328" x2="67.4116" y2="90.5256" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="74.3204" y1="94.3102" x2="67.4116" y2="90.5256" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="23.114" y1="95.0468" x2="31.1912" y2="94.8944" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="18.9992" y1="97.3328" x2="23.114" y2="95.0468" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="17.018" y1="95.1992" x2="18.9992" y2="97.3328" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="16.256" y1="98.7044" x2="18.9992" y2="97.3328" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="21.4376" y1="88.5444" x2="23.114" y2="95.0468" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="16.764" y1="82.4484" x2="21.4376" y2="88.5444" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="15.1892" y1="83.7692" x2="15.24" y2="82.3722" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="10.3746" y1="84.9376" x2="15.1892" y2="83.7692" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="7.5692" y1="82.3976" x2="10.3746" y2="84.9376" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="1.8288" y1="83.3628" x2="7.5692" y2="82.3976" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="10.922" y1="77.3684" x2="7.5692" y2="82.3976" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="6.971" y1="69.0372" x2="10.922" y2="77.3684" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="10.3124" y1="66.8528" x2="7.0104" y2="67.9704" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="15.24" y1="67.1322" x2="10.3124" y2="66.8528" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="15.1892" y1="68.9864" x2="15.24" y2="67.1322" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="88.4936" y1="22.2504" x2="88.4936" y2="32.4612" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="87.63" y1="15.9512" x2="88.4936" y2="22.2504" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="87.63" y1="13.4112" x2="87.63" y2="15.9512" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="88.4936" y1="12.192" x2="87.63" y2="13.4112" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="91.694" y1="12.3444" x2="88.4936" y2="12.192" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="91.6432" y1="2.3368" x2="91.694" y2="12.3444" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="91.6432" y1="1.2192" x2="91.6432" y2="2.3368" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="90.2716" y1="1.2192" x2="91.6432" y2="1.2192" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="57.2516" y1="30.1752" x2="58.5216" y2="19.9644" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="52.6034" y1="29.8704" x2="57.2516" y2="30.1752" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="62.4332" y1="35.1536" x2="57.263" y2="32.4612" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="63.3476" y1="33.4772" x2="62.4332" y2="35.1536" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="63.8048" y1="31.1912" x2="63.6016" y2="33.2232" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="1.016" y1="97.9932" x2="1.8288" y2="83.3628" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="1.016" y1="98.9076" x2="1.016" y2="97.9932" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="-19.9836" y1="-2.4254" x2="0.8636" y2="0.9144" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="-20.7836" y1="-2.4254" x2="-19.9836" y2="-2.4254" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="-21.5836" y1="-2.4254" x2="-20.7836" y2="-2.4254" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="-22.3836" y1="-2.4254" x2="-21.5836" y2="-2.4254" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="-22.3836" y1="-8.5214" x2="-22.3836" y2="-2.4254" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="-21.5836" y1="-8.5214" x2="-22.3836" y2="-8.5214" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="-20.7836" y1="-8.5214" x2="-21.5836" y2="-8.5214" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="-19.9836" y1="-8.5214" x2="-20.7836" y2="-8.5214" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="-22.3836" y1="-14.6174" x2="-22.3836" y2="-8.5214" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="-21.5836" y1="-14.6174" x2="-22.3836" y2="-14.6174" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="-20.7836" y1="-14.6174" x2="-21.5836" y2="-14.6174" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="-19.9836" y1="-14.6174" x2="-20.7836" y2="-14.6174" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="-22.3836" y1="-20.7134" x2="-22.3836" y2="-14.6174" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="-21.5836" y1="-20.7134" x2="-22.3836" y2="-20.7134" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="-20.7836" y1="-20.7134" x2="-21.5836" y2="-20.7134" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="-19.9836" y1="-20.7134" x2="-20.7836" y2="-20.7134" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="-20.7836" y1="-26.8094" x2="-20.7836" y2="-20.7134" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="-21.5836" y1="-26.8094" x2="-20.7836" y2="-26.8094" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="-22.3836" y1="-26.8094" x2="-21.5836" y2="-26.8094" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="-19.9836" y1="-26.8094" x2="-20.7836" y2="-26.8094" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="-20.7836" y1="-32.9054" x2="-20.7836" y2="-26.8094" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="-21.5836" y1="-32.9054" x2="-20.7836" y2="-32.9054" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="-22.3836" y1="-32.9054" x2="-21.5836" y2="-32.9054" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="-19.9836" y1="-32.9054" x2="-20.7836" y2="-32.9054" width="0" layer="19" extent="1-1"/>
|
||||
</signal>
|
||||
<signal name="A27">
|
||||
<wire x1="82.55" y1="69.2912" x2="75.3872" y2="69.2912" width="0.1524" layer="16"/>
|
||||
|
@ -7000,16 +7370,16 @@ minimale Strichstärke: <b>0.2 mm</b><br><br>
|
|||
<wire x1="45.7896" y1="97.7712" x2="44.958" y2="98.6028" width="0.1524" layer="1"/>
|
||||
<wire x1="44.958" y1="98.6028" x2="18.4404" y2="98.6028" width="0.1524" layer="1"/>
|
||||
<wire x1="18.4404" y1="98.6028" x2="12.0396" y2="92.202" width="0.1524" layer="1"/>
|
||||
<wire x1="12.0396" y1="92.202" x2="12.0396" y2="84.8868" width="0.1524" layer="1"/>
|
||||
<wire x1="12.0396" y1="84.8868" x2="7.9248" y2="80.772" width="0.1524" layer="1"/>
|
||||
<wire x1="12.0396" y1="92.202" x2="12.0396" y2="83.9724" width="0.1524" layer="1"/>
|
||||
<wire x1="12.0396" y1="83.9724" x2="8.382" y2="80.3148" width="0.1524" layer="1"/>
|
||||
<wire x1="7.9248" y1="4.8768" x2="7.747" y2="4.699" width="0.1524" layer="1"/>
|
||||
<wire x1="7.747" y1="4.699" x2="5.4864" y2="4.699" width="0.1524" layer="1"/>
|
||||
<wire x1="5.334" y1="20.2438" x2="7.4422" y2="20.2438" width="0.1524" layer="1"/>
|
||||
<wire x1="7.4422" y1="20.2438" x2="7.9248" y2="20.7264" width="0.1524" layer="1"/>
|
||||
<wire x1="7.9248" y1="20.7264" x2="7.9248" y2="80.772" width="0.1524" layer="1"/>
|
||||
<wire x1="7.4422" y1="20.2438" x2="8.382" y2="21.1836" width="0.1524" layer="1"/>
|
||||
<wire x1="7.4422" y1="20.2438" x2="7.493" y2="20.2438" width="0.1524" layer="1"/>
|
||||
<wire x1="7.493" y1="20.2438" x2="7.9248" y2="19.812" width="0.1524" layer="1"/>
|
||||
<wire x1="7.9248" y1="19.812" x2="7.9248" y2="4.8768" width="0.1524" layer="1"/>
|
||||
<wire x1="8.382" y1="21.1836" x2="8.382" y2="80.3148" width="0.1524" layer="1"/>
|
||||
</signal>
|
||||
<signal name="AMIGA_DATA_DIR">
|
||||
<contactref element="IC7" pad="48"/>
|
||||
|
@ -7812,50 +8182,46 @@ minimale Strichstärke: <b>0.2 mm</b><br><br>
|
|||
<wire x1="45.72" y1="71.9328" x2="45.1104" y2="71.3232" width="0.1524" layer="1"/>
|
||||
<wire x1="45.1104" y1="71.3232" x2="45.1104" y2="69.6468" width="0.1524" layer="1"/>
|
||||
<wire x1="45.1104" y1="69.6468" x2="45.4152" y2="69.342" width="0.1524" layer="1"/>
|
||||
<wire x1="45.4152" y1="69.342" x2="45.4152" y2="55.0164" width="0.1524" layer="1"/>
|
||||
<wire x1="45.4152" y1="55.0164" x2="45.2628" y2="54.864" width="0.1524" layer="1"/>
|
||||
<wire x1="45.2628" y1="54.864" x2="45.2628" y2="53.1876" width="0.1524" layer="1"/>
|
||||
<wire x1="45.2628" y1="53.1876" x2="47.0916" y2="51.3588" width="0.1524" layer="1"/>
|
||||
<wire x1="45.4152" y1="69.342" x2="45.4152" y2="53.0352" width="0.1524" layer="1"/>
|
||||
<wire x1="45.4152" y1="53.0352" x2="47.0916" y2="51.3588" width="0.1524" layer="1"/>
|
||||
</signal>
|
||||
<signal name="RW_OUT">
|
||||
<contactref element="IC7" pad="22"/>
|
||||
<contactref element="IC9" pad="2"/>
|
||||
<wire x1="51.7896" y1="91.3172" x2="50.11" y2="91.3172" width="0.1524" layer="1"/>
|
||||
<wire x1="50.11" y1="91.3172" x2="49.3776" y2="92.0496" width="0.1524" layer="1"/>
|
||||
<via x="49.3776" y="92.0496" extent="1-16" drill="0.3"/>
|
||||
<wire x1="49.3776" y1="92.0496" x2="49.3776" y2="92.964" width="0.1524" layer="16"/>
|
||||
<wire x1="49.3776" y1="92.964" x2="49.8348" y2="93.4212" width="0.1524" layer="16"/>
|
||||
<wire x1="49.8348" y1="93.4212" x2="49.8348" y2="93.726" width="0.1524" layer="16"/>
|
||||
<wire x1="49.8348" y1="93.726" x2="49.0728" y2="94.488" width="0.1524" layer="16"/>
|
||||
<wire x1="49.0728" y1="94.488" x2="36.8808" y2="94.488" width="0.1524" layer="16"/>
|
||||
<wire x1="36.8808" y1="94.488" x2="35.9664" y2="95.4024" width="0.1524" layer="16"/>
|
||||
<wire x1="35.9664" y1="95.4024" x2="35.5092" y2="95.4024" width="0.1524" layer="16"/>
|
||||
<wire x1="35.5092" y1="95.4024" x2="34.5948" y2="94.488" width="0.1524" layer="16"/>
|
||||
<wire x1="34.5948" y1="94.488" x2="31.6992" y2="94.488" width="0.1524" layer="16"/>
|
||||
<wire x1="31.6992" y1="94.488" x2="31.5468" y2="94.3356" width="0.1524" layer="16"/>
|
||||
<wire x1="31.5468" y1="94.3356" x2="30.9372" y2="94.3356" width="0.1524" layer="16"/>
|
||||
<wire x1="30.9372" y1="94.3356" x2="30.7848" y2="94.488" width="0.1524" layer="16"/>
|
||||
<wire x1="30.7848" y1="94.488" x2="16.1544" y2="94.488" width="0.1524" layer="16"/>
|
||||
<wire x1="16.1544" y1="94.488" x2="15.8496" y2="94.7928" width="0.1524" layer="16"/>
|
||||
<wire x1="15.8496" y1="94.7928" x2="15.0876" y2="94.7928" width="0.1524" layer="16"/>
|
||||
<wire x1="15.0876" y1="94.7928" x2="14.7828" y2="94.488" width="0.1524" layer="16"/>
|
||||
<wire x1="14.7828" y1="94.488" x2="14.7828" y2="93.4212" width="0.1524" layer="16"/>
|
||||
<via x="14.7828" y="93.4212" extent="1-16" drill="0.3"/>
|
||||
<wire x1="14.7828" y1="93.4212" x2="14.7828" y2="93.1164" width="0.1524" layer="1"/>
|
||||
<wire x1="14.7828" y1="93.1164" x2="13.5636" y2="91.8972" width="0.1524" layer="1"/>
|
||||
<wire x1="13.5636" y1="91.8972" x2="13.5636" y2="42.3672" width="0.1524" layer="1"/>
|
||||
<wire x1="13.5636" y1="42.3672" x2="13.8938" y2="42.037" width="0.1524" layer="1"/>
|
||||
<wire x1="13.8938" y1="42.037" x2="15.24" y2="42.037" width="0.1524" layer="1"/>
|
||||
<signal name="AMIGA_ADR_ENABLE">
|
||||
<contactref element="IC4" pad="19"/>
|
||||
<wire x1="5.1816" y1="72.2122" x2="5.207" y2="72.2376" width="0.1524" layer="1"/>
|
||||
<wire x1="5.207" y1="72.2376" x2="6.096" y2="72.2376" width="0.1524" layer="1"/>
|
||||
<contactref element="IC8" pad="19"/>
|
||||
<wire x1="5.1816" y1="56.9722" x2="5.207" y2="56.9976" width="0.1524" layer="1"/>
|
||||
<contactref element="IC9" pad="19"/>
|
||||
<wire x1="5.1816" y1="42.037" x2="5.207" y2="42.0624" width="0.1524" layer="1"/>
|
||||
<wire x1="5.207" y1="42.0624" x2="6.7056" y2="42.0624" width="0.1524" layer="1"/>
|
||||
<contactref element="IC7" pad="33"/>
|
||||
<wire x1="46.2896" y1="94.8172" x2="46.2896" y2="97.7284" width="0.1524" layer="1"/>
|
||||
<wire x1="46.2896" y1="97.7284" x2="45.1104" y2="98.9076" width="0.1524" layer="1"/>
|
||||
<wire x1="45.1104" y1="98.9076" x2="17.9832" y2="98.9076" width="0.1524" layer="1"/>
|
||||
<wire x1="17.9832" y1="98.9076" x2="11.5824" y2="92.5068" width="0.1524" layer="1"/>
|
||||
<wire x1="11.5824" y1="92.5068" x2="11.5824" y2="84.4296" width="0.1524" layer="1"/>
|
||||
<wire x1="11.5824" y1="84.4296" x2="7.62" y2="80.4672" width="0.1524" layer="1"/>
|
||||
<wire x1="7.62" y1="80.4672" x2="7.62" y2="73.152" width="0.1524" layer="1"/>
|
||||
<wire x1="6.096" y1="72.2376" x2="7.7724" y2="70.5612" width="0.1524" layer="1"/>
|
||||
<wire x1="7.7724" y1="70.5612" x2="7.7724" y2="58.674" width="0.1524" layer="1"/>
|
||||
<wire x1="7.7724" y1="43.1292" x2="6.7056" y2="42.0624" width="0.1524" layer="1"/>
|
||||
<wire x1="5.207" y1="56.9976" x2="6.096" y2="56.9976" width="0.1524" layer="1"/>
|
||||
<wire x1="6.096" y1="56.9976" x2="7.7724" y2="58.674" width="0.1524" layer="1"/>
|
||||
<wire x1="7.7724" y1="43.1292" x2="7.7724" y2="55.3212" width="0.1524" layer="1"/>
|
||||
<wire x1="7.7724" y1="55.3212" x2="6.096" y2="56.9976" width="0.1524" layer="1"/>
|
||||
<wire x1="7.62" y1="73.152" x2="7.1628" y2="72.6948" width="0.1524" layer="1"/>
|
||||
<wire x1="7.1628" y1="72.6948" x2="6.5532" y2="72.6948" width="0.1524" layer="1"/>
|
||||
<wire x1="6.5532" y1="72.6948" x2="6.096" y2="72.2376" width="0.1524" layer="1"/>
|
||||
</signal>
|
||||
</signals>
|
||||
<errors>
|
||||
<approved hash="18,30,933d5dc68ee6b8e9"/>
|
||||
<approved hash="18,30,c2185cc68efeee34"/>
|
||||
<approved hash="18,30,a5d25a4e8a6e8dce"/>
|
||||
<approved hash="18,30,1b42dc4e8e6fb74c"/>
|
||||
<approved hash="18,30,4daddc4e8f67e619"/>
|
||||
<approved hash="18,30,1b42dc4e8e6fb74c"/>
|
||||
<approved hash="18,30,a5d25a4e8a6e8dce"/>
|
||||
<approved hash="18,30,f646dbc696e743f0"/>
|
||||
<approved hash="18,30,3849587e8adf1064"/>
|
||||
<approved hash="18,30,c2185cc68efeee34"/>
|
||||
<approved hash="18,30,93e4923c922593fd"/>
|
||||
<approved hash="18,30,a0a8a9f4a989a0d5"/>
|
||||
</errors>
|
||||
|
|
|
@ -2862,6 +2862,9 @@ minimale Strichstärke: <b>0.2 mm</b><br><br>
|
|||
</element>
|
||||
<element name="R14" library="rcl" package="R0603" value="" x="58.674" y="85.6488" rot="R180"/>
|
||||
<element name="R16" library="rcl" package="R0603" value="" x="28.6512" y="90.3732" rot="R180"/>
|
||||
<element name="R17" library="rcl" package="R0603" value="4,7k" x="80.01" y="37.6428" smashed="yes" rot="R90">
|
||||
<attribute name="NAME" x="79.0702" y="36.3982" size="1.27" layer="25" rot="R90"/>
|
||||
</element>
|
||||
</elements>
|
||||
<signals>
|
||||
<signal name="D0">
|
||||
|
@ -4278,10 +4281,6 @@ minimale Strichstärke: <b>0.2 mm</b><br><br>
|
|||
<wire x1="48.768" y1="80.1624" x2="48.006" y2="80.9244" width="0.1524" layer="1"/>
|
||||
<wire x1="55.5752" y1="76.962" x2="53.7972" y2="78.74" width="0.1524" layer="1"/>
|
||||
<wire x1="53.7972" y1="78.74" x2="53.7972" y2="79.5528" width="0.1524" layer="1"/>
|
||||
<contactref element="IC7" pad="42"/>
|
||||
<wire x1="41.7896" y1="94.8172" x2="41.7896" y2="88.2076" width="0.1524" layer="1"/>
|
||||
<wire x1="41.7896" y1="88.2076" x2="48.006" y2="81.9912" width="0.1524" layer="1"/>
|
||||
<wire x1="48.006" y1="81.9912" x2="48.006" y2="80.9244" width="0.1524" layer="1"/>
|
||||
<contactref element="X1" pad="C30"/>
|
||||
<contactref element="IC4" pad="8"/>
|
||||
<wire x1="15.24" y1="79.8322" x2="16.7386" y2="79.8322" width="0.1524" layer="1"/>
|
||||
|
@ -4289,6 +4288,10 @@ minimale Strichstärke: <b>0.2 mm</b><br><br>
|
|||
<via x="16.764" y="79.8576" extent="1-16" drill="0.3"/>
|
||||
<wire x1="26.8224" y1="83.6676" x2="20.574" y2="83.6676" width="0.1524" layer="16"/>
|
||||
<wire x1="20.574" y1="83.6676" x2="16.764" y2="79.8576" width="0.1524" layer="16"/>
|
||||
<contactref element="IC7" pad="22"/>
|
||||
<wire x1="48.006" y1="80.9244" x2="48.006" y2="90.3732" width="0.1524" layer="1"/>
|
||||
<wire x1="48.006" y1="90.3732" x2="48.95" y2="91.3172" width="0.1524" layer="1"/>
|
||||
<wire x1="48.95" y1="91.3172" x2="51.7896" y2="91.3172" width="0.1524" layer="1"/>
|
||||
</signal>
|
||||
<signal name="A7">
|
||||
<contactref element="IC1" pad="B13"/>
|
||||
|
@ -4844,12 +4847,16 @@ minimale Strichstärke: <b>0.2 mm</b><br><br>
|
|||
<wire x1="18.8976" y1="85.9536" x2="18.5928" y2="86.2584" width="0.1524" layer="1"/>
|
||||
<wire x1="18.5928" y1="86.2584" x2="18.5928" y2="90.9828" width="0.1524" layer="1"/>
|
||||
<via x="18.5928" y="90.9828" extent="1-16" drill="0.3"/>
|
||||
<wire x1="18.5928" y1="90.9828" x2="45.2628" y2="90.9828" width="0.1524" layer="16"/>
|
||||
<contactref element="IC7" pad="33"/>
|
||||
<via x="45.2628" y="90.9828" extent="1-16" drill="0.3"/>
|
||||
<wire x1="46.2896" y1="94.8172" x2="46.2896" y2="94.0416" width="0.1524" layer="1"/>
|
||||
<wire x1="46.2896" y1="94.0416" x2="45.2628" y2="93.0148" width="0.1524" layer="1"/>
|
||||
<wire x1="45.2628" y1="93.0148" x2="45.2628" y2="90.9828" width="0.1524" layer="1"/>
|
||||
<wire x1="18.5928" y1="90.9828" x2="41.91" y2="90.9828" width="0.1524" layer="16"/>
|
||||
<via x="41.91" y="90.9828" extent="1-16" drill="0.3"/>
|
||||
<wire x1="45.7896" y1="80.2452" x2="43.8912" y2="82.1436" width="0" layer="19" extent="1-16"/>
|
||||
<wire x1="43.8912" y1="82.1436" x2="43.8912" y2="86.868" width="0" layer="19" extent="1-16"/>
|
||||
<wire x1="41.91" y1="90.9828" x2="43.2816" y2="87.4776" width="0" layer="19" extent="1-16"/>
|
||||
<wire x1="43.2816" y1="87.4776" x2="43.8912" y2="86.868" width="0" layer="19" extent="1-16"/>
|
||||
<contactref element="IC7" pad="42"/>
|
||||
<wire x1="41.7896" y1="94.8172" x2="41.7896" y2="92.932" width="0.1524" layer="1"/>
|
||||
<wire x1="41.7896" y1="92.932" x2="41.91" y2="92.8116" width="0.1524" layer="1"/>
|
||||
<wire x1="41.91" y1="92.8116" x2="41.91" y2="90.9828" width="0.1524" layer="1"/>
|
||||
</signal>
|
||||
<signal name="R/W">
|
||||
<contactref element="IC1" pad="L03"/>
|
||||
|
@ -4893,6 +4900,16 @@ minimale Strichstärke: <b>0.2 mm</b><br><br>
|
|||
<wire x1="35.3568" y1="38.735" x2="34.3662" y2="39.7256" width="0.1524" layer="1"/>
|
||||
<wire x1="34.3662" y1="39.7256" x2="34.3662" y2="40.1828" width="0.1524" layer="1"/>
|
||||
<wire x1="35.2044" y1="41.7576" x2="34.3662" y2="40.9194" width="0.1524" layer="1"/>
|
||||
<contactref element="IC9" pad="2"/>
|
||||
<wire x1="15.24" y1="42.037" x2="16.5862" y2="42.037" width="0.1524" layer="1"/>
|
||||
<wire x1="16.5862" y1="42.037" x2="16.764" y2="42.2148" width="0.1524" layer="1"/>
|
||||
<via x="16.764" y="42.2148" extent="1-16" drill="0.3"/>
|
||||
<wire x1="16.764" y1="42.2148" x2="19.2024" y2="42.2148" width="0.1524" layer="16"/>
|
||||
<wire x1="19.2024" y1="42.2148" x2="20.2692" y2="41.148" width="0.1524" layer="16"/>
|
||||
<wire x1="20.2692" y1="41.148" x2="23.4696" y2="41.148" width="0.1524" layer="16"/>
|
||||
<wire x1="23.4696" y1="41.148" x2="23.622" y2="41.3004" width="0.1524" layer="16"/>
|
||||
<wire x1="23.622" y1="41.3004" x2="33.2486" y2="41.3004" width="0.1524" layer="16"/>
|
||||
<wire x1="33.2486" y1="41.3004" x2="34.3662" y2="40.1828" width="0.1524" layer="16"/>
|
||||
</signal>
|
||||
<signal name="UDS_00">
|
||||
<contactref element="IC2" pad="7"/>
|
||||
|
@ -5365,20 +5382,10 @@ minimale Strichstärke: <b>0.2 mm</b><br><br>
|
|||
<contactref element="IC1" pad="E02"/>
|
||||
<wire x1="31.8262" y1="55.4228" x2="32.8168" y2="55.4228" width="0.1524" layer="16"/>
|
||||
<wire x1="32.8168" y1="55.4228" x2="33.8836" y2="54.356" width="0.1524" layer="16"/>
|
||||
<contactref element="IC7" pad="92"/>
|
||||
<wire x1="45.7896" y1="78.8172" x2="45.7896" y2="77.7936" width="0.1524" layer="1"/>
|
||||
<wire x1="45.4152" y1="71.1708" x2="45.4152" y2="70.104" width="0.1524" layer="1"/>
|
||||
<wire x1="45.4152" y1="70.104" x2="45.8724" y2="69.6468" width="0.1524" layer="1"/>
|
||||
<wire x1="45.8724" y1="69.6468" x2="45.8724" y2="54.5084" width="0.1524" layer="1"/>
|
||||
<via x="45.8724" y="54.5084" extent="1-16" drill="0.3"/>
|
||||
<wire x1="45.8724" y1="54.5084" x2="45.72" y2="54.356" width="0.1524" layer="16"/>
|
||||
<wire x1="45.72" y1="54.356" x2="33.8836" y2="54.356" width="0.1524" layer="16"/>
|
||||
<wire x1="45.4152" y1="71.1708" x2="46.0248" y2="71.7804" width="0.1524" layer="1"/>
|
||||
<wire x1="46.0248" y1="71.7804" x2="46.0248" y2="77.5584" width="0.1524" layer="1"/>
|
||||
<wire x1="46.0248" y1="77.5584" x2="45.7896" y2="77.7936" width="0.1524" layer="1"/>
|
||||
<wire x1="46.1772" y1="54.356" x2="33.8836" y2="54.356" width="0.1524" layer="16"/>
|
||||
<contactref element="X1" pad="A13"/>
|
||||
<wire x1="87.63" y1="43.8912" x2="86.106" y2="42.3672" width="0.1524" layer="16"/>
|
||||
<wire x1="86.106" y1="42.3672" x2="71.3232" y2="42.3672" width="0.1524" layer="16"/>
|
||||
<wire x1="78.3336" y1="42.3672" x2="71.3232" y2="42.3672" width="0.1524" layer="16"/>
|
||||
<wire x1="71.3232" y1="42.3672" x2="71.0184" y2="42.672" width="0.1524" layer="16"/>
|
||||
<wire x1="71.0184" y1="42.672" x2="69.9516" y2="42.672" width="0.1524" layer="16"/>
|
||||
<wire x1="69.9516" y1="42.672" x2="68.7324" y2="41.4528" width="0.1524" layer="16"/>
|
||||
|
@ -5392,9 +5399,29 @@ minimale Strichstärke: <b>0.2 mm</b><br><br>
|
|||
<wire x1="47.0916" y1="42.5196" x2="49.8348" y2="45.2628" width="0.1524" layer="1"/>
|
||||
<wire x1="49.8348" y1="45.2628" x2="49.8348" y2="55.1688" width="0.1524" layer="1"/>
|
||||
<via x="49.8348" y="55.1688" extent="1-16" drill="0.3"/>
|
||||
<wire x1="49.8348" y1="55.1688" x2="49.3776" y2="54.7116" width="0.1524" layer="16"/>
|
||||
<wire x1="49.3776" y1="54.7116" x2="46.0756" y2="54.7116" width="0.1524" layer="16"/>
|
||||
<wire x1="46.0756" y1="54.7116" x2="45.8724" y2="54.5084" width="0.1524" layer="16"/>
|
||||
<wire x1="49.8348" y1="55.1688" x2="49.0728" y2="55.9308" width="0.1524" layer="16"/>
|
||||
<contactref element="R17" pad="2"/>
|
||||
<wire x1="80.01" y1="38.4928" x2="79.4648" y2="38.4928" width="0.1524" layer="1"/>
|
||||
<wire x1="79.4648" y1="38.4928" x2="78.7908" y2="39.1668" width="0.1524" layer="1"/>
|
||||
<wire x1="78.7908" y1="39.1668" x2="78.7908" y2="41.91" width="0.1524" layer="1"/>
|
||||
<via x="78.7908" y="41.91" extent="1-16" drill="0.3"/>
|
||||
<wire x1="78.7908" y1="41.91" x2="78.3336" y2="42.3672" width="0.1524" layer="16"/>
|
||||
<wire x1="86.106" y1="42.3672" x2="79.248" y2="42.3672" width="0.1524" layer="16"/>
|
||||
<wire x1="79.248" y1="42.3672" x2="78.7908" y2="41.91" width="0.1524" layer="16"/>
|
||||
<contactref element="IC7" pad="92"/>
|
||||
<wire x1="45.7896" y1="78.8172" x2="45.7896" y2="77.8068" width="0.1524" layer="1"/>
|
||||
<wire x1="45.7896" y1="77.8068" x2="46.0248" y2="77.5716" width="0.1524" layer="1"/>
|
||||
<wire x1="46.0248" y1="77.5716" x2="46.0248" y2="71.7804" width="0.1524" layer="1"/>
|
||||
<wire x1="46.0248" y1="71.7804" x2="45.4152" y2="71.1708" width="0.1524" layer="1"/>
|
||||
<wire x1="45.4152" y1="71.1708" x2="45.4152" y2="69.7992" width="0.1524" layer="1"/>
|
||||
<wire x1="45.4152" y1="69.7992" x2="45.72" y2="69.4944" width="0.1524" layer="1"/>
|
||||
<wire x1="45.72" y1="69.4944" x2="45.72" y2="60.0456" width="0.1524" layer="1"/>
|
||||
<wire x1="45.72" y1="60.0456" x2="46.1772" y2="59.5884" width="0.1524" layer="1"/>
|
||||
<wire x1="46.1772" y1="59.5884" x2="46.1772" y2="54.356" width="0.1524" layer="1"/>
|
||||
<via x="46.1772" y="54.356" extent="1-16" drill="0.3"/>
|
||||
<wire x1="46.1772" y1="54.356" x2="46.5836" y2="54.356" width="0.1524" layer="16"/>
|
||||
<wire x1="46.5836" y1="54.356" x2="48.1584" y2="55.9308" width="0.1524" layer="16"/>
|
||||
<wire x1="48.1584" y1="55.9308" x2="49.0728" y2="55.9308" width="0.1524" layer="16"/>
|
||||
</signal>
|
||||
<signal name="CIIN">
|
||||
<contactref element="IC1" pad="L01"/>
|
||||
|
@ -6331,6 +6358,9 @@ minimale Strichstärke: <b>0.2 mm</b><br><br>
|
|||
<via x="73.6092" y="52.578" extent="1-16" drill="0.3"/>
|
||||
<wire x1="52.1716" y1="44.7942" x2="53.0238" y2="44.7942" width="0.6096" layer="1"/>
|
||||
<wire x1="53.0238" y1="44.7942" x2="53.34" y2="45.1104" width="0.6096" layer="1"/>
|
||||
<contactref element="R17" pad="1"/>
|
||||
<wire x1="80.01" y1="36.7928" x2="80.01" y2="36.703" width="0.1524" layer="1"/>
|
||||
<wire x1="80.01" y1="36.703" x2="79.0956" y2="35.7886" width="0.1524" layer="1"/>
|
||||
<wire x1="72.8336" y1="89.916" x2="71.7804" y2="94.3102" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="59.2328" y1="98.4504" x2="71.7804" y2="94.3102" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="54.5592" y1="96.608" x2="57.15" y2="98.4788" width="0" layer="19" extent="1-1"/>
|
||||
|
@ -6357,7 +6387,7 @@ minimale Strichstärke: <b>0.2 mm</b><br><br>
|
|||
<wire x1="73.6092" y1="52.578" x2="65.0104" y2="52.8828" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="75.424" y1="46.6344" x2="74.7404" y2="52.578" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="75.6412" y1="59.4732" x2="74.7404" y2="52.578" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="79.0956" y1="35.7886" x2="75.4126" y2="44.6278" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="80.01" y1="36.7928" x2="75.4126" y2="44.6278" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="75.3872" y1="31.4084" x2="79.0956" y2="33.9208" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="77.8764" y1="24.9056" x2="75.3872" y2="29.6164" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="87.63" y1="23.5712" x2="77.8764" y2="23.4696" width="0" layer="19" extent="1-1"/>
|
||||
|
@ -6616,7 +6646,7 @@ minimale Strichstärke: <b>0.2 mm</b><br><br>
|
|||
<via x="47.4472" y="98.3488" extent="1-16" drill="0.3"/>
|
||||
<via x="35.6108" y="97.6884" extent="1-16" drill="0.3"/>
|
||||
<via x="33.5788" y="97.6884" extent="1-16" drill="0.3"/>
|
||||
<via x="17.3228" y="98.7044" extent="1-16" drill="0.3"/>
|
||||
<via x="16.256" y="98.7044" extent="1-16" drill="0.3"/>
|
||||
<via x="1.8288" y="83.3628" extent="1-16" drill="0.3"/>
|
||||
<via x="45.5676" y="86.9696" extent="1-16" drill="0.3"/>
|
||||
<via x="42.418" y="19.8628" extent="1-16" drill="0.3"/>
|
||||
|
@ -6624,7 +6654,7 @@ minimale Strichstärke: <b>0.2 mm</b><br><br>
|
|||
<via x="47.4472" y="57.9628" extent="1-16" drill="0.3"/>
|
||||
<via x="48.3616" y="57.9628" extent="1-16" drill="0.3"/>
|
||||
<via x="70.612" y="60.8076" extent="1-16" drill="0.3"/>
|
||||
<via x="78.74" y="39.5224" extent="1-16" drill="0.3"/>
|
||||
<wire x1="78.74" y1="39.5224" x2="78.74" y2="39.5224" width="0" layer="19" extent="1-16"/>
|
||||
<via x="77.1906" y="39.5224" extent="1-16" drill="0.3"/>
|
||||
<wire x1="47.5742" y1="55.1294" x2="47.766" y2="55.3212" width="0.6096" layer="1"/>
|
||||
<wire x1="47.766" y1="55.3212" x2="48.8696" y2="55.3212" width="0.6096" layer="1"/>
|
||||
|
@ -6766,19 +6796,7 @@ minimale Strichstärke: <b>0.2 mm</b><br><br>
|
|||
<wire x1="76.7956" y1="31.75" x2="76.7956" y2="30.72" width="0.4064" layer="1"/>
|
||||
<wire x1="76.7956" y1="30.72" x2="76.7842" y2="30.7086" width="0.4064" layer="1"/>
|
||||
<via x="76.7842" y="30.7086" extent="1-16" drill="0.3"/>
|
||||
<contactref element="IC4" pad="19"/>
|
||||
<contactref element="IC8" pad="19"/>
|
||||
<contactref element="IC9" pad="19"/>
|
||||
<via x="7.5692" y="82.3976" extent="1-16" drill="0.3"/>
|
||||
<wire x1="5.1816" y1="72.2122" x2="5.207" y2="72.2376" width="0.1524" layer="1"/>
|
||||
<wire x1="5.207" y1="72.2376" x2="6.7056" y2="72.2376" width="0.1524" layer="1"/>
|
||||
<via x="6.7056" y="72.2376" extent="1-16" drill="0.3"/>
|
||||
<wire x1="5.1816" y1="56.9722" x2="5.207" y2="56.9976" width="0.1524" layer="1"/>
|
||||
<wire x1="5.207" y1="56.9976" x2="6.7056" y2="56.9976" width="0.1524" layer="1"/>
|
||||
<via x="6.7056" y="56.9976" extent="1-16" drill="0.3"/>
|
||||
<wire x1="5.1816" y1="42.037" x2="5.207" y2="42.0624" width="0.1524" layer="1"/>
|
||||
<wire x1="5.207" y1="42.0624" x2="6.7056" y2="42.0624" width="0.1524" layer="1"/>
|
||||
<via x="6.7056" y="42.0624" extent="1-16" drill="0.3"/>
|
||||
<wire x1="33.3134" y1="15.4686" x2="29.8336" y2="15.4686" width="0.6096" layer="1"/>
|
||||
<wire x1="29.8336" y1="15.4686" x2="28.956" y2="16.3462" width="0.6096" layer="1"/>
|
||||
<wire x1="28.956" y1="16.3462" x2="28.956" y2="15.24" width="0.6096" layer="1"/>
|
||||
|
@ -6831,8 +6849,8 @@ minimale Strichstärke: <b>0.2 mm</b><br><br>
|
|||
<wire x1="72.0852" y1="84.328" x2="67.4116" y2="90.5256" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="23.114" y1="95.0468" x2="31.1912" y2="94.8944" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="18.9992" y1="97.3328" x2="23.114" y2="95.0468" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="17.3228" y1="98.7044" x2="18.9992" y2="97.3328" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="17.018" y1="95.1992" x2="18.9992" y2="97.3328" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="16.256" y1="98.7044" x2="18.9992" y2="97.3328" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="21.4376" y1="88.5444" x2="23.114" y2="95.0468" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="16.764" y1="82.4484" x2="21.4376" y2="88.5444" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="15.1892" y1="83.7692" x2="15.24" y2="82.3722" width="0" layer="19" extent="1-1"/>
|
||||
|
@ -6840,8 +6858,7 @@ minimale Strichstärke: <b>0.2 mm</b><br><br>
|
|||
<wire x1="7.5692" y1="82.3976" x2="10.3746" y2="84.9376" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="1.8288" y1="83.3628" x2="7.5692" y2="82.3976" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="10.922" y1="77.3684" x2="7.5692" y2="82.3976" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="6.7056" y1="72.2376" x2="10.922" y2="77.3684" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="6.971" y1="69.0372" x2="6.7056" y2="72.2376" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="6.971" y1="69.0372" x2="10.922" y2="77.3684" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="10.3124" y1="66.8528" x2="7.0104" y2="67.9704" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="15.24" y1="67.1322" x2="10.3124" y2="66.8528" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="15.1892" y1="68.9864" x2="15.24" y2="67.1322" width="0" layer="19" extent="1-1"/>
|
||||
|
@ -6899,8 +6916,7 @@ minimale Strichstärke: <b>0.2 mm</b><br><br>
|
|||
<wire x1="25.7302" y1="28.829" x2="24.7904" y2="28.9052" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="29.718" y1="31.3944" x2="25.7302" y2="28.829" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="7.0104" y1="37.6428" x2="10.9728" y2="34.414" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="6.7056" y1="42.0624" x2="6.971" y2="38.862" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="10.16" y1="41.2496" x2="6.7056" y2="42.0624" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="10.16" y1="41.2496" x2="6.971" y2="38.862" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="34.798" y1="30.1244" x2="29.8336" y2="31.51" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="36.0934" y1="30.1244" x2="34.8234" y2="30.099" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="37.3634" y1="28.4086" x2="36.0934" y2="28.4086" width="0" layer="19" extent="1-1"/>
|
||||
|
@ -6948,7 +6964,6 @@ minimale Strichstärke: <b>0.2 mm</b><br><br>
|
|||
<wire x1="21.2852" y1="2.3876" x2="19.9136" y2="3.1496" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="26.4668" y1="5.9944" x2="21.2852" y2="2.3876" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="7.0104" y1="53.7578" x2="15.1892" y2="53.594" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="6.7056" y1="56.9976" x2="6.8186" y2="53.9496" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="74.3204" y1="49.6824" x2="78.8416" y2="57.0484" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="73.6486" y1="46.609" x2="74.3204" y2="49.6824" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="77.1906" y1="39.5224" x2="73.6486" y2="46.609" width="0" layer="19" extent="1-1"/>
|
||||
|
@ -6959,7 +6974,7 @@ minimale Strichstärke: <b>0.2 mm</b><br><br>
|
|||
<wire x1="88.4936" y1="32.4612" x2="80.1624" y2="34.5948" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="91.5416" y1="32.3088" x2="88.4936" y2="32.4612" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="1.9304" y1="30.2006" x2="7.0104" y2="37.6428" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="88.4936" y1="42.672" x2="78.74" y2="39.5224" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="88.4936" y1="42.672" x2="88.4936" y2="32.4612" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="88.4936" y1="52.8828" x2="88.4936" y2="42.672" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="88.4936" y1="62.9412" x2="88.4936" y2="52.8828" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="91.5416" y1="62.7888" x2="88.4936" y2="62.9412" width="0" layer="19" extent="1-1"/>
|
||||
|
@ -7234,16 +7249,16 @@ minimale Strichstärke: <b>0.2 mm</b><br><br>
|
|||
<wire x1="45.7896" y1="97.7712" x2="44.958" y2="98.6028" width="0.1524" layer="1"/>
|
||||
<wire x1="44.958" y1="98.6028" x2="18.4404" y2="98.6028" width="0.1524" layer="1"/>
|
||||
<wire x1="18.4404" y1="98.6028" x2="12.0396" y2="92.202" width="0.1524" layer="1"/>
|
||||
<wire x1="12.0396" y1="92.202" x2="12.0396" y2="84.8868" width="0.1524" layer="1"/>
|
||||
<wire x1="12.0396" y1="84.8868" x2="7.9248" y2="80.772" width="0.1524" layer="1"/>
|
||||
<wire x1="12.0396" y1="92.202" x2="12.0396" y2="83.9724" width="0.1524" layer="1"/>
|
||||
<wire x1="12.0396" y1="83.9724" x2="8.382" y2="80.3148" width="0.1524" layer="1"/>
|
||||
<wire x1="7.9248" y1="4.8768" x2="7.747" y2="4.699" width="0.1524" layer="1"/>
|
||||
<wire x1="7.747" y1="4.699" x2="5.4864" y2="4.699" width="0.1524" layer="1"/>
|
||||
<wire x1="5.334" y1="20.2438" x2="7.4422" y2="20.2438" width="0.1524" layer="1"/>
|
||||
<wire x1="7.4422" y1="20.2438" x2="7.9248" y2="20.7264" width="0.1524" layer="1"/>
|
||||
<wire x1="7.9248" y1="20.7264" x2="7.9248" y2="80.772" width="0.1524" layer="1"/>
|
||||
<wire x1="7.4422" y1="20.2438" x2="8.382" y2="21.1836" width="0.1524" layer="1"/>
|
||||
<wire x1="7.4422" y1="20.2438" x2="7.493" y2="20.2438" width="0.1524" layer="1"/>
|
||||
<wire x1="7.493" y1="20.2438" x2="7.9248" y2="19.812" width="0.1524" layer="1"/>
|
||||
<wire x1="7.9248" y1="19.812" x2="7.9248" y2="4.8768" width="0.1524" layer="1"/>
|
||||
<wire x1="8.382" y1="21.1836" x2="8.382" y2="80.3148" width="0.1524" layer="1"/>
|
||||
</signal>
|
||||
<signal name="AMIGA_DATA_DIR">
|
||||
<contactref element="IC7" pad="48"/>
|
||||
|
@ -8046,50 +8061,46 @@ minimale Strichstärke: <b>0.2 mm</b><br><br>
|
|||
<wire x1="45.72" y1="71.9328" x2="45.1104" y2="71.3232" width="0.1524" layer="1"/>
|
||||
<wire x1="45.1104" y1="71.3232" x2="45.1104" y2="69.6468" width="0.1524" layer="1"/>
|
||||
<wire x1="45.1104" y1="69.6468" x2="45.4152" y2="69.342" width="0.1524" layer="1"/>
|
||||
<wire x1="45.4152" y1="69.342" x2="45.4152" y2="55.0164" width="0.1524" layer="1"/>
|
||||
<wire x1="45.4152" y1="55.0164" x2="45.2628" y2="54.864" width="0.1524" layer="1"/>
|
||||
<wire x1="45.2628" y1="54.864" x2="45.2628" y2="53.1876" width="0.1524" layer="1"/>
|
||||
<wire x1="45.2628" y1="53.1876" x2="47.0916" y2="51.3588" width="0.1524" layer="1"/>
|
||||
<wire x1="45.4152" y1="69.342" x2="45.4152" y2="53.0352" width="0.1524" layer="1"/>
|
||||
<wire x1="45.4152" y1="53.0352" x2="47.0916" y2="51.3588" width="0.1524" layer="1"/>
|
||||
</signal>
|
||||
<signal name="RW_OUT">
|
||||
<contactref element="IC7" pad="22"/>
|
||||
<contactref element="IC9" pad="2"/>
|
||||
<wire x1="51.7896" y1="91.3172" x2="50.11" y2="91.3172" width="0.1524" layer="1"/>
|
||||
<wire x1="50.11" y1="91.3172" x2="49.3776" y2="92.0496" width="0.1524" layer="1"/>
|
||||
<via x="49.3776" y="92.0496" extent="1-16" drill="0.3"/>
|
||||
<wire x1="49.3776" y1="92.0496" x2="49.3776" y2="92.964" width="0.1524" layer="16"/>
|
||||
<wire x1="49.3776" y1="92.964" x2="49.8348" y2="93.4212" width="0.1524" layer="16"/>
|
||||
<wire x1="49.8348" y1="93.4212" x2="49.8348" y2="93.726" width="0.1524" layer="16"/>
|
||||
<wire x1="49.8348" y1="93.726" x2="49.0728" y2="94.488" width="0.1524" layer="16"/>
|
||||
<wire x1="49.0728" y1="94.488" x2="36.8808" y2="94.488" width="0.1524" layer="16"/>
|
||||
<wire x1="36.8808" y1="94.488" x2="35.9664" y2="95.4024" width="0.1524" layer="16"/>
|
||||
<wire x1="35.9664" y1="95.4024" x2="35.5092" y2="95.4024" width="0.1524" layer="16"/>
|
||||
<wire x1="35.5092" y1="95.4024" x2="34.5948" y2="94.488" width="0.1524" layer="16"/>
|
||||
<wire x1="34.5948" y1="94.488" x2="31.6992" y2="94.488" width="0.1524" layer="16"/>
|
||||
<wire x1="31.6992" y1="94.488" x2="31.5468" y2="94.3356" width="0.1524" layer="16"/>
|
||||
<wire x1="31.5468" y1="94.3356" x2="30.9372" y2="94.3356" width="0.1524" layer="16"/>
|
||||
<wire x1="30.9372" y1="94.3356" x2="30.7848" y2="94.488" width="0.1524" layer="16"/>
|
||||
<wire x1="30.7848" y1="94.488" x2="16.1544" y2="94.488" width="0.1524" layer="16"/>
|
||||
<wire x1="16.1544" y1="94.488" x2="15.8496" y2="94.7928" width="0.1524" layer="16"/>
|
||||
<wire x1="15.8496" y1="94.7928" x2="15.0876" y2="94.7928" width="0.1524" layer="16"/>
|
||||
<wire x1="15.0876" y1="94.7928" x2="14.7828" y2="94.488" width="0.1524" layer="16"/>
|
||||
<wire x1="14.7828" y1="94.488" x2="14.7828" y2="93.4212" width="0.1524" layer="16"/>
|
||||
<via x="14.7828" y="93.4212" extent="1-16" drill="0.3"/>
|
||||
<wire x1="14.7828" y1="93.4212" x2="14.7828" y2="93.1164" width="0.1524" layer="1"/>
|
||||
<wire x1="14.7828" y1="93.1164" x2="13.5636" y2="91.8972" width="0.1524" layer="1"/>
|
||||
<wire x1="13.5636" y1="91.8972" x2="13.5636" y2="42.3672" width="0.1524" layer="1"/>
|
||||
<wire x1="13.5636" y1="42.3672" x2="13.8938" y2="42.037" width="0.1524" layer="1"/>
|
||||
<wire x1="13.8938" y1="42.037" x2="15.24" y2="42.037" width="0.1524" layer="1"/>
|
||||
<signal name="AMIGA_ADR_ENABLE">
|
||||
<contactref element="IC4" pad="19"/>
|
||||
<wire x1="5.1816" y1="72.2122" x2="5.207" y2="72.2376" width="0.1524" layer="1"/>
|
||||
<wire x1="5.207" y1="72.2376" x2="6.096" y2="72.2376" width="0.1524" layer="1"/>
|
||||
<contactref element="IC8" pad="19"/>
|
||||
<wire x1="5.1816" y1="56.9722" x2="5.207" y2="56.9976" width="0.1524" layer="1"/>
|
||||
<contactref element="IC9" pad="19"/>
|
||||
<wire x1="5.1816" y1="42.037" x2="5.207" y2="42.0624" width="0.1524" layer="1"/>
|
||||
<wire x1="5.207" y1="42.0624" x2="6.7056" y2="42.0624" width="0.1524" layer="1"/>
|
||||
<contactref element="IC7" pad="33"/>
|
||||
<wire x1="46.2896" y1="94.8172" x2="46.2896" y2="97.7284" width="0.1524" layer="1"/>
|
||||
<wire x1="46.2896" y1="97.7284" x2="45.1104" y2="98.9076" width="0.1524" layer="1"/>
|
||||
<wire x1="45.1104" y1="98.9076" x2="17.9832" y2="98.9076" width="0.1524" layer="1"/>
|
||||
<wire x1="17.9832" y1="98.9076" x2="11.5824" y2="92.5068" width="0.1524" layer="1"/>
|
||||
<wire x1="11.5824" y1="92.5068" x2="11.5824" y2="84.4296" width="0.1524" layer="1"/>
|
||||
<wire x1="11.5824" y1="84.4296" x2="7.62" y2="80.4672" width="0.1524" layer="1"/>
|
||||
<wire x1="7.62" y1="80.4672" x2="7.62" y2="73.152" width="0.1524" layer="1"/>
|
||||
<wire x1="6.096" y1="72.2376" x2="7.7724" y2="70.5612" width="0.1524" layer="1"/>
|
||||
<wire x1="7.7724" y1="70.5612" x2="7.7724" y2="58.674" width="0.1524" layer="1"/>
|
||||
<wire x1="7.7724" y1="43.1292" x2="6.7056" y2="42.0624" width="0.1524" layer="1"/>
|
||||
<wire x1="5.207" y1="56.9976" x2="6.096" y2="56.9976" width="0.1524" layer="1"/>
|
||||
<wire x1="6.096" y1="56.9976" x2="7.7724" y2="58.674" width="0.1524" layer="1"/>
|
||||
<wire x1="7.7724" y1="43.1292" x2="7.7724" y2="55.3212" width="0.1524" layer="1"/>
|
||||
<wire x1="7.7724" y1="55.3212" x2="6.096" y2="56.9976" width="0.1524" layer="1"/>
|
||||
<wire x1="7.62" y1="73.152" x2="7.1628" y2="72.6948" width="0.1524" layer="1"/>
|
||||
<wire x1="7.1628" y1="72.6948" x2="6.5532" y2="72.6948" width="0.1524" layer="1"/>
|
||||
<wire x1="6.5532" y1="72.6948" x2="6.096" y2="72.2376" width="0.1524" layer="1"/>
|
||||
</signal>
|
||||
</signals>
|
||||
<errors>
|
||||
<approved hash="18,30,933d5dc68ee6b8e9"/>
|
||||
<approved hash="18,30,c2185cc68efeee34"/>
|
||||
<approved hash="18,30,a5d25a4e8a6e8dce"/>
|
||||
<approved hash="18,30,1b42dc4e8e6fb74c"/>
|
||||
<approved hash="18,30,4daddc4e8f67e619"/>
|
||||
<approved hash="18,30,1b42dc4e8e6fb74c"/>
|
||||
<approved hash="18,30,a5d25a4e8a6e8dce"/>
|
||||
<approved hash="18,30,f646dbc696e743f0"/>
|
||||
<approved hash="18,30,3849587e8adf1064"/>
|
||||
<approved hash="18,30,c2185cc68efeee34"/>
|
||||
<approved hash="18,30,93e4923c922593fd"/>
|
||||
<approved hash="18,30,a0a8a9f4a989a0d5"/>
|
||||
</errors>
|
||||
|
|
7870
Layout and PCB/68030-TK-V09c.b#3
Normal file
7870
Layout and PCB/68030-TK-V09c.b#3
Normal file
File diff suppressed because it is too large
Load Diff
8104
Layout and PCB/68030-TK-V09c.b#4
Normal file
8104
Layout and PCB/68030-TK-V09c.b#4
Normal file
File diff suppressed because it is too large
Load Diff
|
@ -2720,9 +2720,6 @@ minimale Strichstärke: <b>0.2 mm</b><br><br>
|
|||
<element name="R2" library="rcl" package="R0603" value="4,7k" x="75.5904" y="52.578" smashed="yes" rot="R180">
|
||||
<attribute name="NAME" x="76.835" y="55.1434" size="1.27" layer="25" rot="R180"/>
|
||||
</element>
|
||||
<element name="R3" library="rcl" package="R0603" value="4,7k" x="82.1436" y="95.4024" smashed="yes" rot="R90">
|
||||
<attribute name="NAME" x="81.3054" y="94.0054" size="1.27" layer="25" rot="R90"/>
|
||||
</element>
|
||||
<element name="R12" library="rcl" package="R0603" value="4,7k" x="64.1604" y="50.7492" smashed="yes">
|
||||
<attribute name="NAME" x="63.2206" y="49.2506" size="0.8128" layer="25"/>
|
||||
</element>
|
||||
|
@ -2781,20 +2778,20 @@ minimale Strichstärke: <b>0.2 mm</b><br><br>
|
|||
<element name="C15" library="rcl" package="C0603K" value="100nF" x="35.0012" y="79.0956" smashed="yes">
|
||||
<attribute name="NAME" x="33.744" y="76.2404" size="1.016" layer="25"/>
|
||||
</element>
|
||||
<element name="R4" library="rcl" package="R0603" value="4,7k" x="71.9836" y="89.916" smashed="yes">
|
||||
<attribute name="NAME" x="68.0466" y="89.3064" size="1.27" layer="25"/>
|
||||
<element name="R4" library="rcl" package="R0603" value="4,7k" x="69.8246" y="89.5096" smashed="yes" rot="R270">
|
||||
<attribute name="NAME" x="67.8434" y="91.313" size="1.27" layer="25" rot="R270"/>
|
||||
</element>
|
||||
<element name="R5" library="rcl" package="R0603" value="4,7k" x="52.4256" y="95.7072" smashed="yes" rot="R90">
|
||||
<attribute name="NAME" x="51.4604" y="94.615" size="1.27" layer="25" rot="R90"/>
|
||||
<element name="R5" library="rcl" package="R0603" value="4,7k" x="52.6034" y="95.758" smashed="yes" rot="R90">
|
||||
<attribute name="NAME" x="51.6382" y="94.6658" size="1.27" layer="25" rot="R90"/>
|
||||
</element>
|
||||
<element name="R6" library="rcl" package="R0603" value="4,7k" x="54.5592" y="95.758" smashed="yes" rot="R90">
|
||||
<attribute name="NAME" x="55.3212" y="91.9734" size="1.27" layer="25" rot="R90"/>
|
||||
<element name="R6" library="rcl" package="R0603" value="4,7k" x="54.6354" y="95.758" smashed="yes" rot="R90">
|
||||
<attribute name="NAME" x="55.3974" y="91.9734" size="1.27" layer="25" rot="R90"/>
|
||||
</element>
|
||||
<element name="R7" library="rcl" package="R0603" value="10" x="73.9648" y="82.804" smashed="yes" rot="R180">
|
||||
<attribute name="NAME" x="78.2574" y="83.312" size="1.27" layer="25" rot="R180"/>
|
||||
<element name="R7" library="rcl" package="R0603" value="10" x="71.8566" y="89.5096" smashed="yes" rot="R270">
|
||||
<attribute name="NAME" x="73.1774" y="90.6018" size="1.27" layer="25" rot="R270"/>
|
||||
</element>
|
||||
<element name="C18" library="rcl" package="C1210" value="10µF" x="57.15" y="97.0788" smashed="yes" rot="R270">
|
||||
<attribute name="NAME" x="52.4002" y="98.0186" size="1.27" layer="25"/>
|
||||
<element name="C18" library="rcl" package="C1210" value="10µF" x="57.1754" y="97.0788" smashed="yes" rot="R270">
|
||||
<attribute name="NAME" x="52.4256" y="98.0186" size="1.27" layer="25"/>
|
||||
</element>
|
||||
<element name="C19" library="rcl" package="C1210" value="10µF" x="65.5828" y="13.9192" smashed="yes" rot="R90">
|
||||
<attribute name="NAME" x="63.9318" y="11.9126" size="1.27" layer="25" rot="R90"/>
|
||||
|
@ -2815,11 +2812,8 @@ minimale Strichstärke: <b>0.2 mm</b><br><br>
|
|||
<attribute name="OC_FARNELL" value="unknown" x="45.2628" y="46.1772" size="1.778" layer="27" rot="R90" display="off"/>
|
||||
<attribute name="NAME" x="48.8696" y="46.4185" size="0.8128" layer="25" rot="R180"/>
|
||||
</element>
|
||||
<element name="R8" library="rcl" package="R0603" value="4,7k" x="73.152" y="85.852" smashed="yes" rot="R180">
|
||||
<attribute name="NAME" x="77.2922" y="86.5886" size="1.27" layer="25" rot="R180"/>
|
||||
</element>
|
||||
<element name="R9" library="rcl" package="R0603" value="4,7k" x="73.152" y="87.884" smashed="yes" rot="R180">
|
||||
<attribute name="NAME" x="71.6534" y="88.3158" size="1.27" layer="25" rot="R180"/>
|
||||
<element name="R8" library="rcl" package="R0603" value="4,7k" x="36.4236" y="74.422" smashed="yes" rot="R270">
|
||||
<attribute name="NAME" x="37.5158" y="76.4286" size="1.27" layer="25" rot="R270"/>
|
||||
</element>
|
||||
<element name="X1" library="con-vg" package="FABC96R" value="FABC96R" x="90.17" y="52.7812" rot="MR180"/>
|
||||
<element name="IC4" library="74xx-eu" package="SO20W" value="74HCT245DW" x="10.2108" y="76.6572" smashed="yes" rot="R90">
|
||||
|
@ -2865,6 +2859,42 @@ minimale Strichstärke: <b>0.2 mm</b><br><br>
|
|||
<element name="R17" library="rcl" package="R0603" value="4,7k" x="80.01" y="37.6428" smashed="yes" rot="R90">
|
||||
<attribute name="NAME" x="79.0702" y="36.3982" size="1.27" layer="25" rot="R90"/>
|
||||
</element>
|
||||
<element name="RN4" library="resistor-dil" package="EXBV8V" value="4,7k" x="79.248" y="69.7992" rot="R270">
|
||||
<attribute name="OC_NEWARK" value="unknown" x="79.248" y="69.7992" size="1.778" layer="27" rot="R270" display="off"/>
|
||||
<attribute name="MPN" value="" x="79.248" y="69.7992" size="1.778" layer="27" rot="R270" display="off"/>
|
||||
<attribute name="MF" value="" x="79.248" y="69.7992" size="1.778" layer="27" rot="R270" display="off"/>
|
||||
<attribute name="OC_FARNELL" value="unknown" x="79.248" y="69.7992" size="1.778" layer="27" rot="R270" display="off"/>
|
||||
</element>
|
||||
<element name="RN5" library="resistor-dil" package="EXBV8V" value="4,7k" x="79.248" y="73.6092" rot="R270">
|
||||
<attribute name="OC_NEWARK" value="unknown" x="79.248" y="73.6092" size="1.778" layer="27" rot="R270" display="off"/>
|
||||
<attribute name="MPN" value="" x="79.248" y="73.6092" size="1.778" layer="27" rot="R270" display="off"/>
|
||||
<attribute name="MF" value="" x="79.248" y="73.6092" size="1.778" layer="27" rot="R270" display="off"/>
|
||||
<attribute name="OC_FARNELL" value="unknown" x="79.248" y="73.6092" size="1.778" layer="27" rot="R270" display="off"/>
|
||||
</element>
|
||||
<element name="RN6" library="resistor-dil" package="EXBV8V" value="4,7k" x="79.248" y="77.4192" rot="R270">
|
||||
<attribute name="OC_NEWARK" value="unknown" x="79.248" y="77.4192" size="1.778" layer="27" rot="R270" display="off"/>
|
||||
<attribute name="MPN" value="" x="79.248" y="77.4192" size="1.778" layer="27" rot="R270" display="off"/>
|
||||
<attribute name="MF" value="" x="79.248" y="77.4192" size="1.778" layer="27" rot="R270" display="off"/>
|
||||
<attribute name="OC_FARNELL" value="unknown" x="79.248" y="77.4192" size="1.778" layer="27" rot="R270" display="off"/>
|
||||
</element>
|
||||
<element name="RN7" library="resistor-dil" package="EXBV8V" value="4,7k" x="79.248" y="81.2292" rot="R270">
|
||||
<attribute name="OC_NEWARK" value="unknown" x="79.248" y="81.2292" size="1.778" layer="27" rot="R270" display="off"/>
|
||||
<attribute name="MPN" value="" x="79.248" y="81.2292" size="1.778" layer="27" rot="R270" display="off"/>
|
||||
<attribute name="MF" value="" x="79.248" y="81.2292" size="1.778" layer="27" rot="R270" display="off"/>
|
||||
<attribute name="OC_FARNELL" value="unknown" x="79.248" y="81.2292" size="1.778" layer="27" rot="R270" display="off"/>
|
||||
</element>
|
||||
<element name="RN8" library="resistor-dil" package="EXBV8V" value="4,7k" x="79.248" y="85.0392" rot="R270">
|
||||
<attribute name="OC_NEWARK" value="unknown" x="79.248" y="85.0392" size="1.778" layer="27" rot="R270" display="off"/>
|
||||
<attribute name="MPN" value="" x="79.248" y="85.0392" size="1.778" layer="27" rot="R270" display="off"/>
|
||||
<attribute name="MF" value="" x="79.248" y="85.0392" size="1.778" layer="27" rot="R270" display="off"/>
|
||||
<attribute name="OC_FARNELL" value="unknown" x="79.248" y="85.0392" size="1.778" layer="27" rot="R270" display="off"/>
|
||||
</element>
|
||||
<element name="RN9" library="resistor-dil" package="EXBV8V" value="4,7k" x="79.248" y="88.8492" rot="R270">
|
||||
<attribute name="OC_NEWARK" value="unknown" x="79.248" y="88.8492" size="1.778" layer="27" rot="R270" display="off"/>
|
||||
<attribute name="MPN" value="" x="79.248" y="88.8492" size="1.778" layer="27" rot="R270" display="off"/>
|
||||
<attribute name="MF" value="" x="79.248" y="88.8492" size="1.778" layer="27" rot="R270" display="off"/>
|
||||
<attribute name="OC_FARNELL" value="unknown" x="79.248" y="88.8492" size="1.778" layer="27" rot="R270" display="off"/>
|
||||
</element>
|
||||
</elements>
|
||||
<signals>
|
||||
<signal name="D0">
|
||||
|
@ -4108,6 +4138,8 @@ minimale Strichstärke: <b>0.2 mm</b><br><br>
|
|||
<wire x1="33.3134" y1="7.8486" x2="32.385" y2="7.8486" width="0.1524" layer="1"/>
|
||||
<wire x1="32.385" y1="7.8486" x2="32.004" y2="8.2296" width="0.1524" layer="1"/>
|
||||
<wire x1="32.004" y1="8.2296" x2="30.6324" y2="8.2296" width="0.1524" layer="1"/>
|
||||
<contactref element="RN9" pad="2"/>
|
||||
<wire x1="26.5938" y1="12.2682" x2="78.473" y2="89.2492" width="0" layer="19" extent="1-1"/>
|
||||
</signal>
|
||||
<signal name="A2">
|
||||
<contactref element="IC1" pad="D13"/>
|
||||
|
@ -4152,6 +4184,8 @@ minimale Strichstärke: <b>0.2 mm</b><br><br>
|
|||
<wire x1="27.178" y1="75.0824" x2="27.7368" y2="74.5236" width="0.1524" layer="1"/>
|
||||
<wire x1="27.178" y1="83.1088" x2="27.178" y2="79.2734" width="0.1524" layer="1"/>
|
||||
<wire x1="61.2902" y1="59.4868" x2="59.7662" y2="57.9628" width="0.1524" layer="1"/>
|
||||
<contactref element="RN9" pad="3"/>
|
||||
<wire x1="26.8986" y1="12.7254" x2="78.473" y2="88.4492" width="0" layer="19" extent="1-1"/>
|
||||
</signal>
|
||||
<signal name="A3">
|
||||
<contactref element="IC1" pad="D12"/>
|
||||
|
@ -4193,6 +4227,8 @@ minimale Strichstärke: <b>0.2 mm</b><br><br>
|
|||
<wire x1="26.2128" y1="82.4484" x2="27.8892" y2="80.772" width="0.1524" layer="16"/>
|
||||
<wire x1="27.8892" y1="80.772" x2="27.8892" y2="80.01" width="0.1524" layer="16"/>
|
||||
<via x="27.8892" y="80.01" extent="1-16" drill="0.3"/>
|
||||
<contactref element="RN9" pad="4"/>
|
||||
<wire x1="27.2034" y1="13.0302" x2="78.473" y2="87.6492" width="0" layer="19" extent="1-1"/>
|
||||
</signal>
|
||||
<signal name="A4">
|
||||
<contactref element="IC1" pad="C13"/>
|
||||
|
@ -4237,6 +4273,8 @@ minimale Strichstärke: <b>0.2 mm</b><br><br>
|
|||
<wire x1="37.0332" y1="77.4192" x2="36.7284" y2="77.1144" width="0.1524" layer="16"/>
|
||||
<wire x1="36.7284" y1="77.1144" x2="30.7848" y2="77.1144" width="0.1524" layer="16"/>
|
||||
<wire x1="30.7848" y1="77.1144" x2="28.6512" y2="79.248" width="0.1524" layer="16"/>
|
||||
<contactref element="RN8" pad="1"/>
|
||||
<wire x1="27.5082" y1="13.1826" x2="78.473" y2="86.2392" width="0" layer="19" extent="1-1"/>
|
||||
</signal>
|
||||
<signal name="A5">
|
||||
<contactref element="IC1" pad="C12"/>
|
||||
|
@ -4264,6 +4302,8 @@ minimale Strichstärke: <b>0.2 mm</b><br><br>
|
|||
<contactref element="IC7" pad="45"/>
|
||||
<wire x1="40.6908" y1="87.7824" x2="40.2896" y2="88.1836" width="0.1524" layer="1"/>
|
||||
<wire x1="40.2896" y1="88.1836" x2="40.2896" y2="94.8172" width="0.1524" layer="1"/>
|
||||
<contactref element="RN8" pad="2"/>
|
||||
<wire x1="78.473" y1="85.4392" x2="15.24" y2="81.1022" width="0" layer="19" extent="1-1"/>
|
||||
</signal>
|
||||
<signal name="A6">
|
||||
<contactref element="IC1" pad="D11"/>
|
||||
|
@ -4292,6 +4332,8 @@ minimale Strichstärke: <b>0.2 mm</b><br><br>
|
|||
<wire x1="48.006" y1="80.9244" x2="48.006" y2="90.3732" width="0.1524" layer="1"/>
|
||||
<wire x1="48.006" y1="90.3732" x2="48.95" y2="91.3172" width="0.1524" layer="1"/>
|
||||
<wire x1="48.95" y1="91.3172" x2="51.7896" y2="91.3172" width="0.1524" layer="1"/>
|
||||
<contactref element="RN8" pad="3"/>
|
||||
<wire x1="78.473" y1="84.6392" x2="15.24" y2="79.8322" width="0" layer="19" extent="1-1"/>
|
||||
</signal>
|
||||
<signal name="A7">
|
||||
<contactref element="IC1" pad="B13"/>
|
||||
|
@ -4311,6 +4353,8 @@ minimale Strichstärke: <b>0.2 mm</b><br><br>
|
|||
<via x="16.764" y="78.6384" extent="1-16" drill="0.3"/>
|
||||
<wire x1="26.67" y1="83.3628" x2="21.4884" y2="83.3628" width="0.1524" layer="16"/>
|
||||
<wire x1="21.4884" y1="83.3628" x2="16.764" y2="78.6384" width="0.1524" layer="16"/>
|
||||
<contactref element="RN8" pad="4"/>
|
||||
<wire x1="78.473" y1="83.8392" x2="15.24" y2="78.5622" width="0" layer="19" extent="1-1"/>
|
||||
</signal>
|
||||
<signal name="A8">
|
||||
<contactref element="IC1" pad="B12"/>
|
||||
|
@ -4330,6 +4374,8 @@ minimale Strichstärke: <b>0.2 mm</b><br><br>
|
|||
<via x="16.764" y="77.4192" extent="1-16" drill="0.3"/>
|
||||
<wire x1="26.5176" y1="83.058" x2="22.4028" y2="83.058" width="0.1524" layer="16"/>
|
||||
<wire x1="22.4028" y1="83.058" x2="16.764" y2="77.4192" width="0.1524" layer="16"/>
|
||||
<contactref element="RN7" pad="1"/>
|
||||
<wire x1="80.1624" y1="85.6488" x2="78.473" y2="82.4292" width="0" layer="19" extent="1-16"/>
|
||||
</signal>
|
||||
<signal name="A9">
|
||||
<contactref element="IC1" pad="C11"/>
|
||||
|
@ -4354,6 +4400,8 @@ minimale Strichstärke: <b>0.2 mm</b><br><br>
|
|||
<via x="16.764" y="65.9892" extent="1-16" drill="0.3"/>
|
||||
<wire x1="16.764" y1="65.9892" x2="16.637" y2="65.8622" width="0.1524" layer="1"/>
|
||||
<wire x1="16.637" y1="65.8622" x2="15.24" y2="65.8622" width="0.1524" layer="1"/>
|
||||
<contactref element="RN7" pad="2"/>
|
||||
<wire x1="79.6544" y1="84.5312" x2="78.473" y2="81.6292" width="0" layer="19" extent="1-16"/>
|
||||
</signal>
|
||||
<signal name="A10">
|
||||
<contactref element="IC1" pad="A13"/>
|
||||
|
@ -4381,6 +4429,8 @@ minimale Strichstärke: <b>0.2 mm</b><br><br>
|
|||
<wire x1="16.764" y1="64.6176" x2="18.5928" y2="66.4464" width="0.1524" layer="16"/>
|
||||
<wire x1="18.5928" y1="66.4464" x2="18.5928" y2="74.0664" width="0.1524" layer="16"/>
|
||||
<wire x1="18.5928" y1="74.0664" x2="21.9456" y2="77.4192" width="0.1524" layer="16"/>
|
||||
<contactref element="RN7" pad="3"/>
|
||||
<wire x1="79.0956" y1="83.3628" x2="78.473" y2="80.8292" width="0" layer="19" extent="1-16"/>
|
||||
</signal>
|
||||
<signal name="A11">
|
||||
<contactref element="IC1" pad="C10"/>
|
||||
|
@ -4407,6 +4457,8 @@ minimale Strichstärke: <b>0.2 mm</b><br><br>
|
|||
<via x="16.764" y="63.3984" extent="1-16" drill="0.3"/>
|
||||
<wire x1="16.764" y1="63.3984" x2="16.6878" y2="63.3222" width="0.1524" layer="1"/>
|
||||
<wire x1="16.6878" y1="63.3222" x2="15.24" y2="63.3222" width="0.1524" layer="1"/>
|
||||
<contactref element="RN7" pad="4"/>
|
||||
<wire x1="79.4004" y1="83.058" x2="78.473" y2="80.0292" width="0" layer="19" extent="1-16"/>
|
||||
</signal>
|
||||
<signal name="A12">
|
||||
<contactref element="IC1" pad="B11"/>
|
||||
|
@ -4433,6 +4485,8 @@ minimale Strichstärke: <b>0.2 mm</b><br><br>
|
|||
<wire x1="16.764" y1="62.1792" x2="19.2024" y2="64.6176" width="0.1524" layer="16"/>
|
||||
<wire x1="19.2024" y1="64.6176" x2="19.2024" y2="73.4568" width="0.1524" layer="16"/>
|
||||
<wire x1="19.2024" y1="73.4568" x2="22.5552" y2="76.8096" width="0.1524" layer="16"/>
|
||||
<contactref element="RN6" pad="1"/>
|
||||
<wire x1="78.9432" y1="81.9912" x2="78.473" y2="78.6192" width="0" layer="19" extent="1-16"/>
|
||||
</signal>
|
||||
<signal name="A13">
|
||||
<contactref element="IC1" pad="A12"/>
|
||||
|
@ -4457,6 +4511,8 @@ minimale Strichstärke: <b>0.2 mm</b><br><br>
|
|||
<via x="16.764" y="60.8076" extent="1-16" drill="0.3"/>
|
||||
<wire x1="16.764" y1="60.8076" x2="16.7386" y2="60.7822" width="0.1524" layer="1"/>
|
||||
<wire x1="16.7386" y1="60.7822" x2="15.24" y2="60.7822" width="0.1524" layer="1"/>
|
||||
<contactref element="RN6" pad="2"/>
|
||||
<wire x1="78.486" y1="80.9244" x2="78.473" y2="77.8192" width="0" layer="19" extent="1-16"/>
|
||||
</signal>
|
||||
<signal name="A14">
|
||||
<contactref element="IC1" pad="B10"/>
|
||||
|
@ -4480,6 +4536,8 @@ minimale Strichstärke: <b>0.2 mm</b><br><br>
|
|||
<wire x1="16.764" y1="59.5884" x2="19.812" y2="62.6364" width="0.1524" layer="16"/>
|
||||
<wire x1="19.812" y1="62.6364" x2="19.812" y2="71.0184" width="0.1524" layer="16"/>
|
||||
<wire x1="19.812" y1="71.0184" x2="23.3172" y2="74.5236" width="0.1524" layer="16"/>
|
||||
<contactref element="RN6" pad="3"/>
|
||||
<wire x1="78.7908" y1="80.6196" x2="78.473" y2="77.0192" width="0" layer="19" extent="1-16"/>
|
||||
</signal>
|
||||
<signal name="A15">
|
||||
<contactref element="IC1" pad="A11"/>
|
||||
|
@ -4502,6 +4560,8 @@ minimale Strichstärke: <b>0.2 mm</b><br><br>
|
|||
<via x="16.764" y="58.3692" extent="1-16" drill="0.3"/>
|
||||
<wire x1="16.764" y1="58.3692" x2="16.637" y2="58.2422" width="0.1524" layer="1"/>
|
||||
<wire x1="16.637" y1="58.2422" x2="15.24" y2="58.2422" width="0.1524" layer="1"/>
|
||||
<contactref element="RN6" pad="4"/>
|
||||
<wire x1="78.232" y1="79.4512" x2="78.473" y2="76.2192" width="0" layer="19" extent="1-16"/>
|
||||
</signal>
|
||||
<signal name="A16">
|
||||
<contactref element="IC1" pad="B09"/>
|
||||
|
@ -4530,6 +4590,8 @@ minimale Strichstärke: <b>0.2 mm</b><br><br>
|
|||
<wire x1="16.764" y1="56.9976" x2="20.4216" y2="60.6552" width="0.1524" layer="16"/>
|
||||
<wire x1="20.4216" y1="60.6552" x2="20.4216" y2="70.5612" width="0.1524" layer="16"/>
|
||||
<wire x1="20.4216" y1="70.5612" x2="22.2504" y2="72.39" width="0.1524" layer="16"/>
|
||||
<contactref element="RN5" pad="1"/>
|
||||
<wire x1="77.724" y1="78.3336" x2="78.473" y2="74.8092" width="0" layer="19" extent="1-16"/>
|
||||
</signal>
|
||||
<signal name="A17">
|
||||
<contactref element="IC1" pad="A10"/>
|
||||
|
@ -4559,6 +4621,8 @@ minimale Strichstärke: <b>0.2 mm</b><br><br>
|
|||
<via x="16.764" y="51.054" extent="1-16" drill="0.3"/>
|
||||
<wire x1="16.764" y1="51.054" x2="16.637" y2="50.927" width="0.1524" layer="1"/>
|
||||
<wire x1="16.637" y1="50.927" x2="15.24" y2="50.927" width="0.1524" layer="1"/>
|
||||
<contactref element="RN5" pad="2"/>
|
||||
<wire x1="78.0288" y1="78.0288" x2="78.473" y2="74.0092" width="0" layer="19" extent="1-16"/>
|
||||
</signal>
|
||||
<signal name="A18">
|
||||
<contactref element="IC1" pad="C08"/>
|
||||
|
@ -4582,6 +4646,8 @@ minimale Strichstärke: <b>0.2 mm</b><br><br>
|
|||
<wire x1="16.764" y1="49.6824" x2="21.0312" y2="53.9496" width="0.1524" layer="16"/>
|
||||
<wire x1="21.0312" y1="53.9496" x2="21.0312" y2="70.2564" width="0.1524" layer="16"/>
|
||||
<wire x1="21.0312" y1="70.2564" x2="22.5552" y2="71.7804" width="0.1524" layer="16"/>
|
||||
<contactref element="RN5" pad="3"/>
|
||||
<wire x1="77.5208" y1="76.9112" x2="78.473" y2="73.2092" width="0" layer="19" extent="1-16"/>
|
||||
</signal>
|
||||
<signal name="A19">
|
||||
<contactref element="IC1" pad="A09"/>
|
||||
|
@ -4606,6 +4672,8 @@ minimale Strichstärke: <b>0.2 mm</b><br><br>
|
|||
<via x="16.764" y="48.4632" extent="1-16" drill="0.3"/>
|
||||
<wire x1="16.764" y1="48.4632" x2="15.3162" y2="48.4632" width="0.1524" layer="1"/>
|
||||
<wire x1="15.3162" y1="48.4632" x2="15.24" y2="48.387" width="0.1524" layer="1"/>
|
||||
<contactref element="RN5" pad="4"/>
|
||||
<wire x1="76.962" y1="75.7428" x2="78.473" y2="72.4092" width="0" layer="19" extent="1-16"/>
|
||||
</signal>
|
||||
<signal name="A20">
|
||||
<contactref element="IC1" pad="B08"/>
|
||||
|
@ -4635,6 +4703,8 @@ minimale Strichstärke: <b>0.2 mm</b><br><br>
|
|||
<wire x1="46.2896" y1="78.8172" x2="46.2896" y2="77.764" width="0.1524" layer="1"/>
|
||||
<wire x1="46.2896" y1="77.764" x2="46.3296" y2="77.724" width="0.1524" layer="1"/>
|
||||
<wire x1="46.3296" y1="77.724" x2="46.3296" y2="71.5772" width="0.1524" layer="1"/>
|
||||
<contactref element="RN4" pad="1"/>
|
||||
<wire x1="77.2668" y1="75.438" x2="78.473" y2="70.9992" width="0" layer="19" extent="1-16"/>
|
||||
</signal>
|
||||
<signal name="A21">
|
||||
<contactref element="IC1" pad="A08"/>
|
||||
|
@ -4657,6 +4727,8 @@ minimale Strichstärke: <b>0.2 mm</b><br><br>
|
|||
<via x="16.764" y="45.8724" extent="1-16" drill="0.3"/>
|
||||
<wire x1="16.764" y1="45.8724" x2="16.7386" y2="45.847" width="0.1524" layer="1"/>
|
||||
<wire x1="16.7386" y1="45.847" x2="15.24" y2="45.847" width="0.1524" layer="1"/>
|
||||
<contactref element="RN4" pad="2"/>
|
||||
<wire x1="76.8096" y1="74.3712" x2="78.473" y2="70.1992" width="0" layer="19" extent="1-16"/>
|
||||
</signal>
|
||||
<signal name="A22">
|
||||
<contactref element="IC1" pad="B07"/>
|
||||
|
@ -4684,6 +4756,8 @@ minimale Strichstärke: <b>0.2 mm</b><br><br>
|
|||
<via x="43.1292" y="69.4944" extent="1-16" drill="0.3"/>
|
||||
<wire x1="41.7896" y1="78.8172" x2="41.7896" y2="70.834" width="0.1524" layer="1"/>
|
||||
<wire x1="41.7896" y1="70.834" x2="43.1292" y2="69.4944" width="0.1524" layer="1"/>
|
||||
<contactref element="RN4" pad="3"/>
|
||||
<wire x1="76.3524" y1="73.3044" x2="78.473" y2="69.3992" width="0" layer="19" extent="1-16"/>
|
||||
</signal>
|
||||
<signal name="A23">
|
||||
<contactref element="IC1" pad="A07"/>
|
||||
|
@ -4709,6 +4783,8 @@ minimale Strichstärke: <b>0.2 mm</b><br><br>
|
|||
<wire x1="44.6532" y1="68.8848" x2="42.2896" y2="71.2484" width="0.1524" layer="1"/>
|
||||
<wire x1="42.2896" y1="71.2484" x2="42.2896" y2="78.8172" width="0.1524" layer="1"/>
|
||||
<wire x1="31.369" y1="68.8848" x2="27.2542" y2="64.77" width="0.1524" layer="16"/>
|
||||
<contactref element="RN4" pad="4"/>
|
||||
<wire x1="76.6572" y1="72.9996" x2="78.473" y2="68.5992" width="0" layer="19" extent="1-16"/>
|
||||
</signal>
|
||||
<signal name="FC0">
|
||||
<contactref element="IC2" pad="28"/>
|
||||
|
@ -4849,10 +4925,6 @@ minimale Strichstärke: <b>0.2 mm</b><br><br>
|
|||
<via x="18.5928" y="90.9828" extent="1-16" drill="0.3"/>
|
||||
<wire x1="18.5928" y1="90.9828" x2="41.91" y2="90.9828" width="0.1524" layer="16"/>
|
||||
<via x="41.91" y="90.9828" extent="1-16" drill="0.3"/>
|
||||
<wire x1="45.7896" y1="80.2452" x2="43.8912" y2="82.1436" width="0" layer="19" extent="1-16"/>
|
||||
<wire x1="43.8912" y1="82.1436" x2="43.8912" y2="86.868" width="0" layer="19" extent="1-16"/>
|
||||
<wire x1="41.91" y1="90.9828" x2="43.2816" y2="87.4776" width="0" layer="19" extent="1-16"/>
|
||||
<wire x1="43.2816" y1="87.4776" x2="43.8912" y2="86.868" width="0" layer="19" extent="1-16"/>
|
||||
<contactref element="IC7" pad="42"/>
|
||||
<wire x1="41.7896" y1="94.8172" x2="41.7896" y2="92.932" width="0.1524" layer="1"/>
|
||||
<wire x1="41.7896" y1="92.932" x2="41.91" y2="92.8116" width="0.1524" layer="1"/>
|
||||
|
@ -5299,10 +5371,10 @@ minimale Strichstärke: <b>0.2 mm</b><br><br>
|
|||
<via x="79.756" y="39.624" extent="1-16" drill="0.3"/>
|
||||
<wire x1="79.756" y1="39.624" x2="79.7052" y2="39.6748" width="0.1524" layer="1"/>
|
||||
<wire x1="79.7052" y1="39.6748" x2="79.7052" y2="56.0832" width="0.1524" layer="1"/>
|
||||
<wire x1="79.7052" y1="56.0832" x2="79.7052" y2="69.0372" width="0.1524" layer="1"/>
|
||||
<wire x1="79.7052" y1="56.0832" x2="79.7052" y2="64.9224" width="0.1524" layer="1"/>
|
||||
<contactref element="IC7" pad="21"/>
|
||||
<wire x1="79.7052" y1="69.0372" x2="70.1548" y2="78.5876" width="0.1524" layer="1"/>
|
||||
<wire x1="70.1548" y1="78.5876" x2="70.1548" y2="85.9536" width="0.1524" layer="1"/>
|
||||
<wire x1="79.7052" y1="64.9224" x2="70.1548" y2="74.4728" width="0.1524" layer="1"/>
|
||||
<wire x1="70.1548" y1="74.4728" x2="70.1548" y2="85.9536" width="0.1524" layer="1"/>
|
||||
<wire x1="70.1548" y1="85.9536" x2="65.2912" y2="90.8172" width="0.1524" layer="1"/>
|
||||
<wire x1="65.2912" y1="90.8172" x2="51.7896" y2="90.8172" width="0.1524" layer="1"/>
|
||||
<contactref element="X1" pad="A12"/>
|
||||
|
@ -5323,13 +5395,12 @@ minimale Strichstärke: <b>0.2 mm</b><br><br>
|
|||
<wire x1="60.3504" y1="54.5592" x2="61.8744" y2="56.0832" width="0.1524" layer="16"/>
|
||||
<wire x1="61.8744" y1="56.0832" x2="75.6412" y2="56.0832" width="0.1524" layer="16"/>
|
||||
<via x="79.7052" y="56.0832" extent="1-16" drill="0.3"/>
|
||||
<wire x1="76.0476" y1="56.0832" x2="79.7052" y2="56.0832" width="0.1524" layer="16"/>
|
||||
<wire x1="75.6412" y1="56.0832" x2="79.7052" y2="56.0832" width="0.1524" layer="16"/>
|
||||
<wire x1="31.8262" y1="61.8998" x2="31.8262" y2="63.0428" width="0.1524" layer="1"/>
|
||||
<wire x1="86.2076" y1="39.9288" x2="80.0608" y2="39.9288" width="0.1524" layer="16"/>
|
||||
<wire x1="80.0608" y1="39.9288" x2="79.756" y2="39.624" width="0.1524" layer="16"/>
|
||||
<wire x1="75.6412" y1="57.7732" x2="75.6412" y2="56.0832" width="0.1524" layer="1"/>
|
||||
<via x="75.6412" y="56.0832" extent="1-16" drill="0.3"/>
|
||||
<wire x1="75.6412" y1="56.0832" x2="76.0476" y2="56.0832" width="0.1524" layer="16"/>
|
||||
</signal>
|
||||
<signal name="BR_30">
|
||||
<contactref element="IC1" pad="A01"/>
|
||||
|
@ -5426,23 +5497,20 @@ minimale Strichstärke: <b>0.2 mm</b><br><br>
|
|||
<signal name="CIIN">
|
||||
<contactref element="IC1" pad="L01"/>
|
||||
<contactref element="IC7" pad="47"/>
|
||||
<wire x1="35.814" y1="76.8096" x2="35.814" y2="40.8432" width="0.1524" layer="1"/>
|
||||
<wire x1="35.814" y1="72.0852" x2="35.814" y2="40.8432" width="0.1524" layer="1"/>
|
||||
<wire x1="35.2044" y1="39.1668" x2="30.3022" y2="39.1668" width="0.1524" layer="16"/>
|
||||
<wire x1="30.3022" y1="39.1668" x2="29.2862" y2="40.1828" width="0.1524" layer="16"/>
|
||||
<wire x1="43.8912" y1="87.3252" x2="44.958" y2="88.392" width="0.1524" layer="16"/>
|
||||
<wire x1="44.958" y1="88.392" x2="69.1896" y2="88.392" width="0.1524" layer="16"/>
|
||||
<wire x1="69.1896" y1="88.392" x2="71.1708" y2="86.4108" width="0.1524" layer="16"/>
|
||||
<via x="71.1708" y="86.4108" extent="1-16" drill="0.3"/>
|
||||
<wire x1="71.1708" y1="86.4108" x2="71.1708" y2="79.0956" width="0.1524" layer="1"/>
|
||||
<wire x1="71.1708" y1="79.0956" x2="80.9244" y2="69.342" width="0.1524" layer="1"/>
|
||||
<wire x1="80.9244" y1="69.342" x2="80.9244" y2="48.1584" width="0.1524" layer="1"/>
|
||||
<wire x1="44.958" y1="88.392" x2="68.58" y2="88.392" width="0.1524" layer="16"/>
|
||||
<wire x1="70.5612" y1="86.4108" x2="71.1708" y2="86.4108" width="0" layer="19" extent="1-16"/>
|
||||
<wire x1="70.5612" y1="86.4108" x2="70.5612" y2="74.8284" width="0.1524" layer="1"/>
|
||||
<wire x1="70.5612" y1="74.8284" x2="80.9244" y2="64.4652" width="0.1524" layer="1"/>
|
||||
<wire x1="80.9244" y1="64.4652" x2="80.9244" y2="48.1584" width="0.1524" layer="1"/>
|
||||
<wire x1="80.9244" y1="48.1584" x2="80.772" y2="48.006" width="0.1524" layer="1"/>
|
||||
<wire x1="80.772" y1="48.006" x2="80.772" y2="47.0916" width="0.1524" layer="1"/>
|
||||
<wire x1="80.772" y1="47.0916" x2="80.9244" y2="46.9392" width="0.1524" layer="1"/>
|
||||
<wire x1="80.9244" y1="46.9392" x2="80.9244" y2="33.0708" width="0.1524" layer="1"/>
|
||||
<contactref element="R8" pad="2"/>
|
||||
<wire x1="71.1708" y1="86.4108" x2="71.7432" y2="86.4108" width="0.1524" layer="1"/>
|
||||
<wire x1="71.7432" y1="86.4108" x2="72.302" y2="85.852" width="0.1524" layer="1"/>
|
||||
<contactref element="X1" pad="A6"/>
|
||||
<wire x1="43.8912" y1="87.3252" x2="39.3192" y2="87.3252" width="0.1524" layer="16"/>
|
||||
<via x="39.3192" y="87.3252" extent="1-16" drill="0.3"/>
|
||||
|
@ -5458,10 +5526,18 @@ minimale Strichstärke: <b>0.2 mm</b><br><br>
|
|||
<wire x1="35.2044" y1="39.1668" x2="35.814" y2="39.7764" width="0.1524" layer="16"/>
|
||||
<wire x1="35.814" y1="39.7764" x2="35.814" y2="40.8432" width="0.1524" layer="16"/>
|
||||
<via x="35.814" y="40.8432" extent="1-16" drill="0.3"/>
|
||||
<wire x1="35.814" y1="76.8096" x2="36.4236" y2="77.4192" width="0.1524" layer="1"/>
|
||||
<wire x1="36.4236" y1="77.4192" x2="36.4236" y2="78.0288" width="0.1524" layer="1"/>
|
||||
<wire x1="36.4236" y1="77.1144" x2="36.4236" y2="78.0288" width="0.1524" layer="1"/>
|
||||
<wire x1="36.4236" y1="78.0288" x2="36.7284" y2="78.3336" width="0.1524" layer="1"/>
|
||||
<wire x1="36.7284" y1="78.3336" x2="36.7284" y2="79.7052" width="0.1524" layer="1"/>
|
||||
<wire x1="35.814" y1="72.0852" x2="36.4236" y2="72.6948" width="0.1524" layer="1"/>
|
||||
<wire x1="36.4236" y1="72.6948" x2="36.4236" y2="73.572" width="0.1524" layer="1"/>
|
||||
<wire x1="36.4236" y1="77.1144" x2="37.338" y2="76.2" width="0.1524" layer="1"/>
|
||||
<wire x1="37.338" y1="76.2" x2="37.338" y2="74.0664" width="0.1524" layer="1"/>
|
||||
<wire x1="37.338" y1="74.0664" x2="36.8808" y2="73.6092" width="0.1524" layer="1"/>
|
||||
<wire x1="36.8808" y1="73.6092" x2="36.4608" y2="73.6092" width="0.1524" layer="1"/>
|
||||
<wire x1="36.4608" y1="73.6092" x2="36.4236" y2="73.572" width="0.1524" layer="1"/>
|
||||
<via x="68.58" y="88.392" extent="1-16" drill="0.3"/>
|
||||
<wire x1="68.58" y1="88.392" x2="70.5612" y2="86.4108" width="0.1524" layer="1"/>
|
||||
</signal>
|
||||
<signal name="CIOUT">
|
||||
<contactref element="IC1" pad="C02"/>
|
||||
|
@ -5865,13 +5941,10 @@ minimale Strichstärke: <b>0.2 mm</b><br><br>
|
|||
</signal>
|
||||
<signal name="A0">
|
||||
<contactref element="IC1" pad="A02"/>
|
||||
<contactref element="R3" pad="1"/>
|
||||
<wire x1="31.8262" y1="65.5828" x2="31.8262" y2="65.659" width="0.1524" layer="1"/>
|
||||
<wire x1="82.55" y1="92.1512" x2="81.788" y2="92.1512" width="0.1524" layer="16"/>
|
||||
<wire x1="81.788" y1="92.1512" x2="72.8472" y2="83.2104" width="0.1524" layer="16"/>
|
||||
<wire x1="72.8472" y1="83.2104" x2="37.338" y2="83.2104" width="0.1524" layer="16"/>
|
||||
<wire x1="82.1436" y1="94.5524" x2="82.55" y2="94.146" width="0.1524" layer="1"/>
|
||||
<wire x1="82.55" y1="94.146" x2="82.55" y2="92.1512" width="0.1524" layer="1"/>
|
||||
<via x="37.338" y="83.2104" extent="1-16" drill="0.3"/>
|
||||
<wire x1="37.0788" y1="83.4696" x2="37.338" y2="83.2104" width="0.1524" layer="1"/>
|
||||
<contactref element="X1" pad="C32"/>
|
||||
|
@ -5884,6 +5957,8 @@ minimale Strichstärke: <b>0.2 mm</b><br><br>
|
|||
<wire x1="34.5948" y1="68.4276" x2="34.5948" y2="75.5904" width="0.1524" layer="1"/>
|
||||
<wire x1="34.5948" y1="75.5904" x2="32.3088" y2="77.8764" width="0.1524" layer="1"/>
|
||||
<wire x1="32.3088" y1="77.8764" x2="32.3088" y2="82.2452" width="0.1524" layer="1"/>
|
||||
<contactref element="RN9" pad="1"/>
|
||||
<wire x1="78.473" y1="90.0492" x2="31.8262" y2="65.5828" width="0" layer="19" extent="1-1"/>
|
||||
</signal>
|
||||
<signal name="A24">
|
||||
<contactref element="IC1" pad="A06"/>
|
||||
|
@ -6191,10 +6266,9 @@ minimale Strichstärke: <b>0.2 mm</b><br><br>
|
|||
<via x="41.3004" y="97.282" extent="1-16" drill="0.3"/>
|
||||
<wire x1="42.4066" y1="97.1042" x2="42.7642" y2="97.1042" width="0.3048" layer="1"/>
|
||||
<wire x1="42.7642" y1="97.1042" x2="42.7896" y2="97.1296" width="0.3048" layer="1"/>
|
||||
<wire x1="52.4256" y1="96.5572" x2="52.4256" y2="97.3836" width="0.6096" layer="1"/>
|
||||
<wire x1="52.4256" y1="97.3836" x2="51.816" y2="97.9932" width="0.6096" layer="1"/>
|
||||
<via x="51.816" y="97.9932" extent="1-16" drill="0.3"/>
|
||||
<wire x1="57.15" y1="98.4788" x2="59.2044" y2="98.4788" width="0.6096" layer="1"/>
|
||||
<wire x1="52.7304" y1="97.0534" x2="51.816" y2="97.9932" width="0" layer="19" extent="1-16"/>
|
||||
<wire x1="51.816" y1="97.9932" x2="51.816" y2="97.9932" width="0" layer="19" extent="1-16"/>
|
||||
<wire x1="57.1754" y1="98.4788" x2="59.2044" y2="98.4788" width="0.6096" layer="1"/>
|
||||
<wire x1="59.2044" y1="98.4788" x2="59.2328" y2="98.4504" width="0.6096" layer="1"/>
|
||||
<via x="59.2328" y="98.4504" extent="1-16" drill="0.3"/>
|
||||
<wire x1="29.0182" y1="5.0546" x2="29.0182" y2="4.0246" width="0.6096" layer="1"/>
|
||||
|
@ -6215,7 +6289,7 @@ minimale Strichstärke: <b>0.2 mm</b><br><br>
|
|||
<wire x1="43.2896" y1="79.9672" x2="43.7642" y2="80.4418" width="0.3048" layer="1"/>
|
||||
<wire x1="44.323" y1="80.4418" x2="43.7642" y2="80.4418" width="0.3048" layer="1"/>
|
||||
<wire x1="44.7896" y1="79.9752" x2="44.323" y2="80.4418" width="0.3048" layer="1"/>
|
||||
<via x="74.2188" y="89.916" extent="1-16" drill="0.3"/>
|
||||
<wire x1="74.2188" y1="89.916" x2="74.2188" y2="89.916" width="0" layer="19" extent="1-16"/>
|
||||
<wire x1="33.133" y1="86.7664" x2="33.133" y2="87.7964" width="0.3048" layer="1"/>
|
||||
<wire x1="33.133" y1="87.7964" x2="33.1216" y2="87.8078" width="0.3048" layer="1"/>
|
||||
<via x="33.1216" y="87.8078" extent="1-16" drill="0.3"/>
|
||||
|
@ -6251,11 +6325,8 @@ minimale Strichstärke: <b>0.2 mm</b><br><br>
|
|||
<wire x1="34.1376" y1="78.486" x2="34.8996" y2="77.724" width="0.3048" layer="1"/>
|
||||
<via x="34.8996" y="77.724" extent="1-16" drill="0.3"/>
|
||||
<contactref element="R8" pad="1"/>
|
||||
<contactref element="R9" pad="1"/>
|
||||
<wire x1="72.8336" y1="89.916" x2="74.2188" y2="89.916" width="0.4064" layer="1"/>
|
||||
<wire x1="74.002" y1="85.852" x2="74.002" y2="87.884" width="0.1524" layer="1"/>
|
||||
<wire x1="74.002" y1="87.884" x2="74.002" y2="89.6992" width="0.1524" layer="1"/>
|
||||
<wire x1="74.002" y1="89.6992" x2="74.2188" y2="89.916" width="0.1524" layer="1"/>
|
||||
<wire x1="69.8246" y1="88.6596" x2="74.2188" y2="89.916" width="0" layer="19" extent="1-16"/>
|
||||
<wire x1="74.002" y1="89.6992" x2="74.2188" y2="89.916" width="0" layer="19" extent="1-16"/>
|
||||
<contactref element="X1" pad="A3"/>
|
||||
<contactref element="X1" pad="A4"/>
|
||||
<wire x1="44.0436" y1="54.19" x2="44.0436" y2="55.3212" width="0.1524" layer="1"/>
|
||||
|
@ -6345,8 +6416,7 @@ minimale Strichstärke: <b>0.2 mm</b><br><br>
|
|||
<via x="31.5976" y="33.5788" extent="1-16" drill="0.3"/>
|
||||
<wire x1="31.5836" y1="32.5374" x2="31.5836" y2="27.3952" width="0.6096" layer="1"/>
|
||||
<wire x1="31.5836" y1="27.3952" x2="32.0802" y2="26.8986" width="0.6096" layer="1"/>
|
||||
<wire x1="54.5592" y1="96.608" x2="54.5084" y2="96.5572" width="0.1524" layer="1"/>
|
||||
<wire x1="54.5084" y1="96.5572" x2="52.4256" y2="96.5572" width="0.1524" layer="1"/>
|
||||
<wire x1="54.6354" y1="96.608" x2="52.6034" y2="96.608" width="0.1524" layer="1"/>
|
||||
<via x="53.34" y="45.1104" extent="1-16" drill="0.3"/>
|
||||
<wire x1="77.8764" y1="24.9056" x2="77.8764" y2="23.4696" width="0.1524" layer="1"/>
|
||||
<via x="77.8764" y="23.4696" extent="1-16" drill="0.3"/>
|
||||
|
@ -6361,15 +6431,14 @@ minimale Strichstärke: <b>0.2 mm</b><br><br>
|
|||
<contactref element="R17" pad="1"/>
|
||||
<wire x1="80.01" y1="36.7928" x2="80.01" y2="36.703" width="0.1524" layer="1"/>
|
||||
<wire x1="80.01" y1="36.703" x2="79.0956" y2="35.7886" width="0.1524" layer="1"/>
|
||||
<wire x1="72.8336" y1="89.916" x2="71.7804" y2="94.3102" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="59.2328" y1="98.4504" x2="71.7804" y2="94.3102" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="54.5592" y1="96.608" x2="57.15" y2="98.4788" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="54.6354" y1="96.608" x2="57.1754" y2="98.4788" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="44.2896" y1="94.8172" x2="51.816" y2="97.9932" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="49.9872" y1="86.5124" x2="44.1452" y2="93.726" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="44.323" y1="80.4418" x2="49.9872" y2="86.5124" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="35.7896" y1="81.8172" x2="43.2896" y2="79.9672" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="36.4236" y1="75.272" x2="43.1292" y2="75.438" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="33.133" y1="86.7664" x2="34.7256" y2="81.8172" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="41.9862" y1="60.5028" x2="43.1292" y2="75.438" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="41.9862" y1="60.5028" x2="36.4236" y2="75.272" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="44.0436" y1="55.3352" x2="42.5082" y2="56.8706" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="47.5742" y1="53.3794" x2="44.0436" y2="54.19" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="36.9062" y1="57.9628" x2="41.9862" y2="57.3926" width="0" layer="19" extent="1-1"/>
|
||||
|
@ -6410,6 +6479,18 @@ minimale Strichstärke: <b>0.2 mm</b><br><br>
|
|||
<wire x1="4.9428" y1="84.582" x2="5.1816" y2="70.9422" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="5.334" y1="18.9738" x2="15.3924" y2="33.2232" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="5.4864" y1="3.429" x2="5.334" y2="16.1544" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="36.4236" y1="75.272" x2="36.4236" y2="76.2" width="0.1524" layer="1"/>
|
||||
<wire x1="36.4236" y1="76.2" x2="34.8996" y2="77.724" width="0.1524" layer="1"/>
|
||||
<wire x1="52.6034" y1="96.608" x2="52.6034" y2="97.663" width="0.1524" layer="1"/>
|
||||
<wire x1="52.6034" y1="97.663" x2="52.578" y2="97.6884" width="0.1524" layer="1"/>
|
||||
<via x="52.578" y="97.6884" extent="1-16" drill="0.3"/>
|
||||
<wire x1="52.578" y1="97.6884" x2="53.7972" y2="97.6884" width="0" layer="19" extent="1-16"/>
|
||||
<wire x1="53.7972" y1="97.6884" x2="57.1754" y2="98.4788" width="0" layer="19" extent="1-16"/>
|
||||
<wire x1="69.8246" y1="88.6596" x2="70.5612" y2="87.923" width="0.1524" layer="1"/>
|
||||
<wire x1="70.5612" y1="87.923" x2="70.5612" y2="87.4776" width="0.1524" layer="1"/>
|
||||
<via x="70.5612" y="87.4776" extent="1-16" drill="0.3"/>
|
||||
<wire x1="70.5612" y1="87.4776" x2="71.0184" y2="87.4776" width="0" layer="19" extent="1-16"/>
|
||||
<wire x1="71.0184" y1="87.4776" x2="71.7804" y2="94.3102" width="0" layer="19" extent="1-16"/>
|
||||
</signal>
|
||||
<signal name="GND">
|
||||
<contactref element="IC2" pad="16"/>
|
||||
|
@ -6465,7 +6546,6 @@ minimale Strichstärke: <b>0.2 mm</b><br><br>
|
|||
<via x="63.3476" y="33.4772" extent="1-16" drill="0.3"/>
|
||||
<wire x1="65.3288" y1="33.2232" x2="63.6016" y2="33.2232" width="0.6096" layer="1"/>
|
||||
<wire x1="63.6016" y1="33.2232" x2="63.3476" y2="33.4772" width="0.6096" layer="1"/>
|
||||
<contactref element="R3" pad="2"/>
|
||||
<contactref element="RN1" pad="8"/>
|
||||
<contactref element="RN1" pad="7"/>
|
||||
<contactref element="RN1" pad="6"/>
|
||||
|
@ -6601,7 +6681,7 @@ minimale Strichstärke: <b>0.2 mm</b><br><br>
|
|||
<wire x1="49.2896" y1="94.8172" x2="49.2896" y2="96.2016" width="0.3048" layer="1"/>
|
||||
<wire x1="49.2896" y1="96.2016" x2="49.276" y2="96.2152" width="0.3048" layer="1"/>
|
||||
<via x="49.276" y="96.2152" extent="1-16" drill="0.3"/>
|
||||
<wire x1="57.15" y1="95.6788" x2="59.2612" y2="95.6788" width="0.6096" layer="1"/>
|
||||
<wire x1="57.1754" y1="95.6788" x2="59.2612" y2="95.6788" width="0.6096" layer="1"/>
|
||||
<wire x1="59.2612" y1="95.6788" x2="59.2836" y2="95.6564" width="0.6096" layer="1"/>
|
||||
<via x="59.2836" y="95.6564" extent="1-16" drill="0.3"/>
|
||||
<wire x1="39.0512" y1="45.2882" x2="39.0512" y2="46.496" width="0.6096" layer="1"/>
|
||||
|
@ -6620,8 +6700,6 @@ minimale Strichstärke: <b>0.2 mm</b><br><br>
|
|||
<via x="65.5828" y="16.7132" extent="1-16" drill="0.3"/>
|
||||
<wire x1="52.6034" y1="5.0686" x2="52.6034" y2="3.683" width="0.6096" layer="1"/>
|
||||
<via x="52.6034" y="3.683" extent="1-16" drill="0.3"/>
|
||||
<wire x1="82.1436" y1="96.2524" x2="82.1436" y2="97.282" width="0.4064" layer="1"/>
|
||||
<via x="82.1436" y="97.282" extent="1-16" drill="0.3"/>
|
||||
<wire x1="73.6222" y1="62.9604" x2="73.6222" y2="62.2938" width="0.3048" layer="1"/>
|
||||
<via x="73.8632" y="62.0776" extent="1-16" drill="0.3"/>
|
||||
<wire x1="38.6334" y1="5.0686" x2="38.6334" y2="11.9634" width="0.6096" layer="1"/>
|
||||
|
@ -6654,7 +6732,6 @@ minimale Strichstärke: <b>0.2 mm</b><br><br>
|
|||
<via x="47.4472" y="57.9628" extent="1-16" drill="0.3"/>
|
||||
<via x="48.3616" y="57.9628" extent="1-16" drill="0.3"/>
|
||||
<via x="70.612" y="60.8076" extent="1-16" drill="0.3"/>
|
||||
<wire x1="78.74" y1="39.5224" x2="78.74" y2="39.5224" width="0" layer="19" extent="1-16"/>
|
||||
<via x="77.1906" y="39.5224" extent="1-16" drill="0.3"/>
|
||||
<wire x1="47.5742" y1="55.1294" x2="47.766" y2="55.3212" width="0.6096" layer="1"/>
|
||||
<wire x1="47.766" y1="55.3212" x2="48.8696" y2="55.3212" width="0.6096" layer="1"/>
|
||||
|
@ -6813,67 +6890,59 @@ minimale Strichstärke: <b>0.2 mm</b><br><br>
|
|||
<wire x1="35.814" y1="78.514" x2="35.8762" y2="78.5762" width="0.3048" layer="1"/>
|
||||
<wire x1="31.383" y1="86.7664" x2="30.4038" y2="86.7664" width="0.3048" layer="1"/>
|
||||
<via x="30.4038" y="86.7664" extent="1-16" drill="0.3"/>
|
||||
<contactref element="RN4" pad="5"/>
|
||||
<contactref element="RN4" pad="6"/>
|
||||
<contactref element="RN4" pad="7"/>
|
||||
<contactref element="RN4" pad="8"/>
|
||||
<contactref element="RN7" pad="8"/>
|
||||
<contactref element="RN7" pad="7"/>
|
||||
<contactref element="RN7" pad="6"/>
|
||||
<contactref element="RN7" pad="5"/>
|
||||
<contactref element="RN6" pad="8"/>
|
||||
<contactref element="RN6" pad="7"/>
|
||||
<contactref element="RN6" pad="6"/>
|
||||
<contactref element="RN6" pad="5"/>
|
||||
<contactref element="RN5" pad="8"/>
|
||||
<contactref element="RN5" pad="7"/>
|
||||
<contactref element="RN5" pad="6"/>
|
||||
<contactref element="RN5" pad="5"/>
|
||||
<contactref element="RN8" pad="5"/>
|
||||
<contactref element="RN8" pad="6"/>
|
||||
<contactref element="RN8" pad="7"/>
|
||||
<contactref element="RN8" pad="8"/>
|
||||
<contactref element="RN9" pad="5"/>
|
||||
<contactref element="RN9" pad="6"/>
|
||||
<contactref element="RN9" pad="7"/>
|
||||
<contactref element="RN9" pad="8"/>
|
||||
<wire x1="85.7504" y1="96.1644" x2="86.5124" y2="98.7552" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="87.7316" y1="93.8784" x2="85.7504" y2="96.1644" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="82.1436" y1="96.2524" x2="85.7504" y2="96.1644" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="91.9988" y1="93.5736" x2="87.7316" y2="93.8784" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="74.3204" y1="94.3102" x2="82.1436" y2="96.2524" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="67.4116" y1="90.5256" x2="74.3204" y2="94.3102" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="64.1604" y1="94.3102" x2="67.4116" y2="90.5256" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="61.6712" y1="97.3836" x2="64.1604" y2="94.3102" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="59.2836" y1="95.6564" x2="61.6712" y2="97.3836" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="51.7896" y1="92.8172" x2="57.15" y2="95.6788" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="49.7896" y1="94.8172" x2="50.2864" y2="92.8172" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="47.4472" y1="98.3488" x2="49.276" y2="96.2152" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="45.212" y1="97.1296" x2="47.4472" y2="98.3488" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="38.2896" y1="94.8172" x2="43.2896" y2="94.8172" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="35.7632" y1="94.6912" x2="37.7896" y2="94.8172" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="35.6108" y1="97.6884" x2="37.7896" y2="96.4636" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="33.5788" y1="97.6884" x2="35.6108" y2="97.6884" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="31.1912" y1="96.1484" x2="33.5788" y2="97.6884" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="49.9872" y1="87.4776" x2="50.2864" y2="92.8172" width="0" layer="19" extent="1-16"/>
|
||||
<wire x1="48.6156" y1="84.7344" x2="50.6476" y2="86.8172" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="49.2896" y1="78.8172" x2="49.3324" y2="80.8172" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="46.5328" y1="84.4804" x2="48.6156" y2="84.7344" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="45.5676" y1="86.9696" x2="46.5328" y2="84.4804" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="42.7736" y1="86.3346" x2="45.5676" y2="86.9696" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="42.9768" y1="84.9884" x2="42.7736" y2="86.3346" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="55.4342" y1="86.4108" x2="51.7896" y2="86.8172" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="60.7568" y1="86.5124" x2="56.4388" y2="86.4108" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="44.8678" y1="76.835" x2="49.6824" y2="76.8096" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="37.338" y1="86.8172" x2="42.7736" y2="86.3346" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="35.7896" y1="86.8172" x2="37.338" y2="86.8172" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="31.383" y1="86.7664" x2="34.4424" y2="86.5632" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="38.2896" y1="78.8172" x2="43.7896" y2="78.8172" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="35.8762" y1="79.0956" x2="37.7896" y2="78.8172" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="72.0852" y1="84.328" x2="67.4116" y2="90.5256" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="23.114" y1="95.0468" x2="31.1912" y2="94.8944" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="18.9992" y1="97.3328" x2="23.114" y2="95.0468" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="17.018" y1="95.1992" x2="18.9992" y2="97.3328" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="16.256" y1="98.7044" x2="18.9992" y2="97.3328" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="21.4376" y1="88.5444" x2="23.114" y2="95.0468" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="16.764" y1="82.4484" x2="21.4376" y2="88.5444" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="15.1892" y1="83.7692" x2="15.24" y2="82.3722" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="10.3746" y1="84.9376" x2="15.1892" y2="83.7692" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="7.5692" y1="82.3976" x2="10.3746" y2="84.9376" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="1.8288" y1="83.3628" x2="7.5692" y2="82.3976" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="10.922" y1="77.3684" x2="7.5692" y2="82.3976" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="6.971" y1="69.0372" x2="10.922" y2="77.3684" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="10.3124" y1="66.8528" x2="7.0104" y2="67.9704" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="15.24" y1="67.1322" x2="10.3124" y2="66.8528" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="15.1892" y1="68.9864" x2="15.24" y2="67.1322" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="36.8808" y1="67.5132" x2="38.1" y2="77.4192" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="39.4462" y1="60.5028" x2="36.8808" y2="67.5132" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="44.5262" y1="60.5028" x2="39.4462" y2="60.5028" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="44.2976" y1="57.9628" x2="44.5262" y2="60.5028" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="47.4472" y1="57.9628" x2="44.2976" y2="57.9628" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="48.3616" y1="57.9628" x2="47.4472" y2="57.9628" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="47.766" y1="55.3212" x2="47.4472" y2="57.9628" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="49.6062" y1="60.5028" x2="48.3616" y2="57.9628" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="54.6862" y1="55.4228" x2="48.8696" y2="55.3212" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="54.6862" y1="50.3428" x2="54.6862" y2="55.4228" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="56.7944" y1="49.022" x2="54.6862" y2="50.3428" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="58.4708" y1="48.8696" x2="56.7944" y2="49.022" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="80.023" y1="82.4292" x2="87.7316" y2="93.8784" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="80.023" y1="81.6292" x2="80.023" y2="82.4292" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="80.023" y1="80.8292" x2="80.023" y2="81.6292" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="80.023" y1="80.0292" x2="80.023" y2="80.8292" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="80.023" y1="78.6192" x2="80.023" y2="80.0292" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="80.023" y1="77.8192" x2="80.023" y2="78.6192" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="80.023" y1="77.0192" x2="80.023" y2="77.8192" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="80.023" y1="76.2192" x2="80.023" y2="77.0192" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="80.023" y1="74.8092" x2="80.023" y2="76.2192" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="80.023" y1="74.0092" x2="80.023" y2="74.8092" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="80.023" y1="73.2092" x2="80.023" y2="74.0092" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="80.023" y1="72.4092" x2="80.023" y2="73.2092" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="80.023" y1="70.9992" x2="80.023" y2="72.4092" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="80.023" y1="70.1992" x2="80.023" y2="70.9992" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="80.023" y1="69.3992" x2="80.023" y2="70.1992" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="80.023" y1="68.5992" x2="80.023" y2="69.3992" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="73.6222" y1="69.018" x2="80.023" y2="68.5992" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="70.612" y1="60.8076" x2="73.6222" y2="62.2938" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="69.7484" y1="58.42" x2="70.612" y2="60.8076" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="65.4304" y1="55.3212" x2="69.7484" y2="58.42" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="62.8396" y1="55.372" x2="65.4304" y2="55.3212" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="62.992" y1="58.0136" x2="62.8396" y2="55.372" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="78.8416" y1="57.0484" x2="73.8632" y2="62.0776" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="58.4708" y1="48.8696" x2="62.8396" y2="55.372" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="56.7944" y1="49.022" x2="58.4708" y2="48.8696" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="54.6862" y1="50.3428" x2="56.7944" y2="49.022" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="52.1716" y1="47.6504" x2="54.6862" y2="50.3428" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="54.6862" y1="45.2628" x2="52.1716" y2="46.5442" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="49.8602" y1="42.4434" x2="52.1716" y2="46.5442" width="0" layer="19" extent="1-1"/>
|
||||
|
@ -6888,7 +6957,15 @@ minimale Strichstärke: <b>0.2 mm</b><br><br>
|
|||
<wire x1="34.3662" y1="52.8828" x2="34.3662" y2="50.3428" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="34.3662" y1="55.4228" x2="34.3662" y2="52.8828" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="39.4462" y1="40.1828" x2="42.0116" y2="43.5356" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="54.6862" y1="55.4228" x2="54.6862" y2="50.3428" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="44.1452" y1="48.768" x2="39.0512" y2="46.496" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="48.8696" y1="55.3212" x2="54.6862" y2="55.4228" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="47.4472" y1="57.9628" x2="47.766" y2="55.3212" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="48.3616" y1="57.9628" x2="47.4472" y2="57.9628" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="49.6062" y1="60.5028" x2="48.3616" y2="57.9628" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="44.2976" y1="57.9628" x2="47.4472" y2="57.9628" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="44.5262" y1="60.5028" x2="44.2976" y2="57.9628" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="39.4462" y1="60.5028" x2="44.5262" y2="60.5028" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="28.194" y1="57.2516" x2="34.3662" y2="55.4228" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="24.7904" y1="54.356" x2="28.194" y2="57.2516" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="23.876" y1="51.7652" x2="24.7904" y2="54.356" width="0" layer="19" extent="1-1"/>
|
||||
|
@ -6898,13 +6975,7 @@ minimale Strichstärke: <b>0.2 mm</b><br><br>
|
|||
<wire x1="24.7904" y1="40.3606" x2="24.8412" y2="44.0944" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="16.764" y1="52.2732" x2="23.876" y2="51.7652" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="15.1892" y1="53.594" x2="15.24" y2="52.197" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="62.8396" y1="55.372" x2="58.4708" y2="48.8696" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="65.4304" y1="55.3212" x2="62.8396" y2="55.372" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="62.992" y1="58.0136" x2="62.8396" y2="55.372" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="69.7484" y1="58.42" x2="65.4304" y2="55.3212" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="70.612" y1="60.8076" x2="69.7484" y2="58.42" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="73.6222" y1="62.2938" x2="70.612" y2="60.8076" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="78.8416" y1="57.0484" x2="73.8632" y2="62.0776" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="36.8808" y1="67.5132" x2="39.4462" y2="60.5028" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="19.7612" y1="34.2392" x2="24.7904" y2="40.3606" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="19.9136" y1="32.1056" x2="19.7612" y2="34.2392" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="15.3416" y1="32.1056" x2="19.9136" y2="32.1056" width="0" layer="19" extent="1-1"/>
|
||||
|
@ -6963,23 +7034,67 @@ minimale Strichstärke: <b>0.2 mm</b><br><br>
|
|||
<wire x1="19.9136" y1="3.1496" x2="13.6652" y2="8.0264" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="21.2852" y1="2.3876" x2="19.9136" y2="3.1496" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="26.4668" y1="5.9944" x2="21.2852" y2="2.3876" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="72.0852" y1="84.328" x2="80.023" y2="80.0292" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="67.4116" y1="90.5256" x2="72.0852" y2="84.328" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="64.1604" y1="94.3102" x2="67.4116" y2="90.5256" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="61.6712" y1="97.3836" x2="64.1604" y2="94.3102" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="59.2836" y1="95.6564" x2="61.6712" y2="97.3836" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="51.7896" y1="92.8172" x2="57.1754" y2="95.6788" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="49.7896" y1="94.8172" x2="50.2864" y2="92.8172" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="47.4472" y1="98.3488" x2="49.276" y2="96.2152" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="45.212" y1="97.1296" x2="47.4472" y2="98.3488" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="38.2896" y1="94.8172" x2="43.2896" y2="94.8172" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="35.7632" y1="94.6912" x2="37.7896" y2="94.8172" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="35.6108" y1="97.6884" x2="37.7896" y2="96.4636" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="33.5788" y1="97.6884" x2="35.6108" y2="97.6884" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="31.1912" y1="96.1484" x2="33.5788" y2="97.6884" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="49.9872" y1="87.4776" x2="50.2864" y2="92.8172" width="0" layer="19" extent="1-16"/>
|
||||
<wire x1="48.6156" y1="84.7344" x2="50.6476" y2="86.8172" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="49.2896" y1="78.8172" x2="49.3324" y2="80.8172" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="46.5328" y1="84.4804" x2="48.6156" y2="84.7344" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="45.5676" y1="86.9696" x2="46.5328" y2="84.4804" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="42.7736" y1="86.3346" x2="45.5676" y2="86.9696" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="42.9768" y1="84.9884" x2="42.7736" y2="86.3346" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="55.4342" y1="86.4108" x2="51.7896" y2="86.8172" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="60.7568" y1="86.5124" x2="56.4388" y2="86.4108" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="44.8678" y1="76.835" x2="49.6824" y2="76.8096" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="37.338" y1="86.8172" x2="42.7736" y2="86.3346" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="35.7896" y1="86.8172" x2="37.338" y2="86.8172" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="31.383" y1="86.7664" x2="34.4424" y2="86.5632" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="38.2896" y1="78.8172" x2="43.7896" y2="78.8172" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="35.8762" y1="79.0956" x2="37.7896" y2="78.8172" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="74.3204" y1="94.3102" x2="67.4116" y2="90.5256" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="23.114" y1="95.0468" x2="31.1912" y2="94.8944" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="18.9992" y1="97.3328" x2="23.114" y2="95.0468" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="17.018" y1="95.1992" x2="18.9992" y2="97.3328" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="16.256" y1="98.7044" x2="18.9992" y2="97.3328" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="21.4376" y1="88.5444" x2="23.114" y2="95.0468" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="16.764" y1="82.4484" x2="21.4376" y2="88.5444" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="15.1892" y1="83.7692" x2="15.24" y2="82.3722" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="10.3746" y1="84.9376" x2="15.1892" y2="83.7692" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="7.5692" y1="82.3976" x2="10.3746" y2="84.9376" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="1.8288" y1="83.3628" x2="7.5692" y2="82.3976" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="10.922" y1="77.3684" x2="7.5692" y2="82.3976" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="7.0104" y1="53.7578" x2="15.1892" y2="53.594" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="88.3412" y1="83.2104" x2="80.023" y2="78.6192" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="88.4936" y1="72.9996" x2="80.023" y2="68.5992" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="74.3204" y1="49.6824" x2="78.8416" y2="57.0484" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="73.6486" y1="46.609" x2="74.3204" y2="49.6824" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="77.1906" y1="39.5224" x2="73.6486" y2="46.609" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="78.74" y1="39.5224" x2="77.1906" y2="39.5224" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="77.1144" y1="34.5948" x2="77.1906" y2="39.5224" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="76.7956" y1="31.75" x2="77.1144" y2="34.5948" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="80.1624" y1="34.5948" x2="77.1144" y2="34.5948" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="88.4936" y1="32.4612" x2="80.1624" y2="34.5948" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="91.5416" y1="32.3088" x2="88.4936" y2="32.4612" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="1.9304" y1="30.2006" x2="7.0104" y2="37.6428" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="88.4936" y1="42.672" x2="88.4936" y2="32.4612" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="88.4936" y1="52.8828" x2="88.4936" y2="42.672" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="88.4936" y1="62.9412" x2="88.4936" y2="52.8828" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="6.971" y1="69.0372" x2="10.922" y2="77.3684" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="10.3124" y1="66.8528" x2="7.0104" y2="67.9704" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="15.24" y1="67.1322" x2="10.3124" y2="66.8528" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="15.1892" y1="68.9864" x2="15.24" y2="67.1322" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="88.4936" y1="62.9412" x2="88.4936" y2="72.9996" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="91.5416" y1="62.7888" x2="88.4936" y2="62.9412" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="88.4936" y1="72.9996" x2="88.4936" y2="62.9412" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="88.3412" y1="83.2104" x2="88.4936" y2="72.9996" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="88.4936" y1="52.8828" x2="88.4936" y2="62.9412" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="88.4936" y1="42.672" x2="88.4936" y2="32.4612" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="88.4936" y1="22.2504" x2="88.4936" y2="32.4612" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="87.63" y1="15.9512" x2="88.4936" y2="22.2504" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="87.63" y1="13.4112" x2="87.63" y2="15.9512" width="0" layer="19" extent="1-1"/>
|
||||
|
@ -6995,6 +7110,14 @@ minimale Strichstärke: <b>0.2 mm</b><br><br>
|
|||
<wire x1="63.8048" y1="31.1912" x2="63.6016" y2="33.2232" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="1.016" y1="97.9932" x2="1.8288" y2="83.3628" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="1.016" y1="98.9076" x2="1.016" y2="97.9932" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="80.023" y1="83.8392" x2="0.8636" y2="0.9144" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="80.023" y1="84.6392" x2="80.023" y2="83.8392" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="80.023" y1="85.4392" x2="80.023" y2="84.6392" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="80.023" y1="86.2392" x2="80.023" y2="85.4392" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="80.023" y1="88.4492" x2="80.023" y2="84.6392" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="80.023" y1="89.2492" x2="80.023" y2="88.4492" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="80.023" y1="90.0492" x2="80.023" y2="89.2492" width="0" layer="19" extent="1-1"/>
|
||||
<wire x1="80.023" y1="87.6492" x2="80.023" y2="88.4492" width="0" layer="19" extent="1-1"/>
|
||||
</signal>
|
||||
<signal name="A27">
|
||||
<wire x1="82.55" y1="69.2912" x2="75.3872" y2="69.2912" width="0.1524" layer="16"/>
|
||||
|
@ -7031,13 +7154,13 @@ minimale Strichstärke: <b>0.2 mm</b><br><br>
|
|||
<wire x1="77.4192" y1="28.3464" x2="78.0288" y2="28.956" width="0.1524" layer="1"/>
|
||||
<wire x1="78.0288" y1="28.956" x2="78.0288" y2="43.1292" width="0.1524" layer="1"/>
|
||||
<contactref element="IC7" pad="20"/>
|
||||
<wire x1="78.0288" y1="69.9516" x2="69.7484" y2="78.232" width="0.1524" layer="1"/>
|
||||
<wire x1="69.7484" y1="78.232" x2="69.7484" y2="85.8012" width="0.1524" layer="1"/>
|
||||
<wire x1="78.0288" y1="65.8368" x2="69.7484" y2="74.1172" width="0.1524" layer="1"/>
|
||||
<wire x1="69.7484" y1="74.1172" x2="69.7484" y2="85.8012" width="0.1524" layer="1"/>
|
||||
<wire x1="69.7484" y1="85.8012" x2="65.2324" y2="90.3172" width="0.1524" layer="1"/>
|
||||
<wire x1="65.2324" y1="90.3172" x2="51.7896" y2="90.3172" width="0.1524" layer="1"/>
|
||||
<wire x1="75.3872" y1="43.3832" x2="77.7748" y2="43.3832" width="0.1524" layer="1"/>
|
||||
<wire x1="77.7748" y1="43.3832" x2="78.0288" y2="43.1292" width="0.1524" layer="1"/>
|
||||
<wire x1="78.0288" y1="69.9516" x2="78.0288" y2="43.6372" width="0.1524" layer="1"/>
|
||||
<wire x1="78.0288" y1="65.8368" x2="78.0288" y2="43.6372" width="0.1524" layer="1"/>
|
||||
<wire x1="78.0288" y1="43.6372" x2="77.7748" y2="43.3832" width="0.1524" layer="1"/>
|
||||
</signal>
|
||||
<signal name="TCK">
|
||||
|
@ -7051,33 +7174,33 @@ minimale Strichstärke: <b>0.2 mm</b><br><br>
|
|||
<wire x1="52.8828" y1="92.3172" x2="51.7896" y2="92.3172" width="0.1524" layer="1"/>
|
||||
<wire x1="73.279" y1="97.8916" x2="74.3204" y2="96.8502" width="0.1524" layer="1"/>
|
||||
<contactref element="R5" pad="1"/>
|
||||
<wire x1="52.4256" y1="94.8572" x2="52.4256" y2="93.726" width="0.1524" layer="1"/>
|
||||
<wire x1="52.4256" y1="93.726" x2="52.8828" y2="93.2688" width="0.1524" layer="1"/>
|
||||
<wire x1="52.8828" y1="93.2688" x2="52.8828" y2="92.3172" width="0.1524" layer="1"/>
|
||||
<wire x1="52.8828" y1="93.2688" x2="52.6034" y2="93.5482" width="0.1524" layer="1"/>
|
||||
<wire x1="52.6034" y1="93.5482" x2="52.6034" y2="94.908" width="0.1524" layer="1"/>
|
||||
</signal>
|
||||
<signal name="TMS">
|
||||
<contactref element="SV1" pad="5"/>
|
||||
<contactref element="IC7" pad="23"/>
|
||||
<wire x1="69.2404" y1="96.8502" x2="68.1736" y2="95.7834" width="0.1524" layer="1"/>
|
||||
<wire x1="68.1736" y1="95.7834" x2="68.1736" y2="92.3036" width="0.1524" layer="1"/>
|
||||
<wire x1="68.1736" y1="92.3036" x2="67.6872" y2="91.8172" width="0.1524" layer="1"/>
|
||||
<wire x1="67.6872" y1="91.8172" x2="51.7896" y2="91.8172" width="0.1524" layer="1"/>
|
||||
<wire x1="68.367" y1="91.8172" x2="51.7896" y2="91.8172" width="0.1524" layer="1"/>
|
||||
<contactref element="R4" pad="1"/>
|
||||
<wire x1="71.1336" y1="89.916" x2="69.5884" y2="89.916" width="0.1524" layer="1"/>
|
||||
<wire x1="69.5884" y1="89.916" x2="67.6872" y2="91.8172" width="0.1524" layer="1"/>
|
||||
<wire x1="68.367" y1="91.8172" x2="69.8246" y2="90.3596" width="0.1524" layer="1"/>
|
||||
<wire x1="69.2404" y1="96.8502" x2="70.4088" y2="95.6818" width="0.1524" layer="1"/>
|
||||
<wire x1="70.4088" y1="95.6818" x2="70.4088" y2="91.7448" width="0.1524" layer="1"/>
|
||||
<wire x1="70.4088" y1="91.7448" x2="69.8246" y2="91.1606" width="0.1524" layer="1"/>
|
||||
<wire x1="69.8246" y1="91.1606" x2="69.8246" y2="90.3596" width="0.1524" layer="1"/>
|
||||
</signal>
|
||||
<signal name="TDO">
|
||||
<wire x1="71.882" y1="85.6996" x2="38.0492" y2="85.6996" width="0.1524" layer="16"/>
|
||||
<wire x1="70.1548" y1="85.6996" x2="38.0492" y2="85.6996" width="0.1524" layer="16"/>
|
||||
<contactref element="IC7" pad="74"/>
|
||||
<via x="38.0492" y="85.6996" extent="1-16" drill="0.3"/>
|
||||
<wire x1="38.0492" y1="85.6996" x2="38.0492" y2="81.6356" width="0.1524" layer="1"/>
|
||||
<wire x1="38.0492" y1="81.6356" x2="37.7308" y2="81.3172" width="0.1524" layer="1"/>
|
||||
<wire x1="37.7308" y1="81.3172" x2="35.7896" y2="81.3172" width="0.1524" layer="1"/>
|
||||
<contactref element="R7" pad="2"/>
|
||||
<wire x1="71.882" y1="85.6996" x2="72.9996" y2="84.582" width="0.1524" layer="16"/>
|
||||
<via x="72.9996" y="84.582" extent="1-16" drill="0.3"/>
|
||||
<wire x1="72.9996" y1="84.582" x2="72.9996" y2="82.9192" width="0.1524" layer="1"/>
|
||||
<wire x1="72.9996" y1="82.9192" x2="73.1148" y2="82.804" width="0.1524" layer="1"/>
|
||||
<wire x1="70.1548" y1="85.6996" x2="71.7804" y2="87.3252" width="0.1524" layer="16"/>
|
||||
<via x="71.7804" y="87.3252" extent="1-16" drill="0.3"/>
|
||||
<wire x1="71.7804" y1="87.3252" x2="71.8566" y2="87.4014" width="0.1524" layer="1"/>
|
||||
<wire x1="71.8566" y1="87.4014" x2="71.8566" y2="88.6596" width="0.1524" layer="1"/>
|
||||
</signal>
|
||||
<signal name="TDI">
|
||||
<contactref element="SV1" pad="9"/>
|
||||
|
@ -7087,31 +7210,13 @@ minimale Strichstärke: <b>0.2 mm</b><br><br>
|
|||
<wire x1="49.3268" y1="81.8896" x2="49.8992" y2="81.3172" width="0.1524" layer="1"/>
|
||||
<wire x1="49.8992" y1="81.3172" x2="51.7896" y2="81.3172" width="0.1524" layer="1"/>
|
||||
<wire x1="64.1604" y1="96.8502" x2="60.5282" y2="93.218" width="0.1524" layer="1"/>
|
||||
<wire x1="60.5282" y1="93.218" x2="54.5592" y2="93.218" width="0.1524" layer="1"/>
|
||||
<wire x1="60.5282" y1="93.218" x2="54.6354" y2="93.218" width="0.1524" layer="1"/>
|
||||
<via x="53.5432" y="93.218" extent="1-16" drill="0.3"/>
|
||||
<wire x1="54.5592" y1="93.218" x2="53.5432" y2="93.218" width="0.1524" layer="1"/>
|
||||
<wire x1="54.6354" y1="93.218" x2="53.5432" y2="93.218" width="0.1524" layer="1"/>
|
||||
<wire x1="53.5432" y1="93.218" x2="50.9016" y2="90.5764" width="0.1524" layer="16"/>
|
||||
<wire x1="50.9016" y1="90.5764" x2="49.3268" y2="90.5764" width="0.1524" layer="16"/>
|
||||
<contactref element="R6" pad="1"/>
|
||||
<wire x1="54.5592" y1="94.908" x2="54.5592" y2="93.218" width="0.1524" layer="1"/>
|
||||
</signal>
|
||||
<signal name="RAM_SEL">
|
||||
<contactref element="R2" pad="1"/>
|
||||
<wire x1="76.4404" y1="52.578" x2="76.454" y2="52.578" width="0.1524" layer="1"/>
|
||||
<via x="77.2668" y="49.8348" extent="1-16" drill="0.3"/>
|
||||
<contactref element="IC7" pad="14"/>
|
||||
<wire x1="51.7896" y1="87.3172" x2="64.5748" y2="87.3172" width="0.1524" layer="1"/>
|
||||
<wire x1="64.5748" y1="87.3172" x2="66.9036" y2="84.9884" width="0.1524" layer="1"/>
|
||||
<wire x1="66.9036" y1="84.9884" x2="66.9036" y2="63.6524" width="0.1524" layer="1"/>
|
||||
<wire x1="76.454" y1="52.578" x2="76.454" y2="54.102" width="0.1524" layer="1"/>
|
||||
<wire x1="76.454" y1="54.102" x2="66.9036" y2="63.6524" width="0.1524" layer="1"/>
|
||||
<wire x1="76.454" y1="52.578" x2="76.454" y2="50.6476" width="0.1524" layer="1"/>
|
||||
<wire x1="76.454" y1="50.6476" x2="77.2668" y2="49.8348" width="0.1524" layer="1"/>
|
||||
<contactref element="X1" pad="A16"/>
|
||||
<wire x1="77.2668" y1="49.8348" x2="77.724" y2="49.8348" width="0.1524" layer="16"/>
|
||||
<wire x1="77.724" y1="49.8348" x2="78.0288" y2="50.1396" width="0.1524" layer="16"/>
|
||||
<wire x1="78.0288" y1="50.1396" x2="86.2584" y2="50.1396" width="0.1524" layer="16"/>
|
||||
<wire x1="86.2584" y1="50.1396" x2="87.63" y2="51.5112" width="0.1524" layer="16"/>
|
||||
<wire x1="54.6354" y1="94.908" x2="54.6354" y2="93.218" width="0.1524" layer="1"/>
|
||||
</signal>
|
||||
<signal name="CLK_OSZI">
|
||||
<contactref element="R1" pad="2"/>
|
||||
|
@ -7234,12 +7339,26 @@ minimale Strichstärke: <b>0.2 mm</b><br><br>
|
|||
<wire x1="71.7804" y1="96.8502" x2="71.8566" y2="96.8502" width="0.1524" layer="1"/>
|
||||
<wire x1="71.8566" y1="96.8502" x2="72.9996" y2="95.7072" width="0.1524" layer="1"/>
|
||||
<wire x1="72.9996" y1="95.7072" x2="72.9996" y2="92.3544" width="0.1524" layer="1"/>
|
||||
<wire x1="72.9996" y1="92.3544" x2="74.8284" y2="90.5256" width="0.1524" layer="1"/>
|
||||
<wire x1="74.8284" y1="90.5256" x2="74.8284" y2="82.8176" width="0.1524" layer="1"/>
|
||||
<wire x1="74.8284" y1="82.8176" x2="74.8148" y2="82.804" width="0.1524" layer="1"/>
|
||||
<wire x1="72.9996" y1="92.3544" x2="71.8566" y2="91.2114" width="0.1524" layer="1"/>
|
||||
<wire x1="71.8566" y1="91.2114" x2="71.8566" y2="90.3596" width="0.1524" layer="1"/>
|
||||
</signal>
|
||||
<signal name="IO_EXP">
|
||||
<contactref element="R9" pad="2"/>
|
||||
<contactref element="R2" pad="1"/>
|
||||
<wire x1="76.4404" y1="52.578" x2="76.454" y2="52.578" width="0.1524" layer="1"/>
|
||||
<via x="77.2668" y="49.8348" extent="1-16" drill="0.3"/>
|
||||
<contactref element="IC7" pad="14"/>
|
||||
<wire x1="51.7896" y1="87.3172" x2="64.5748" y2="87.3172" width="0.1524" layer="1"/>
|
||||
<wire x1="64.5748" y1="87.3172" x2="66.9036" y2="84.9884" width="0.1524" layer="1"/>
|
||||
<wire x1="66.9036" y1="84.9884" x2="66.9036" y2="63.6524" width="0.1524" layer="1"/>
|
||||
<wire x1="76.454" y1="52.578" x2="76.454" y2="54.102" width="0.1524" layer="1"/>
|
||||
<wire x1="76.454" y1="54.102" x2="66.9036" y2="63.6524" width="0.1524" layer="1"/>
|
||||
<wire x1="76.454" y1="52.578" x2="76.454" y2="50.6476" width="0.1524" layer="1"/>
|
||||
<wire x1="76.454" y1="50.6476" x2="77.2668" y2="49.8348" width="0.1524" layer="1"/>
|
||||
<contactref element="X1" pad="A16"/>
|
||||
<wire x1="77.2668" y1="49.8348" x2="77.724" y2="49.8348" width="0.1524" layer="16"/>
|
||||
<wire x1="77.724" y1="49.8348" x2="78.0288" y2="50.1396" width="0.1524" layer="16"/>
|
||||
<wire x1="78.0288" y1="50.1396" x2="86.2584" y2="50.1396" width="0.1524" layer="16"/>
|
||||
<wire x1="86.2584" y1="50.1396" x2="87.63" y2="51.5112" width="0.1524" layer="16"/>
|
||||
</signal>
|
||||
<signal name="AMIGA_BUS_ENABLE_HIGH">
|
||||
<contactref element="IC7" pad="34"/>
|
||||
|
@ -8095,12 +8214,12 @@ minimale Strichstärke: <b>0.2 mm</b><br><br>
|
|||
</signals>
|
||||
<errors>
|
||||
<approved hash="18,30,933d5dc68ee6b8e9"/>
|
||||
<approved hash="18,30,4daddc4e8f67e619"/>
|
||||
<approved hash="18,30,1b42dc4e8e6fb74c"/>
|
||||
<approved hash="18,30,a5d25a4e8a6e8dce"/>
|
||||
<approved hash="18,30,f646dbc696e743f0"/>
|
||||
<approved hash="18,30,3849587e8adf1064"/>
|
||||
<approved hash="18,30,c2185cc68efeee34"/>
|
||||
<approved hash="18,30,1b42dc4e8e6fb74c"/>
|
||||
<approved hash="18,30,f646dbc696e743f0"/>
|
||||
<approved hash="18,30,4daddc4e8f67e619"/>
|
||||
<approved hash="18,30,a5d25a4e8a6e8dce"/>
|
||||
<approved hash="18,30,3849587e8adf1064"/>
|
||||
<approved hash="18,30,93e4923c922593fd"/>
|
||||
<approved hash="18,30,a0a8a9f4a989a0d5"/>
|
||||
</errors>
|
||||
|
|
|
@ -10071,7 +10071,6 @@ Source: RS Component / Phycomp</description>
|
|||
<part name="IC5" library="74xx-eu" deviceset="74*245" device="DW" technology="HCT"/>
|
||||
<part name="IC6" library="74xx-eu" deviceset="74*245" device="DW" technology="HCT"/>
|
||||
<part name="R2" library="rcl" deviceset="R-EU_" device="R0603" value="4,7k"/>
|
||||
<part name="R3" library="rcl" deviceset="R-EU_" device="R0603" value="4,7k"/>
|
||||
<part name="R12" library="rcl" deviceset="R-EU_" device="R0603" value="4,7k"/>
|
||||
<part name="R13" library="rcl" deviceset="R-EU_" device="R0603" value="4,7k"/>
|
||||
<part name="R15" library="rcl" deviceset="R-EU_" device="R0603" value="4,7k"/>
|
||||
|
@ -10116,6 +10115,13 @@ Source: RS Component / Phycomp</description>
|
|||
<part name="R11" library="rcl" deviceset="R-EU_" device="R0603"/>
|
||||
<part name="R14" library="rcl" deviceset="R-EU_" device="R0603"/>
|
||||
<part name="R16" library="rcl" deviceset="R-EU_" device="R0603"/>
|
||||
<part name="R17" library="rcl" deviceset="R-EU_" device="R0603" value="4,7k"/>
|
||||
<part name="RN4" library="resistor-dil" deviceset="4R-N" device="EXBV8V" value="4,7k"/>
|
||||
<part name="RN5" library="resistor-dil" deviceset="4R-N" device="EXBV8V" value="4,7k"/>
|
||||
<part name="RN6" library="resistor-dil" deviceset="4R-N" device="EXBV8V" value="4,7k"/>
|
||||
<part name="RN7" library="resistor-dil" deviceset="4R-N" device="EXBV8V" value="4,7k"/>
|
||||
<part name="RN8" library="resistor-dil" deviceset="4R-N" device="EXBV8V" value="4,7k"/>
|
||||
<part name="RN9" library="resistor-dil" deviceset="4R-N" device="EXBV8V" value="4,7k"/>
|
||||
</parts>
|
||||
<sheets>
|
||||
<sheet>
|
||||
|
@ -10136,7 +10142,6 @@ Source: RS Component / Phycomp</description>
|
|||
<instance part="C6" gate="G$1" x="27.94" y="15.24"/>
|
||||
<instance part="FRAME1" gate="G$1" x="-106.68" y="-7.62"/>
|
||||
<instance part="R2" gate="G$1" x="-25.4" y="91.44"/>
|
||||
<instance part="R3" gate="G$1" x="-25.4" y="86.36"/>
|
||||
<instance part="R12" gate="G$1" x="-25.4" y="101.6"/>
|
||||
<instance part="R13" gate="G$1" x="-25.4" y="106.68"/>
|
||||
<instance part="R15" gate="G$1" x="-25.4" y="116.84"/>
|
||||
|
@ -10144,14 +10149,6 @@ Source: RS Component / Phycomp</description>
|
|||
<instance part="R19" gate="G$1" x="-2.54" y="104.14"/>
|
||||
<instance part="R20" gate="G$1" x="-25.4" y="127"/>
|
||||
<instance part="R21" gate="G$1" x="-25.4" y="121.92"/>
|
||||
<instance part="RN1" gate="A" x="-25.4" y="81.28"/>
|
||||
<instance part="RN1" gate="B" x="-25.4" y="76.2"/>
|
||||
<instance part="RN1" gate="C" x="-25.4" y="71.12"/>
|
||||
<instance part="RN1" gate="D" x="-25.4" y="66.04"/>
|
||||
<instance part="RN2" gate="A" x="-25.4" y="60.96"/>
|
||||
<instance part="RN2" gate="B" x="-25.4" y="55.88"/>
|
||||
<instance part="RN2" gate="C" x="-25.4" y="50.8"/>
|
||||
<instance part="RN2" gate="D" x="-25.4" y="45.72"/>
|
||||
<instance part="C8" gate="G$1" x="40.64" y="15.24"/>
|
||||
<instance part="C18" gate="G$1" x="2.54" y="50.8"/>
|
||||
<instance part="C19" gate="G$1" x="10.16" y="50.8"/>
|
||||
|
@ -10164,6 +10161,7 @@ Source: RS Component / Phycomp</description>
|
|||
<instance part="RN3" gate="D" x="-2.54" y="132.08"/>
|
||||
<instance part="R8" gate="G$1" x="-2.54" y="121.92"/>
|
||||
<instance part="R9" gate="G$1" x="-2.54" y="116.84"/>
|
||||
<instance part="R17" gate="G$1" x="-2.54" y="93.98"/>
|
||||
</instances>
|
||||
<busses>
|
||||
<bus name="A[0..31]">
|
||||
|
@ -10175,9 +10173,6 @@ Source: RS Component / Phycomp</description>
|
|||
<wire x1="76.2" y1="106.68" x2="76.2" y2="99.06" width="0.762" layer="92"/>
|
||||
<label x="76.2" y="106.68" size="1.27" layer="95"/>
|
||||
</segment>
|
||||
<segment>
|
||||
<wire x1="-35.56" y1="81.28" x2="-35.56" y2="45.72" width="0.762" layer="92"/>
|
||||
</segment>
|
||||
</bus>
|
||||
<bus name="FC[0..2]">
|
||||
<segment>
|
||||
|
@ -10914,6 +10909,11 @@ Source: RS Component / Phycomp</description>
|
|||
<wire x1="96.52" y1="124.46" x2="91.44" y2="124.46" width="0.1524" layer="91"/>
|
||||
<label x="91.44" y="124.46" size="1.27" layer="95" rot="R180" xref="yes"/>
|
||||
</segment>
|
||||
<segment>
|
||||
<pinref part="R17" gate="G$1" pin="2"/>
|
||||
<wire x1="2.54" y1="93.98" x2="10.16" y2="93.98" width="0.1524" layer="91"/>
|
||||
<label x="10.16" y="93.98" size="1.778" layer="95"/>
|
||||
</segment>
|
||||
</net>
|
||||
<net name="CIIN" class="0">
|
||||
<segment>
|
||||
|
@ -11128,81 +11128,48 @@ Source: RS Component / Phycomp</description>
|
|||
<pinref part="IC1" gate="G$1" pin="A0"/>
|
||||
<wire x1="132.08" y1="101.6" x2="144.78" y2="101.6" width="0.1524" layer="91"/>
|
||||
</segment>
|
||||
<segment>
|
||||
<wire x1="-30.48" y1="86.36" x2="-35.56" y2="86.36" width="0.1524" layer="91"/>
|
||||
<label x="-35.56" y="86.36" size="1.27" layer="95" rot="R180"/>
|
||||
<pinref part="R3" gate="G$1" pin="1"/>
|
||||
</segment>
|
||||
</net>
|
||||
<net name="A24" class="0">
|
||||
<segment>
|
||||
<pinref part="IC1" gate="G$1" pin="A24"/>
|
||||
<wire x1="132.08" y1="40.64" x2="144.78" y2="40.64" width="0.1524" layer="91"/>
|
||||
</segment>
|
||||
<segment>
|
||||
<wire x1="-35.56" y1="81.28" x2="-30.48" y2="81.28" width="0.1524" layer="91"/>
|
||||
<pinref part="RN1" gate="A" pin="1"/>
|
||||
</segment>
|
||||
</net>
|
||||
<net name="A25" class="0">
|
||||
<segment>
|
||||
<pinref part="IC1" gate="G$1" pin="A25"/>
|
||||
<wire x1="132.08" y1="38.1" x2="144.78" y2="38.1" width="0.1524" layer="91"/>
|
||||
</segment>
|
||||
<segment>
|
||||
<wire x1="-35.56" y1="76.2" x2="-30.48" y2="76.2" width="0.1524" layer="91"/>
|
||||
<pinref part="RN1" gate="B" pin="1"/>
|
||||
</segment>
|
||||
</net>
|
||||
<net name="A26" class="0">
|
||||
<segment>
|
||||
<pinref part="IC1" gate="G$1" pin="A26"/>
|
||||
<wire x1="132.08" y1="35.56" x2="144.78" y2="35.56" width="0.1524" layer="91"/>
|
||||
</segment>
|
||||
<segment>
|
||||
<wire x1="-35.56" y1="71.12" x2="-30.48" y2="71.12" width="0.1524" layer="91"/>
|
||||
<pinref part="RN1" gate="C" pin="1"/>
|
||||
</segment>
|
||||
</net>
|
||||
<net name="A28" class="0">
|
||||
<segment>
|
||||
<pinref part="IC1" gate="G$1" pin="A28"/>
|
||||
<wire x1="132.08" y1="30.48" x2="144.78" y2="30.48" width="0.1524" layer="91"/>
|
||||
</segment>
|
||||
<segment>
|
||||
<wire x1="-35.56" y1="60.96" x2="-30.48" y2="60.96" width="0.1524" layer="91"/>
|
||||
<pinref part="RN2" gate="A" pin="1"/>
|
||||
</segment>
|
||||
</net>
|
||||
<net name="A29" class="0">
|
||||
<segment>
|
||||
<pinref part="IC1" gate="G$1" pin="A29"/>
|
||||
<wire x1="132.08" y1="27.94" x2="144.78" y2="27.94" width="0.1524" layer="91"/>
|
||||
</segment>
|
||||
<segment>
|
||||
<wire x1="-35.56" y1="55.88" x2="-30.48" y2="55.88" width="0.1524" layer="91"/>
|
||||
<pinref part="RN2" gate="B" pin="1"/>
|
||||
</segment>
|
||||
</net>
|
||||
<net name="A30" class="0">
|
||||
<segment>
|
||||
<pinref part="IC1" gate="G$1" pin="A30"/>
|
||||
<wire x1="132.08" y1="25.4" x2="144.78" y2="25.4" width="0.1524" layer="91"/>
|
||||
</segment>
|
||||
<segment>
|
||||
<wire x1="-35.56" y1="50.8" x2="-30.48" y2="50.8" width="0.1524" layer="91"/>
|
||||
<pinref part="RN2" gate="C" pin="1"/>
|
||||
</segment>
|
||||
</net>
|
||||
<net name="A31" class="0">
|
||||
<segment>
|
||||
<pinref part="IC1" gate="G$1" pin="A31"/>
|
||||
<wire x1="132.08" y1="22.86" x2="144.78" y2="22.86" width="0.1524" layer="91"/>
|
||||
</segment>
|
||||
<segment>
|
||||
<wire x1="-35.56" y1="45.72" x2="-30.48" y2="45.72" width="0.1524" layer="91"/>
|
||||
<pinref part="RN2" gate="D" pin="1"/>
|
||||
</segment>
|
||||
</net>
|
||||
<net name="CS_FPU" class="0">
|
||||
<segment>
|
||||
|
@ -11375,8 +11342,12 @@ Source: RS Component / Phycomp</description>
|
|||
<pinref part="R9" gate="G$1" pin="1"/>
|
||||
<wire x1="-7.62" y1="116.84" x2="-20.32" y2="116.84" width="0.1524" layer="91"/>
|
||||
<pinref part="R2" gate="G$1" pin="2"/>
|
||||
<wire x1="-20.32" y1="91.44" x2="-20.32" y2="101.6" width="0.1524" layer="91"/>
|
||||
<wire x1="-20.32" y1="91.44" x2="-20.32" y2="93.98" width="0.1524" layer="91"/>
|
||||
<junction x="-20.32" y="101.6"/>
|
||||
<pinref part="R17" gate="G$1" pin="1"/>
|
||||
<wire x1="-20.32" y1="93.98" x2="-20.32" y2="101.6" width="0.1524" layer="91"/>
|
||||
<wire x1="-7.62" y1="93.98" x2="-20.32" y2="93.98" width="0.1524" layer="91"/>
|
||||
<junction x="-20.32" y="93.98"/>
|
||||
</segment>
|
||||
<segment>
|
||||
<pinref part="C19" gate="G$1" pin="1"/>
|
||||
|
@ -11542,35 +11513,6 @@ Source: RS Component / Phycomp</description>
|
|||
<junction x="40.64" y="5.08"/>
|
||||
</segment>
|
||||
<segment>
|
||||
<label x="-20.32" y="40.64" size="1.27" layer="95" xref="yes"/>
|
||||
<wire x1="-20.32" y1="86.36" x2="-20.32" y2="81.28" width="0.1524" layer="91"/>
|
||||
<pinref part="R3" gate="G$1" pin="2"/>
|
||||
<pinref part="RN1" gate="A" pin="2"/>
|
||||
<wire x1="-20.32" y1="81.28" x2="-20.32" y2="76.2" width="0.1524" layer="91"/>
|
||||
<junction x="-20.32" y="81.28"/>
|
||||
<pinref part="RN1" gate="B" pin="2"/>
|
||||
<wire x1="-20.32" y1="76.2" x2="-20.32" y2="71.12" width="0.1524" layer="91"/>
|
||||
<junction x="-20.32" y="76.2"/>
|
||||
<pinref part="RN1" gate="C" pin="2"/>
|
||||
<wire x1="-20.32" y1="71.12" x2="-20.32" y2="66.04" width="0.1524" layer="91"/>
|
||||
<junction x="-20.32" y="71.12"/>
|
||||
<pinref part="RN1" gate="D" pin="2"/>
|
||||
<wire x1="-20.32" y1="66.04" x2="-20.32" y2="60.96" width="0.1524" layer="91"/>
|
||||
<junction x="-20.32" y="66.04"/>
|
||||
<pinref part="RN2" gate="A" pin="2"/>
|
||||
<wire x1="-20.32" y1="60.96" x2="-20.32" y2="55.88" width="0.1524" layer="91"/>
|
||||
<junction x="-20.32" y="60.96"/>
|
||||
<pinref part="RN2" gate="B" pin="2"/>
|
||||
<wire x1="-20.32" y1="55.88" x2="-20.32" y2="50.8" width="0.1524" layer="91"/>
|
||||
<junction x="-20.32" y="55.88"/>
|
||||
<pinref part="RN2" gate="C" pin="2"/>
|
||||
<wire x1="-20.32" y1="50.8" x2="-20.32" y2="45.72" width="0.1524" layer="91"/>
|
||||
<junction x="-20.32" y="50.8"/>
|
||||
<pinref part="RN2" gate="D" pin="2"/>
|
||||
<wire x1="-20.32" y1="45.72" x2="-20.32" y2="40.64" width="0.1524" layer="91"/>
|
||||
<junction x="-20.32" y="45.72"/>
|
||||
</segment>
|
||||
<segment>
|
||||
<pinref part="C18" gate="G$1" pin="2"/>
|
||||
<pinref part="C19" gate="G$1" pin="2"/>
|
||||
<wire x1="2.54" y1="45.72" x2="10.16" y2="45.72" width="0.1524" layer="91"/>
|
||||
|
@ -11592,10 +11534,6 @@ Source: RS Component / Phycomp</description>
|
|||
</net>
|
||||
<net name="A27" class="0">
|
||||
<segment>
|
||||
<wire x1="-35.56" y1="66.04" x2="-30.48" y2="66.04" width="0.1524" layer="91"/>
|
||||
<pinref part="RN1" gate="D" pin="1"/>
|
||||
</segment>
|
||||
<segment>
|
||||
<pinref part="IC1" gate="G$1" pin="A27"/>
|
||||
<wire x1="132.08" y1="33.02" x2="144.78" y2="33.02" width="0.1524" layer="91"/>
|
||||
</segment>
|
||||
|
@ -12558,13 +12496,6 @@ Source: RS Component / Phycomp</description>
|
|||
<label x="99.06" y="25.4" size="1.27" layer="95" rot="R270"/>
|
||||
</segment>
|
||||
</net>
|
||||
<net name="AS_00" class="0">
|
||||
<segment>
|
||||
<pinref part="IC7" gate="G$1" pin="IO29"/>
|
||||
<wire x1="101.6" y1="25.4" x2="101.6" y2="30.48" width="0.1524" layer="91"/>
|
||||
<label x="101.6" y="25.4" size="1.27" layer="95" rot="R270"/>
|
||||
</segment>
|
||||
</net>
|
||||
<net name="CS_FPU" class="0">
|
||||
<segment>
|
||||
<pinref part="IC7" gate="G$1" pin="IO56"/>
|
||||
|
@ -12598,15 +12529,15 @@ Source: RS Component / Phycomp</description>
|
|||
</net>
|
||||
<net name="AVEC" class="0">
|
||||
<segment>
|
||||
<pinref part="IC7" gate="G$1" pin="IO1"/>
|
||||
<wire x1="104.14" y1="116.84" x2="104.14" y2="121.92" width="0.1524" layer="91"/>
|
||||
<label x="104.14" y="121.92" size="1.27" layer="95" rot="R90"/>
|
||||
</segment>
|
||||
<segment>
|
||||
<label x="238.76" y="76.2" size="1.27" layer="95" xref="yes"/>
|
||||
<pinref part="X1" gate="-A13" pin="B"/>
|
||||
<wire x1="236.22" y1="76.2" x2="238.76" y2="76.2" width="0.1524" layer="91"/>
|
||||
</segment>
|
||||
<segment>
|
||||
<pinref part="IC7" gate="G$1" pin="IO1"/>
|
||||
<wire x1="104.14" y1="116.84" x2="104.14" y2="121.92" width="0.1524" layer="91"/>
|
||||
<label x="104.14" y="121.92" size="1.27" layer="95" rot="R90"/>
|
||||
</segment>
|
||||
</net>
|
||||
<net name="BG_30" class="0">
|
||||
<segment>
|
||||
|
@ -13162,9 +13093,9 @@ Source: RS Component / Phycomp</description>
|
|||
<wire x1="180.34" y1="33.02" x2="182.88" y2="33.02" width="0.1524" layer="91"/>
|
||||
</segment>
|
||||
<segment>
|
||||
<pinref part="IC7" gate="G$1" pin="IO33"/>
|
||||
<wire x1="124.46" y1="30.48" x2="124.46" y2="27.94" width="0.1524" layer="91"/>
|
||||
<label x="124.46" y="27.94" size="1.27" layer="95" rot="R270"/>
|
||||
<pinref part="IC7" gate="G$1" pin="IO23"/>
|
||||
<wire x1="71.12" y1="50.8" x2="66.04" y2="50.8" width="0.1524" layer="91"/>
|
||||
<label x="66.04" y="50.8" size="1.27" layer="95" rot="R180"/>
|
||||
</segment>
|
||||
</net>
|
||||
<net name="A3" class="0">
|
||||
|
@ -13404,11 +13335,18 @@ Source: RS Component / Phycomp</description>
|
|||
<label x="106.68" y="121.92" size="1.27" layer="95" rot="R90"/>
|
||||
</segment>
|
||||
</net>
|
||||
<net name="RW_OUT" class="0">
|
||||
<net name="AMIGA_ADR_ENABLE" class="0">
|
||||
<segment>
|
||||
<pinref part="IC7" gate="G$1" pin="IO23"/>
|
||||
<wire x1="71.12" y1="50.8" x2="66.04" y2="50.8" width="0.1524" layer="91"/>
|
||||
<label x="66.04" y="50.8" size="1.27" layer="95" rot="R180"/>
|
||||
<pinref part="IC7" gate="G$1" pin="IO29"/>
|
||||
<wire x1="101.6" y1="25.4" x2="101.6" y2="30.48" width="0.1524" layer="91"/>
|
||||
<label x="101.6" y="25.4" size="1.27" layer="95" rot="R270"/>
|
||||
</segment>
|
||||
</net>
|
||||
<net name="AS_00" class="0">
|
||||
<segment>
|
||||
<pinref part="IC7" gate="G$1" pin="IO33"/>
|
||||
<wire x1="124.46" y1="30.48" x2="124.46" y2="27.94" width="0.1524" layer="91"/>
|
||||
<label x="124.46" y="27.94" size="1.27" layer="95" rot="R270"/>
|
||||
</segment>
|
||||
</net>
|
||||
</nets>
|
||||
|
@ -13425,26 +13363,61 @@ Source: RS Component / Phycomp</description>
|
|||
<instance part="IC11" gate="A" x="144.78" y="91.44" rot="MR0"/>
|
||||
<instance part="IC5" gate="A" x="175.26" y="152.4" rot="MR0"/>
|
||||
<instance part="IC6" gate="A" x="226.06" y="152.4"/>
|
||||
<instance part="C16" gate="G$1" x="127" y="20.32"/>
|
||||
<instance part="C17" gate="G$1" x="142.24" y="20.32"/>
|
||||
<instance part="IC5" gate="P" x="119.38" y="20.32"/>
|
||||
<instance part="IC6" gate="P" x="134.62" y="20.32"/>
|
||||
<instance part="IC4" gate="P" x="104.14" y="20.32"/>
|
||||
<instance part="IC8" gate="P" x="93.98" y="20.32"/>
|
||||
<instance part="IC9" gate="P" x="83.82" y="20.32"/>
|
||||
<instance part="IC11" gate="P" x="73.66" y="20.32"/>
|
||||
<instance part="IC10" gate="P" x="63.5" y="20.32"/>
|
||||
<instance part="C24" gate="G$1" x="111.76" y="20.32"/>
|
||||
<instance part="C25" gate="G$1" x="99.06" y="20.32"/>
|
||||
<instance part="C26" gate="G$1" x="88.9" y="20.32"/>
|
||||
<instance part="C27" gate="G$1" x="78.74" y="20.32"/>
|
||||
<instance part="C28" gate="G$1" x="68.58" y="20.32"/>
|
||||
<instance part="C16" gate="G$1" x="223.52" y="116.84"/>
|
||||
<instance part="C17" gate="G$1" x="238.76" y="116.84"/>
|
||||
<instance part="IC5" gate="P" x="215.9" y="116.84"/>
|
||||
<instance part="IC6" gate="P" x="231.14" y="116.84"/>
|
||||
<instance part="IC4" gate="P" x="200.66" y="116.84"/>
|
||||
<instance part="IC8" gate="P" x="190.5" y="116.84"/>
|
||||
<instance part="IC9" gate="P" x="180.34" y="116.84"/>
|
||||
<instance part="IC11" gate="P" x="170.18" y="116.84"/>
|
||||
<instance part="IC10" gate="P" x="160.02" y="116.84"/>
|
||||
<instance part="C24" gate="G$1" x="208.28" y="116.84"/>
|
||||
<instance part="C25" gate="G$1" x="195.58" y="116.84"/>
|
||||
<instance part="C26" gate="G$1" x="185.42" y="116.84"/>
|
||||
<instance part="C27" gate="G$1" x="175.26" y="116.84"/>
|
||||
<instance part="C28" gate="G$1" x="165.1" y="116.84"/>
|
||||
<instance part="RN1" gate="A" x="96.52" y="45.72"/>
|
||||
<instance part="RN1" gate="B" x="96.52" y="40.64"/>
|
||||
<instance part="RN1" gate="C" x="96.52" y="35.56"/>
|
||||
<instance part="RN1" gate="D" x="96.52" y="30.48"/>
|
||||
<instance part="RN2" gate="A" x="96.52" y="25.4"/>
|
||||
<instance part="RN2" gate="B" x="96.52" y="20.32"/>
|
||||
<instance part="RN2" gate="C" x="96.52" y="15.24"/>
|
||||
<instance part="RN2" gate="D" x="96.52" y="10.16"/>
|
||||
<instance part="RN4" gate="A" x="96.52" y="66.04"/>
|
||||
<instance part="RN4" gate="B" x="96.52" y="60.96"/>
|
||||
<instance part="RN4" gate="C" x="96.52" y="55.88"/>
|
||||
<instance part="RN4" gate="D" x="96.52" y="50.8"/>
|
||||
<instance part="RN5" gate="A" x="96.52" y="86.36"/>
|
||||
<instance part="RN5" gate="B" x="96.52" y="81.28"/>
|
||||
<instance part="RN5" gate="C" x="96.52" y="76.2"/>
|
||||
<instance part="RN5" gate="D" x="96.52" y="71.12"/>
|
||||
<instance part="RN6" gate="A" x="96.52" y="106.68"/>
|
||||
<instance part="RN6" gate="B" x="96.52" y="101.6"/>
|
||||
<instance part="RN6" gate="C" x="96.52" y="96.52"/>
|
||||
<instance part="RN6" gate="D" x="96.52" y="91.44"/>
|
||||
<instance part="RN7" gate="A" x="96.52" y="127"/>
|
||||
<instance part="RN7" gate="B" x="96.52" y="121.92"/>
|
||||
<instance part="RN7" gate="C" x="96.52" y="116.84"/>
|
||||
<instance part="RN7" gate="D" x="96.52" y="111.76"/>
|
||||
<instance part="RN8" gate="A" x="96.52" y="147.32"/>
|
||||
<instance part="RN8" gate="B" x="96.52" y="142.24"/>
|
||||
<instance part="RN8" gate="C" x="96.52" y="137.16"/>
|
||||
<instance part="RN8" gate="D" x="96.52" y="132.08"/>
|
||||
<instance part="RN9" gate="A" x="96.52" y="167.64"/>
|
||||
<instance part="RN9" gate="B" x="96.52" y="162.56"/>
|
||||
<instance part="RN9" gate="C" x="96.52" y="157.48"/>
|
||||
<instance part="RN9" gate="D" x="96.52" y="152.4"/>
|
||||
</instances>
|
||||
<busses>
|
||||
<bus name="A[0..31]">
|
||||
<segment>
|
||||
<wire x1="71.12" y1="167.64" x2="71.12" y2="68.58" width="0.762" layer="92"/>
|
||||
</segment>
|
||||
<segment>
|
||||
<wire x1="86.36" y1="167.64" x2="86.36" y2="10.16" width="0.762" layer="92"/>
|
||||
</segment>
|
||||
</bus>
|
||||
<bus name="AMIGA_A[1..23]">
|
||||
<segment>
|
||||
|
@ -13701,118 +13674,201 @@ Source: RS Component / Phycomp</description>
|
|||
<net name="GND" class="0">
|
||||
<segment>
|
||||
<pinref part="C16" gate="G$1" pin="2"/>
|
||||
<wire x1="119.38" y1="12.7" x2="127" y2="12.7" width="0.1524" layer="91"/>
|
||||
<wire x1="127" y1="12.7" x2="127" y2="15.24" width="0.1524" layer="91"/>
|
||||
<wire x1="127" y1="12.7" x2="134.62" y2="12.7" width="0.1524" layer="91"/>
|
||||
<junction x="127" y="12.7"/>
|
||||
<wire x1="215.9" y1="109.22" x2="223.52" y2="109.22" width="0.1524" layer="91"/>
|
||||
<wire x1="223.52" y1="109.22" x2="223.52" y2="111.76" width="0.1524" layer="91"/>
|
||||
<wire x1="223.52" y1="109.22" x2="231.14" y2="109.22" width="0.1524" layer="91"/>
|
||||
<junction x="223.52" y="109.22"/>
|
||||
<pinref part="C17" gate="G$1" pin="2"/>
|
||||
<wire x1="134.62" y1="12.7" x2="142.24" y2="12.7" width="0.1524" layer="91"/>
|
||||
<wire x1="142.24" y1="12.7" x2="142.24" y2="15.24" width="0.1524" layer="91"/>
|
||||
<wire x1="231.14" y1="109.22" x2="238.76" y2="109.22" width="0.1524" layer="91"/>
|
||||
<wire x1="238.76" y1="109.22" x2="238.76" y2="111.76" width="0.1524" layer="91"/>
|
||||
<pinref part="IC5" gate="P" pin="GND"/>
|
||||
<junction x="119.38" y="12.7"/>
|
||||
<junction x="215.9" y="109.22"/>
|
||||
<pinref part="IC6" gate="P" pin="GND"/>
|
||||
<junction x="134.62" y="12.7"/>
|
||||
<label x="142.24" y="12.7" size="1.778" layer="95"/>
|
||||
<junction x="231.14" y="109.22"/>
|
||||
<label x="238.76" y="109.22" size="1.778" layer="95"/>
|
||||
<pinref part="IC4" gate="P" pin="GND"/>
|
||||
<wire x1="119.38" y1="12.7" x2="111.76" y2="12.7" width="0.1524" layer="91"/>
|
||||
<wire x1="215.9" y1="109.22" x2="208.28" y2="109.22" width="0.1524" layer="91"/>
|
||||
<pinref part="IC8" gate="P" pin="GND"/>
|
||||
<wire x1="111.76" y1="12.7" x2="104.14" y2="12.7" width="0.1524" layer="91"/>
|
||||
<wire x1="104.14" y1="12.7" x2="99.06" y2="12.7" width="0.1524" layer="91"/>
|
||||
<junction x="104.14" y="12.7"/>
|
||||
<wire x1="208.28" y1="109.22" x2="200.66" y2="109.22" width="0.1524" layer="91"/>
|
||||
<wire x1="200.66" y1="109.22" x2="195.58" y2="109.22" width="0.1524" layer="91"/>
|
||||
<junction x="200.66" y="109.22"/>
|
||||
<pinref part="IC9" gate="P" pin="GND"/>
|
||||
<wire x1="99.06" y1="12.7" x2="93.98" y2="12.7" width="0.1524" layer="91"/>
|
||||
<wire x1="93.98" y1="12.7" x2="88.9" y2="12.7" width="0.1524" layer="91"/>
|
||||
<junction x="93.98" y="12.7"/>
|
||||
<wire x1="195.58" y1="109.22" x2="190.5" y2="109.22" width="0.1524" layer="91"/>
|
||||
<wire x1="190.5" y1="109.22" x2="185.42" y2="109.22" width="0.1524" layer="91"/>
|
||||
<junction x="190.5" y="109.22"/>
|
||||
<pinref part="IC11" gate="P" pin="GND"/>
|
||||
<wire x1="88.9" y1="12.7" x2="83.82" y2="12.7" width="0.1524" layer="91"/>
|
||||
<wire x1="83.82" y1="12.7" x2="78.74" y2="12.7" width="0.1524" layer="91"/>
|
||||
<junction x="83.82" y="12.7"/>
|
||||
<wire x1="185.42" y1="109.22" x2="180.34" y2="109.22" width="0.1524" layer="91"/>
|
||||
<wire x1="180.34" y1="109.22" x2="175.26" y2="109.22" width="0.1524" layer="91"/>
|
||||
<junction x="180.34" y="109.22"/>
|
||||
<pinref part="IC10" gate="P" pin="GND"/>
|
||||
<wire x1="78.74" y1="12.7" x2="73.66" y2="12.7" width="0.1524" layer="91"/>
|
||||
<wire x1="73.66" y1="12.7" x2="68.58" y2="12.7" width="0.1524" layer="91"/>
|
||||
<junction x="73.66" y="12.7"/>
|
||||
<wire x1="175.26" y1="109.22" x2="170.18" y2="109.22" width="0.1524" layer="91"/>
|
||||
<wire x1="170.18" y1="109.22" x2="165.1" y2="109.22" width="0.1524" layer="91"/>
|
||||
<junction x="170.18" y="109.22"/>
|
||||
<pinref part="C28" gate="G$1" pin="2"/>
|
||||
<wire x1="68.58" y1="12.7" x2="63.5" y2="12.7" width="0.1524" layer="91"/>
|
||||
<wire x1="68.58" y1="15.24" x2="68.58" y2="12.7" width="0.1524" layer="91"/>
|
||||
<junction x="68.58" y="12.7"/>
|
||||
<wire x1="165.1" y1="109.22" x2="160.02" y2="109.22" width="0.1524" layer="91"/>
|
||||
<wire x1="165.1" y1="111.76" x2="165.1" y2="109.22" width="0.1524" layer="91"/>
|
||||
<junction x="165.1" y="109.22"/>
|
||||
<pinref part="C27" gate="G$1" pin="2"/>
|
||||
<wire x1="78.74" y1="15.24" x2="78.74" y2="12.7" width="0.1524" layer="91"/>
|
||||
<junction x="78.74" y="12.7"/>
|
||||
<wire x1="175.26" y1="111.76" x2="175.26" y2="109.22" width="0.1524" layer="91"/>
|
||||
<junction x="175.26" y="109.22"/>
|
||||
<pinref part="C26" gate="G$1" pin="2"/>
|
||||
<wire x1="88.9" y1="15.24" x2="88.9" y2="12.7" width="0.1524" layer="91"/>
|
||||
<junction x="88.9" y="12.7"/>
|
||||
<wire x1="185.42" y1="111.76" x2="185.42" y2="109.22" width="0.1524" layer="91"/>
|
||||
<junction x="185.42" y="109.22"/>
|
||||
<pinref part="C25" gate="G$1" pin="2"/>
|
||||
<wire x1="99.06" y1="15.24" x2="99.06" y2="12.7" width="0.1524" layer="91"/>
|
||||
<junction x="99.06" y="12.7"/>
|
||||
<wire x1="195.58" y1="111.76" x2="195.58" y2="109.22" width="0.1524" layer="91"/>
|
||||
<junction x="195.58" y="109.22"/>
|
||||
<pinref part="C24" gate="G$1" pin="2"/>
|
||||
<wire x1="111.76" y1="15.24" x2="111.76" y2="12.7" width="0.1524" layer="91"/>
|
||||
<junction x="111.76" y="12.7"/>
|
||||
<wire x1="208.28" y1="111.76" x2="208.28" y2="109.22" width="0.1524" layer="91"/>
|
||||
<junction x="208.28" y="109.22"/>
|
||||
</segment>
|
||||
<segment>
|
||||
<pinref part="IC4" gate="A" pin="G"/>
|
||||
<wire x1="40.64" y1="139.7" x2="45.72" y2="139.7" width="0.1524" layer="91"/>
|
||||
<label x="45.72" y="139.7" size="1.27" layer="95"/>
|
||||
</segment>
|
||||
<segment>
|
||||
<pinref part="IC8" gate="A" pin="G"/>
|
||||
<wire x1="40.64" y1="99.06" x2="45.72" y2="99.06" width="0.1524" layer="91"/>
|
||||
<label x="45.72" y="99.06" size="1.27" layer="95"/>
|
||||
</segment>
|
||||
<segment>
|
||||
<pinref part="IC9" gate="A" pin="G"/>
|
||||
<wire x1="40.64" y1="60.96" x2="45.72" y2="60.96" width="0.1524" layer="91"/>
|
||||
<label x="45.72" y="60.96" size="1.27" layer="95"/>
|
||||
<label x="101.6" y="5.08" size="1.27" layer="95" xref="yes"/>
|
||||
<wire x1="101.6" y1="50.8" x2="101.6" y2="45.72" width="0.1524" layer="91"/>
|
||||
<pinref part="RN1" gate="A" pin="2"/>
|
||||
<wire x1="101.6" y1="45.72" x2="101.6" y2="40.64" width="0.1524" layer="91"/>
|
||||
<junction x="101.6" y="45.72"/>
|
||||
<pinref part="RN1" gate="B" pin="2"/>
|
||||
<wire x1="101.6" y1="40.64" x2="101.6" y2="35.56" width="0.1524" layer="91"/>
|
||||
<junction x="101.6" y="40.64"/>
|
||||
<pinref part="RN1" gate="C" pin="2"/>
|
||||
<wire x1="101.6" y1="35.56" x2="101.6" y2="30.48" width="0.1524" layer="91"/>
|
||||
<junction x="101.6" y="35.56"/>
|
||||
<pinref part="RN1" gate="D" pin="2"/>
|
||||
<wire x1="101.6" y1="30.48" x2="101.6" y2="25.4" width="0.1524" layer="91"/>
|
||||
<junction x="101.6" y="30.48"/>
|
||||
<pinref part="RN2" gate="A" pin="2"/>
|
||||
<wire x1="101.6" y1="25.4" x2="101.6" y2="20.32" width="0.1524" layer="91"/>
|
||||
<junction x="101.6" y="25.4"/>
|
||||
<pinref part="RN2" gate="B" pin="2"/>
|
||||
<wire x1="101.6" y1="20.32" x2="101.6" y2="15.24" width="0.1524" layer="91"/>
|
||||
<junction x="101.6" y="20.32"/>
|
||||
<pinref part="RN2" gate="C" pin="2"/>
|
||||
<wire x1="101.6" y1="15.24" x2="101.6" y2="10.16" width="0.1524" layer="91"/>
|
||||
<junction x="101.6" y="15.24"/>
|
||||
<pinref part="RN2" gate="D" pin="2"/>
|
||||
<wire x1="101.6" y1="10.16" x2="101.6" y2="5.08" width="0.1524" layer="91"/>
|
||||
<junction x="101.6" y="10.16"/>
|
||||
<pinref part="RN4" gate="D" pin="2"/>
|
||||
<pinref part="RN4" gate="C" pin="2"/>
|
||||
<wire x1="101.6" y1="50.8" x2="101.6" y2="55.88" width="0.1524" layer="91"/>
|
||||
<junction x="101.6" y="50.8"/>
|
||||
<pinref part="RN4" gate="B" pin="2"/>
|
||||
<wire x1="101.6" y1="55.88" x2="101.6" y2="60.96" width="0.1524" layer="91"/>
|
||||
<junction x="101.6" y="55.88"/>
|
||||
<pinref part="RN4" gate="A" pin="2"/>
|
||||
<wire x1="101.6" y1="60.96" x2="101.6" y2="66.04" width="0.1524" layer="91"/>
|
||||
<junction x="101.6" y="60.96"/>
|
||||
<pinref part="RN7" gate="A" pin="2"/>
|
||||
<pinref part="RN7" gate="B" pin="2"/>
|
||||
<wire x1="101.6" y1="127" x2="101.6" y2="121.92" width="0.1524" layer="91"/>
|
||||
<pinref part="RN7" gate="C" pin="2"/>
|
||||
<wire x1="101.6" y1="121.92" x2="101.6" y2="116.84" width="0.1524" layer="91"/>
|
||||
<junction x="101.6" y="121.92"/>
|
||||
<pinref part="RN7" gate="D" pin="2"/>
|
||||
<wire x1="101.6" y1="116.84" x2="101.6" y2="111.76" width="0.1524" layer="91"/>
|
||||
<junction x="101.6" y="116.84"/>
|
||||
<pinref part="RN6" gate="A" pin="2"/>
|
||||
<wire x1="101.6" y1="111.76" x2="101.6" y2="106.68" width="0.1524" layer="91"/>
|
||||
<junction x="101.6" y="111.76"/>
|
||||
<pinref part="RN6" gate="B" pin="2"/>
|
||||
<wire x1="101.6" y1="106.68" x2="101.6" y2="101.6" width="0.1524" layer="91"/>
|
||||
<junction x="101.6" y="106.68"/>
|
||||
<pinref part="RN6" gate="C" pin="2"/>
|
||||
<wire x1="101.6" y1="101.6" x2="101.6" y2="96.52" width="0.1524" layer="91"/>
|
||||
<junction x="101.6" y="101.6"/>
|
||||
<pinref part="RN6" gate="D" pin="2"/>
|
||||
<wire x1="101.6" y1="96.52" x2="101.6" y2="91.44" width="0.1524" layer="91"/>
|
||||
<junction x="101.6" y="96.52"/>
|
||||
<pinref part="RN5" gate="A" pin="2"/>
|
||||
<wire x1="101.6" y1="91.44" x2="101.6" y2="86.36" width="0.1524" layer="91"/>
|
||||
<junction x="101.6" y="91.44"/>
|
||||
<pinref part="RN5" gate="B" pin="2"/>
|
||||
<wire x1="101.6" y1="86.36" x2="101.6" y2="81.28" width="0.1524" layer="91"/>
|
||||
<junction x="101.6" y="86.36"/>
|
||||
<pinref part="RN5" gate="C" pin="2"/>
|
||||
<wire x1="101.6" y1="81.28" x2="101.6" y2="76.2" width="0.1524" layer="91"/>
|
||||
<junction x="101.6" y="81.28"/>
|
||||
<pinref part="RN5" gate="D" pin="2"/>
|
||||
<wire x1="101.6" y1="76.2" x2="101.6" y2="71.12" width="0.1524" layer="91"/>
|
||||
<junction x="101.6" y="76.2"/>
|
||||
<wire x1="101.6" y1="71.12" x2="101.6" y2="66.04" width="0.1524" layer="91"/>
|
||||
<junction x="101.6" y="71.12"/>
|
||||
<junction x="101.6" y="66.04"/>
|
||||
<pinref part="RN8" gate="D" pin="2"/>
|
||||
<wire x1="101.6" y1="127" x2="101.6" y2="132.08" width="0.1524" layer="91"/>
|
||||
<junction x="101.6" y="127"/>
|
||||
<pinref part="RN8" gate="C" pin="2"/>
|
||||
<wire x1="101.6" y1="132.08" x2="101.6" y2="137.16" width="0.1524" layer="91"/>
|
||||
<junction x="101.6" y="132.08"/>
|
||||
<pinref part="RN8" gate="B" pin="2"/>
|
||||
<wire x1="101.6" y1="137.16" x2="101.6" y2="142.24" width="0.1524" layer="91"/>
|
||||
<junction x="101.6" y="137.16"/>
|
||||
<pinref part="RN8" gate="A" pin="2"/>
|
||||
<wire x1="101.6" y1="142.24" x2="101.6" y2="147.32" width="0.1524" layer="91"/>
|
||||
<junction x="101.6" y="142.24"/>
|
||||
<pinref part="RN9" gate="D" pin="2"/>
|
||||
<wire x1="101.6" y1="147.32" x2="101.6" y2="152.4" width="0.1524" layer="91"/>
|
||||
<junction x="101.6" y="147.32"/>
|
||||
<pinref part="RN9" gate="C" pin="2"/>
|
||||
<wire x1="101.6" y1="152.4" x2="101.6" y2="157.48" width="0.1524" layer="91"/>
|
||||
<junction x="101.6" y="152.4"/>
|
||||
<pinref part="RN9" gate="B" pin="2"/>
|
||||
<wire x1="101.6" y1="157.48" x2="101.6" y2="162.56" width="0.1524" layer="91"/>
|
||||
<junction x="101.6" y="157.48"/>
|
||||
<pinref part="RN9" gate="A" pin="2"/>
|
||||
<wire x1="101.6" y1="162.56" x2="101.6" y2="167.64" width="0.1524" layer="91"/>
|
||||
<junction x="101.6" y="162.56"/>
|
||||
</segment>
|
||||
</net>
|
||||
<net name="VCC" class="0">
|
||||
<segment>
|
||||
<pinref part="C16" gate="G$1" pin="1"/>
|
||||
<wire x1="119.38" y1="27.94" x2="127" y2="27.94" width="0.1524" layer="91"/>
|
||||
<wire x1="127" y1="27.94" x2="127" y2="22.86" width="0.1524" layer="91"/>
|
||||
<wire x1="127" y1="27.94" x2="134.62" y2="27.94" width="0.1524" layer="91"/>
|
||||
<junction x="127" y="27.94"/>
|
||||
<wire x1="215.9" y1="124.46" x2="223.52" y2="124.46" width="0.1524" layer="91"/>
|
||||
<wire x1="223.52" y1="124.46" x2="223.52" y2="119.38" width="0.1524" layer="91"/>
|
||||
<wire x1="223.52" y1="124.46" x2="231.14" y2="124.46" width="0.1524" layer="91"/>
|
||||
<junction x="223.52" y="124.46"/>
|
||||
<pinref part="C17" gate="G$1" pin="1"/>
|
||||
<wire x1="134.62" y1="27.94" x2="142.24" y2="27.94" width="0.1524" layer="91"/>
|
||||
<wire x1="142.24" y1="27.94" x2="142.24" y2="22.86" width="0.1524" layer="91"/>
|
||||
<wire x1="231.14" y1="124.46" x2="238.76" y2="124.46" width="0.1524" layer="91"/>
|
||||
<wire x1="238.76" y1="124.46" x2="238.76" y2="119.38" width="0.1524" layer="91"/>
|
||||
<pinref part="IC5" gate="P" pin="VCC"/>
|
||||
<junction x="119.38" y="27.94"/>
|
||||
<junction x="215.9" y="124.46"/>
|
||||
<pinref part="IC6" gate="P" pin="VCC"/>
|
||||
<junction x="134.62" y="27.94"/>
|
||||
<label x="142.24" y="27.94" size="1.778" layer="95"/>
|
||||
<junction x="231.14" y="124.46"/>
|
||||
<label x="238.76" y="124.46" size="1.778" layer="95"/>
|
||||
<pinref part="IC4" gate="P" pin="VCC"/>
|
||||
<wire x1="119.38" y1="27.94" x2="111.76" y2="27.94" width="0.1524" layer="91"/>
|
||||
<wire x1="215.9" y1="124.46" x2="208.28" y2="124.46" width="0.1524" layer="91"/>
|
||||
<pinref part="IC8" gate="P" pin="VCC"/>
|
||||
<wire x1="111.76" y1="27.94" x2="104.14" y2="27.94" width="0.1524" layer="91"/>
|
||||
<wire x1="104.14" y1="27.94" x2="99.06" y2="27.94" width="0.1524" layer="91"/>
|
||||
<junction x="104.14" y="27.94"/>
|
||||
<wire x1="208.28" y1="124.46" x2="200.66" y2="124.46" width="0.1524" layer="91"/>
|
||||
<wire x1="200.66" y1="124.46" x2="195.58" y2="124.46" width="0.1524" layer="91"/>
|
||||
<junction x="200.66" y="124.46"/>
|
||||
<pinref part="IC9" gate="P" pin="VCC"/>
|
||||
<wire x1="99.06" y1="27.94" x2="93.98" y2="27.94" width="0.1524" layer="91"/>
|
||||
<wire x1="93.98" y1="27.94" x2="88.9" y2="27.94" width="0.1524" layer="91"/>
|
||||
<junction x="93.98" y="27.94"/>
|
||||
<wire x1="195.58" y1="124.46" x2="190.5" y2="124.46" width="0.1524" layer="91"/>
|
||||
<wire x1="190.5" y1="124.46" x2="185.42" y2="124.46" width="0.1524" layer="91"/>
|
||||
<junction x="190.5" y="124.46"/>
|
||||
<pinref part="IC11" gate="P" pin="VCC"/>
|
||||
<wire x1="88.9" y1="27.94" x2="83.82" y2="27.94" width="0.1524" layer="91"/>
|
||||
<wire x1="83.82" y1="27.94" x2="78.74" y2="27.94" width="0.1524" layer="91"/>
|
||||
<junction x="83.82" y="27.94"/>
|
||||
<wire x1="185.42" y1="124.46" x2="180.34" y2="124.46" width="0.1524" layer="91"/>
|
||||
<wire x1="180.34" y1="124.46" x2="175.26" y2="124.46" width="0.1524" layer="91"/>
|
||||
<junction x="180.34" y="124.46"/>
|
||||
<pinref part="IC10" gate="P" pin="VCC"/>
|
||||
<wire x1="78.74" y1="27.94" x2="73.66" y2="27.94" width="0.1524" layer="91"/>
|
||||
<wire x1="73.66" y1="27.94" x2="68.58" y2="27.94" width="0.1524" layer="91"/>
|
||||
<junction x="73.66" y="27.94"/>
|
||||
<wire x1="175.26" y1="124.46" x2="170.18" y2="124.46" width="0.1524" layer="91"/>
|
||||
<wire x1="170.18" y1="124.46" x2="165.1" y2="124.46" width="0.1524" layer="91"/>
|
||||
<junction x="170.18" y="124.46"/>
|
||||
<pinref part="C28" gate="G$1" pin="1"/>
|
||||
<wire x1="68.58" y1="27.94" x2="63.5" y2="27.94" width="0.1524" layer="91"/>
|
||||
<wire x1="68.58" y1="22.86" x2="68.58" y2="27.94" width="0.1524" layer="91"/>
|
||||
<junction x="68.58" y="27.94"/>
|
||||
<wire x1="165.1" y1="124.46" x2="160.02" y2="124.46" width="0.1524" layer="91"/>
|
||||
<wire x1="165.1" y1="119.38" x2="165.1" y2="124.46" width="0.1524" layer="91"/>
|
||||
<junction x="165.1" y="124.46"/>
|
||||
<pinref part="C27" gate="G$1" pin="1"/>
|
||||
<wire x1="78.74" y1="22.86" x2="78.74" y2="27.94" width="0.1524" layer="91"/>
|
||||
<junction x="78.74" y="27.94"/>
|
||||
<wire x1="175.26" y1="119.38" x2="175.26" y2="124.46" width="0.1524" layer="91"/>
|
||||
<junction x="175.26" y="124.46"/>
|
||||
<pinref part="C26" gate="G$1" pin="1"/>
|
||||
<wire x1="88.9" y1="22.86" x2="88.9" y2="27.94" width="0.1524" layer="91"/>
|
||||
<junction x="88.9" y="27.94"/>
|
||||
<wire x1="185.42" y1="119.38" x2="185.42" y2="124.46" width="0.1524" layer="91"/>
|
||||
<junction x="185.42" y="124.46"/>
|
||||
<pinref part="C25" gate="G$1" pin="1"/>
|
||||
<wire x1="99.06" y1="22.86" x2="99.06" y2="27.94" width="0.1524" layer="91"/>
|
||||
<junction x="99.06" y="27.94"/>
|
||||
<wire x1="195.58" y1="119.38" x2="195.58" y2="124.46" width="0.1524" layer="91"/>
|
||||
<junction x="195.58" y="124.46"/>
|
||||
<pinref part="C24" gate="G$1" pin="1"/>
|
||||
<wire x1="111.76" y1="22.86" x2="111.76" y2="27.94" width="0.1524" layer="91"/>
|
||||
<junction x="111.76" y="27.94"/>
|
||||
<wire x1="208.28" y1="119.38" x2="208.28" y2="124.46" width="0.1524" layer="91"/>
|
||||
<junction x="208.28" y="124.46"/>
|
||||
</segment>
|
||||
</net>
|
||||
<net name="AMIGA_BUS_ENABLE_HIGH" class="0">
|
||||
|
@ -13871,6 +13927,11 @@ Source: RS Component / Phycomp</description>
|
|||
<wire x1="40.64" y1="83.82" x2="71.12" y2="83.82" width="0.1524" layer="91"/>
|
||||
<label x="53.34" y="83.82" size="1.27" layer="95"/>
|
||||
</segment>
|
||||
<segment>
|
||||
<pinref part="RN4" gate="D" pin="1"/>
|
||||
<wire x1="91.44" y1="50.8" x2="86.36" y2="50.8" width="0.1524" layer="91"/>
|
||||
<label x="88.9" y="50.8" size="1.27" layer="95" rot="R180"/>
|
||||
</segment>
|
||||
</net>
|
||||
<net name="A22" class="0">
|
||||
<segment>
|
||||
|
@ -13878,6 +13939,11 @@ Source: RS Component / Phycomp</description>
|
|||
<wire x1="40.64" y1="81.28" x2="71.12" y2="81.28" width="0.1524" layer="91"/>
|
||||
<label x="53.34" y="81.28" size="1.27" layer="95"/>
|
||||
</segment>
|
||||
<segment>
|
||||
<pinref part="RN4" gate="C" pin="1"/>
|
||||
<wire x1="91.44" y1="55.88" x2="86.36" y2="55.88" width="0.1524" layer="91"/>
|
||||
<label x="88.9" y="55.88" size="1.27" layer="95" rot="R180"/>
|
||||
</segment>
|
||||
</net>
|
||||
<net name="A21" class="0">
|
||||
<segment>
|
||||
|
@ -13885,6 +13951,11 @@ Source: RS Component / Phycomp</description>
|
|||
<wire x1="40.64" y1="78.74" x2="71.12" y2="78.74" width="0.1524" layer="91"/>
|
||||
<label x="53.34" y="78.74" size="1.27" layer="95"/>
|
||||
</segment>
|
||||
<segment>
|
||||
<pinref part="RN4" gate="B" pin="1"/>
|
||||
<wire x1="91.44" y1="60.96" x2="86.36" y2="60.96" width="0.1524" layer="91"/>
|
||||
<label x="88.9" y="60.96" size="1.27" layer="95" rot="R180"/>
|
||||
</segment>
|
||||
</net>
|
||||
<net name="A20" class="0">
|
||||
<segment>
|
||||
|
@ -13892,6 +13963,11 @@ Source: RS Component / Phycomp</description>
|
|||
<wire x1="40.64" y1="76.2" x2="71.12" y2="76.2" width="0.1524" layer="91"/>
|
||||
<label x="53.34" y="76.2" size="1.27" layer="95"/>
|
||||
</segment>
|
||||
<segment>
|
||||
<pinref part="RN4" gate="A" pin="1"/>
|
||||
<wire x1="91.44" y1="66.04" x2="86.36" y2="66.04" width="0.1524" layer="91"/>
|
||||
<label x="88.9" y="66.04" size="1.27" layer="95" rot="R180"/>
|
||||
</segment>
|
||||
</net>
|
||||
<net name="A19" class="0">
|
||||
<segment>
|
||||
|
@ -13899,6 +13975,11 @@ Source: RS Component / Phycomp</description>
|
|||
<wire x1="40.64" y1="73.66" x2="71.12" y2="73.66" width="0.1524" layer="91"/>
|
||||
<label x="53.34" y="73.66" size="1.27" layer="95"/>
|
||||
</segment>
|
||||
<segment>
|
||||
<pinref part="RN5" gate="D" pin="1"/>
|
||||
<wire x1="91.44" y1="71.12" x2="86.36" y2="71.12" width="0.1524" layer="91"/>
|
||||
<label x="88.9" y="71.12" size="1.27" layer="95" rot="R180"/>
|
||||
</segment>
|
||||
</net>
|
||||
<net name="A18" class="0">
|
||||
<segment>
|
||||
|
@ -13906,6 +13987,11 @@ Source: RS Component / Phycomp</description>
|
|||
<wire x1="40.64" y1="71.12" x2="71.12" y2="71.12" width="0.1524" layer="91"/>
|
||||
<label x="53.34" y="71.12" size="1.27" layer="95"/>
|
||||
</segment>
|
||||
<segment>
|
||||
<pinref part="RN5" gate="C" pin="1"/>
|
||||
<wire x1="91.44" y1="76.2" x2="86.36" y2="76.2" width="0.1524" layer="91"/>
|
||||
<label x="88.9" y="76.2" size="1.27" layer="95" rot="R180"/>
|
||||
</segment>
|
||||
</net>
|
||||
<net name="A17" class="0">
|
||||
<segment>
|
||||
|
@ -13913,6 +13999,11 @@ Source: RS Component / Phycomp</description>
|
|||
<wire x1="40.64" y1="68.58" x2="71.12" y2="68.58" width="0.1524" layer="91"/>
|
||||
<label x="53.34" y="68.58" size="1.27" layer="95"/>
|
||||
</segment>
|
||||
<segment>
|
||||
<pinref part="RN5" gate="B" pin="1"/>
|
||||
<wire x1="91.44" y1="81.28" x2="86.36" y2="81.28" width="0.1524" layer="91"/>
|
||||
<label x="88.9" y="81.28" size="1.27" layer="95" rot="R180"/>
|
||||
</segment>
|
||||
</net>
|
||||
<net name="R/W_00" class="0">
|
||||
<segment>
|
||||
|
@ -13976,6 +14067,11 @@ Source: RS Component / Phycomp</description>
|
|||
<wire x1="40.64" y1="124.46" x2="71.12" y2="124.46" width="0.1524" layer="91"/>
|
||||
<label x="53.34" y="124.46" size="1.27" layer="95"/>
|
||||
</segment>
|
||||
<segment>
|
||||
<pinref part="RN5" gate="A" pin="1"/>
|
||||
<wire x1="91.44" y1="86.36" x2="86.36" y2="86.36" width="0.1524" layer="91"/>
|
||||
<label x="88.9" y="86.36" size="1.27" layer="95" rot="R180"/>
|
||||
</segment>
|
||||
</net>
|
||||
<net name="A15" class="0">
|
||||
<segment>
|
||||
|
@ -13983,6 +14079,11 @@ Source: RS Component / Phycomp</description>
|
|||
<wire x1="40.64" y1="121.92" x2="71.12" y2="121.92" width="0.1524" layer="91"/>
|
||||
<label x="53.34" y="121.92" size="1.27" layer="95"/>
|
||||
</segment>
|
||||
<segment>
|
||||
<pinref part="RN6" gate="D" pin="1"/>
|
||||
<wire x1="91.44" y1="91.44" x2="86.36" y2="91.44" width="0.1524" layer="91"/>
|
||||
<label x="88.9" y="91.44" size="1.27" layer="95" rot="R180"/>
|
||||
</segment>
|
||||
</net>
|
||||
<net name="A14" class="0">
|
||||
<segment>
|
||||
|
@ -13990,6 +14091,11 @@ Source: RS Component / Phycomp</description>
|
|||
<wire x1="40.64" y1="119.38" x2="71.12" y2="119.38" width="0.1524" layer="91"/>
|
||||
<label x="53.34" y="119.38" size="1.27" layer="95"/>
|
||||
</segment>
|
||||
<segment>
|
||||
<pinref part="RN6" gate="C" pin="1"/>
|
||||
<wire x1="91.44" y1="96.52" x2="86.36" y2="96.52" width="0.1524" layer="91"/>
|
||||
<label x="88.9" y="96.52" size="1.27" layer="95" rot="R180"/>
|
||||
</segment>
|
||||
</net>
|
||||
<net name="A13" class="0">
|
||||
<segment>
|
||||
|
@ -13997,6 +14103,11 @@ Source: RS Component / Phycomp</description>
|
|||
<wire x1="40.64" y1="116.84" x2="71.12" y2="116.84" width="0.1524" layer="91"/>
|
||||
<label x="53.34" y="116.84" size="1.27" layer="95"/>
|
||||
</segment>
|
||||
<segment>
|
||||
<pinref part="RN6" gate="B" pin="1"/>
|
||||
<wire x1="91.44" y1="101.6" x2="86.36" y2="101.6" width="0.1524" layer="91"/>
|
||||
<label x="88.9" y="101.6" size="1.27" layer="95" rot="R180"/>
|
||||
</segment>
|
||||
</net>
|
||||
<net name="A12" class="0">
|
||||
<segment>
|
||||
|
@ -14004,6 +14115,11 @@ Source: RS Component / Phycomp</description>
|
|||
<wire x1="40.64" y1="114.3" x2="71.12" y2="114.3" width="0.1524" layer="91"/>
|
||||
<label x="53.34" y="114.3" size="1.27" layer="95"/>
|
||||
</segment>
|
||||
<segment>
|
||||
<pinref part="RN6" gate="A" pin="1"/>
|
||||
<wire x1="91.44" y1="106.68" x2="86.36" y2="106.68" width="0.1524" layer="91"/>
|
||||
<label x="88.9" y="106.68" size="1.27" layer="95" rot="R180"/>
|
||||
</segment>
|
||||
</net>
|
||||
<net name="A11" class="0">
|
||||
<segment>
|
||||
|
@ -14011,6 +14127,11 @@ Source: RS Component / Phycomp</description>
|
|||
<wire x1="40.64" y1="111.76" x2="71.12" y2="111.76" width="0.1524" layer="91"/>
|
||||
<label x="53.34" y="111.76" size="1.27" layer="95"/>
|
||||
</segment>
|
||||
<segment>
|
||||
<pinref part="RN7" gate="D" pin="1"/>
|
||||
<wire x1="91.44" y1="111.76" x2="86.36" y2="111.76" width="0.1524" layer="91"/>
|
||||
<label x="88.9" y="111.76" size="1.27" layer="95" rot="R180"/>
|
||||
</segment>
|
||||
</net>
|
||||
<net name="A10" class="0">
|
||||
<segment>
|
||||
|
@ -14018,6 +14139,11 @@ Source: RS Component / Phycomp</description>
|
|||
<wire x1="40.64" y1="109.22" x2="71.12" y2="109.22" width="0.1524" layer="91"/>
|
||||
<label x="53.34" y="109.22" size="1.27" layer="95"/>
|
||||
</segment>
|
||||
<segment>
|
||||
<pinref part="RN7" gate="C" pin="1"/>
|
||||
<wire x1="91.44" y1="116.84" x2="86.36" y2="116.84" width="0.1524" layer="91"/>
|
||||
<label x="88.9" y="116.84" size="1.27" layer="95" rot="R180"/>
|
||||
</segment>
|
||||
</net>
|
||||
<net name="A9" class="0">
|
||||
<segment>
|
||||
|
@ -14025,6 +14151,11 @@ Source: RS Component / Phycomp</description>
|
|||
<wire x1="40.64" y1="106.68" x2="71.12" y2="106.68" width="0.1524" layer="91"/>
|
||||
<label x="53.34" y="106.68" size="1.27" layer="95"/>
|
||||
</segment>
|
||||
<segment>
|
||||
<pinref part="RN7" gate="B" pin="1"/>
|
||||
<wire x1="91.44" y1="121.92" x2="86.36" y2="121.92" width="0.1524" layer="91"/>
|
||||
<label x="88.9" y="121.92" size="1.27" layer="95" rot="R180"/>
|
||||
</segment>
|
||||
</net>
|
||||
<net name="A5" class="0">
|
||||
<segment>
|
||||
|
@ -14032,6 +14163,11 @@ Source: RS Component / Phycomp</description>
|
|||
<wire x1="40.64" y1="147.32" x2="71.12" y2="147.32" width="0.1524" layer="91"/>
|
||||
<label x="53.34" y="147.32" size="1.27" layer="95"/>
|
||||
</segment>
|
||||
<segment>
|
||||
<pinref part="RN8" gate="B" pin="1"/>
|
||||
<wire x1="91.44" y1="142.24" x2="86.36" y2="142.24" width="0.1524" layer="91"/>
|
||||
<label x="88.9" y="142.24" size="1.27" layer="95" rot="R180"/>
|
||||
</segment>
|
||||
</net>
|
||||
<net name="A6" class="0">
|
||||
<segment>
|
||||
|
@ -14039,6 +14175,11 @@ Source: RS Component / Phycomp</description>
|
|||
<wire x1="40.64" y1="149.86" x2="71.12" y2="149.86" width="0.1524" layer="91"/>
|
||||
<label x="53.34" y="149.86" size="1.27" layer="95"/>
|
||||
</segment>
|
||||
<segment>
|
||||
<pinref part="RN8" gate="C" pin="1"/>
|
||||
<wire x1="91.44" y1="137.16" x2="86.36" y2="137.16" width="0.1524" layer="91"/>
|
||||
<label x="88.9" y="137.16" size="1.27" layer="95" rot="R180"/>
|
||||
</segment>
|
||||
</net>
|
||||
<net name="A7" class="0">
|
||||
<segment>
|
||||
|
@ -14046,6 +14187,11 @@ Source: RS Component / Phycomp</description>
|
|||
<wire x1="40.64" y1="152.4" x2="71.12" y2="152.4" width="0.1524" layer="91"/>
|
||||
<label x="53.34" y="152.4" size="1.27" layer="95"/>
|
||||
</segment>
|
||||
<segment>
|
||||
<pinref part="RN8" gate="D" pin="1"/>
|
||||
<wire x1="91.44" y1="132.08" x2="86.36" y2="132.08" width="0.1524" layer="91"/>
|
||||
<label x="88.9" y="132.08" size="1.27" layer="95" rot="R180"/>
|
||||
</segment>
|
||||
</net>
|
||||
<net name="A8" class="0">
|
||||
<segment>
|
||||
|
@ -14053,6 +14199,11 @@ Source: RS Component / Phycomp</description>
|
|||
<wire x1="40.64" y1="154.94" x2="71.12" y2="154.94" width="0.1524" layer="91"/>
|
||||
<label x="53.34" y="154.94" size="1.27" layer="95"/>
|
||||
</segment>
|
||||
<segment>
|
||||
<pinref part="RN7" gate="A" pin="1"/>
|
||||
<wire x1="91.44" y1="127" x2="86.36" y2="127" width="0.1524" layer="91"/>
|
||||
<label x="88.9" y="127" size="1.27" layer="95" rot="R180"/>
|
||||
</segment>
|
||||
</net>
|
||||
<net name="AMIGA_A4" class="0">
|
||||
<segment>
|
||||
|
@ -14300,6 +14451,11 @@ Source: RS Component / Phycomp</description>
|
|||
<wire x1="40.64" y1="157.48" x2="71.12" y2="157.48" width="0.1524" layer="91"/>
|
||||
<label x="53.34" y="157.48" size="1.27" layer="95"/>
|
||||
</segment>
|
||||
<segment>
|
||||
<pinref part="RN8" gate="A" pin="1"/>
|
||||
<wire x1="91.44" y1="147.32" x2="86.36" y2="147.32" width="0.1524" layer="91"/>
|
||||
<label x="88.9" y="147.32" size="1.27" layer="95" rot="R180"/>
|
||||
</segment>
|
||||
</net>
|
||||
<net name="A3" class="0">
|
||||
<segment>
|
||||
|
@ -14307,6 +14463,11 @@ Source: RS Component / Phycomp</description>
|
|||
<wire x1="40.64" y1="160.02" x2="71.12" y2="160.02" width="0.1524" layer="91"/>
|
||||
<label x="53.34" y="160.02" size="1.27" layer="95"/>
|
||||
</segment>
|
||||
<segment>
|
||||
<pinref part="RN9" gate="D" pin="1"/>
|
||||
<wire x1="91.44" y1="152.4" x2="86.36" y2="152.4" width="0.1524" layer="91"/>
|
||||
<label x="88.9" y="152.4" size="1.27" layer="95" rot="R180"/>
|
||||
</segment>
|
||||
</net>
|
||||
<net name="A2" class="0">
|
||||
<segment>
|
||||
|
@ -14314,6 +14475,11 @@ Source: RS Component / Phycomp</description>
|
|||
<wire x1="40.64" y1="162.56" x2="71.12" y2="162.56" width="0.1524" layer="91"/>
|
||||
<label x="53.34" y="162.56" size="1.27" layer="95"/>
|
||||
</segment>
|
||||
<segment>
|
||||
<pinref part="RN9" gate="C" pin="1"/>
|
||||
<wire x1="91.44" y1="157.48" x2="86.36" y2="157.48" width="0.1524" layer="91"/>
|
||||
<label x="88.9" y="157.48" size="1.27" layer="95" rot="R180"/>
|
||||
</segment>
|
||||
</net>
|
||||
<net name="A1" class="0">
|
||||
<segment>
|
||||
|
@ -14321,6 +14487,11 @@ Source: RS Component / Phycomp</description>
|
|||
<wire x1="40.64" y1="165.1" x2="71.12" y2="165.1" width="0.1524" layer="91"/>
|
||||
<label x="53.34" y="165.1" size="1.27" layer="95"/>
|
||||
</segment>
|
||||
<segment>
|
||||
<pinref part="RN9" gate="B" pin="1"/>
|
||||
<wire x1="91.44" y1="162.56" x2="86.36" y2="162.56" width="0.1524" layer="91"/>
|
||||
<label x="88.9" y="162.56" size="1.27" layer="95" rot="R180"/>
|
||||
</segment>
|
||||
</net>
|
||||
<net name="AMIGA_D7" class="0">
|
||||
<segment>
|
||||
|
@ -14386,13 +14557,93 @@ Source: RS Component / Phycomp</description>
|
|||
<label x="5.08" y="147.32" size="1.27" layer="95"/>
|
||||
</segment>
|
||||
</net>
|
||||
<net name="RW_OUT" class="0">
|
||||
<net name="AMIGA_ADR_ENABLE" class="0">
|
||||
<segment>
|
||||
<pinref part="IC4" gate="A" pin="G"/>
|
||||
<wire x1="40.64" y1="139.7" x2="45.72" y2="139.7" width="0.1524" layer="91"/>
|
||||
<label x="45.72" y="139.7" size="1.27" layer="95"/>
|
||||
</segment>
|
||||
<segment>
|
||||
<pinref part="IC8" gate="A" pin="G"/>
|
||||
<wire x1="40.64" y1="99.06" x2="45.72" y2="99.06" width="0.1524" layer="91"/>
|
||||
<label x="45.72" y="99.06" size="1.27" layer="95"/>
|
||||
</segment>
|
||||
<segment>
|
||||
<pinref part="IC9" gate="A" pin="G"/>
|
||||
<wire x1="40.64" y1="60.96" x2="45.72" y2="60.96" width="0.1524" layer="91"/>
|
||||
<label x="45.72" y="60.96" size="1.27" layer="95"/>
|
||||
</segment>
|
||||
</net>
|
||||
<net name="R/W" class="0">
|
||||
<segment>
|
||||
<pinref part="IC9" gate="A" pin="A1"/>
|
||||
<wire x1="40.64" y1="86.36" x2="45.72" y2="86.36" width="0.1524" layer="91"/>
|
||||
<label x="45.72" y="86.36" size="1.27" layer="95"/>
|
||||
</segment>
|
||||
</net>
|
||||
<net name="A24" class="0">
|
||||
<segment>
|
||||
<wire x1="86.36" y1="45.72" x2="91.44" y2="45.72" width="0.1524" layer="91"/>
|
||||
<pinref part="RN1" gate="A" pin="1"/>
|
||||
<label x="88.9" y="45.72" size="1.27" layer="95" rot="R180"/>
|
||||
</segment>
|
||||
</net>
|
||||
<net name="A25" class="0">
|
||||
<segment>
|
||||
<wire x1="86.36" y1="40.64" x2="91.44" y2="40.64" width="0.1524" layer="91"/>
|
||||
<pinref part="RN1" gate="B" pin="1"/>
|
||||
<label x="88.9" y="40.64" size="1.27" layer="95" rot="R180"/>
|
||||
</segment>
|
||||
</net>
|
||||
<net name="A26" class="0">
|
||||
<segment>
|
||||
<wire x1="86.36" y1="35.56" x2="91.44" y2="35.56" width="0.1524" layer="91"/>
|
||||
<pinref part="RN1" gate="C" pin="1"/>
|
||||
<label x="88.9" y="35.56" size="1.27" layer="95" rot="R180"/>
|
||||
</segment>
|
||||
</net>
|
||||
<net name="A28" class="0">
|
||||
<segment>
|
||||
<wire x1="86.36" y1="25.4" x2="91.44" y2="25.4" width="0.1524" layer="91"/>
|
||||
<pinref part="RN2" gate="A" pin="1"/>
|
||||
<label x="88.9" y="25.4" size="1.27" layer="95" rot="R180"/>
|
||||
</segment>
|
||||
</net>
|
||||
<net name="A29" class="0">
|
||||
<segment>
|
||||
<wire x1="86.36" y1="20.32" x2="91.44" y2="20.32" width="0.1524" layer="91"/>
|
||||
<pinref part="RN2" gate="B" pin="1"/>
|
||||
<label x="88.9" y="20.32" size="1.27" layer="95" rot="R180"/>
|
||||
</segment>
|
||||
</net>
|
||||
<net name="A30" class="0">
|
||||
<segment>
|
||||
<wire x1="86.36" y1="15.24" x2="91.44" y2="15.24" width="0.1524" layer="91"/>
|
||||
<pinref part="RN2" gate="C" pin="1"/>
|
||||
<label x="88.9" y="15.24" size="1.27" layer="95" rot="R180"/>
|
||||
</segment>
|
||||
</net>
|
||||
<net name="A31" class="0">
|
||||
<segment>
|
||||
<wire x1="86.36" y1="10.16" x2="91.44" y2="10.16" width="0.1524" layer="91"/>
|
||||
<pinref part="RN2" gate="D" pin="1"/>
|
||||
<label x="88.9" y="10.16" size="1.27" layer="95" rot="R180"/>
|
||||
</segment>
|
||||
</net>
|
||||
<net name="A27" class="0">
|
||||
<segment>
|
||||
<wire x1="86.36" y1="30.48" x2="91.44" y2="30.48" width="0.1524" layer="91"/>
|
||||
<pinref part="RN1" gate="D" pin="1"/>
|
||||
<label x="88.9" y="30.48" size="1.27" layer="95" rot="R180"/>
|
||||
</segment>
|
||||
</net>
|
||||
<net name="A0" class="0">
|
||||
<segment>
|
||||
<pinref part="RN9" gate="A" pin="1"/>
|
||||
<wire x1="91.44" y1="167.64" x2="86.36" y2="167.64" width="0.1524" layer="91"/>
|
||||
<label x="88.9" y="167.64" size="1.27" layer="95" rot="R180"/>
|
||||
</segment>
|
||||
</net>
|
||||
</nets>
|
||||
</sheet>
|
||||
</sheets>
|
||||
|
|
14414
Layout and PCB/68030-TK-V09c.s#2
Normal file
14414
Layout and PCB/68030-TK-V09c.s#2
Normal file
File diff suppressed because it is too large
Load Diff
14401
Layout and PCB/68030-TK-V09c.s#3
Normal file
14401
Layout and PCB/68030-TK-V09c.s#3
Normal file
File diff suppressed because it is too large
Load Diff
|
@ -10071,7 +10071,6 @@ Source: RS Component / Phycomp</description>
|
|||
<part name="IC5" library="74xx-eu" deviceset="74*245" device="DW" technology="HCT"/>
|
||||
<part name="IC6" library="74xx-eu" deviceset="74*245" device="DW" technology="HCT"/>
|
||||
<part name="R2" library="rcl" deviceset="R-EU_" device="R0603" value="4,7k"/>
|
||||
<part name="R3" library="rcl" deviceset="R-EU_" device="R0603" value="4,7k"/>
|
||||
<part name="R12" library="rcl" deviceset="R-EU_" device="R0603" value="4,7k"/>
|
||||
<part name="R13" library="rcl" deviceset="R-EU_" device="R0603" value="4,7k"/>
|
||||
<part name="R15" library="rcl" deviceset="R-EU_" device="R0603" value="4,7k"/>
|
||||
|
@ -10099,7 +10098,6 @@ Source: RS Component / Phycomp</description>
|
|||
<part name="C23" library="rcl" deviceset="C-EU" device="C0603K" value="100nF"/>
|
||||
<part name="RN3" library="resistor-dil" deviceset="4R-N" device="EXBV8V" value="4,7k"/>
|
||||
<part name="R8" library="rcl" deviceset="R-EU_" device="R0603" value="4,7k"/>
|
||||
<part name="R9" library="rcl" deviceset="R-EU_" device="R0603" value="4,7k"/>
|
||||
<part name="X1" library="con-vg" deviceset="FABC96R" device=""/>
|
||||
<part name="IC4" library="74xx-eu" deviceset="74*245" device="DW" technology="HCT"/>
|
||||
<part name="IC8" library="74xx-eu" deviceset="74*245" device="DW" technology="HCT"/>
|
||||
|
@ -10117,6 +10115,12 @@ Source: RS Component / Phycomp</description>
|
|||
<part name="R14" library="rcl" deviceset="R-EU_" device="R0603"/>
|
||||
<part name="R16" library="rcl" deviceset="R-EU_" device="R0603"/>
|
||||
<part name="R17" library="rcl" deviceset="R-EU_" device="R0603" value="4,7k"/>
|
||||
<part name="RN4" library="resistor-dil" deviceset="4R-N" device="EXBV8V" value="4,7k"/>
|
||||
<part name="RN5" library="resistor-dil" deviceset="4R-N" device="EXBV8V" value="4,7k"/>
|
||||
<part name="RN6" library="resistor-dil" deviceset="4R-N" device="EXBV8V" value="4,7k"/>
|
||||
<part name="RN7" library="resistor-dil" deviceset="4R-N" device="EXBV8V" value="4,7k"/>
|
||||
<part name="RN8" library="resistor-dil" deviceset="4R-N" device="EXBV8V" value="4,7k"/>
|
||||
<part name="RN9" library="resistor-dil" deviceset="4R-N" device="EXBV8V" value="4,7k"/>
|
||||
</parts>
|
||||
<sheets>
|
||||
<sheet>
|
||||
|
@ -10137,7 +10141,6 @@ Source: RS Component / Phycomp</description>
|
|||
<instance part="C6" gate="G$1" x="27.94" y="15.24"/>
|
||||
<instance part="FRAME1" gate="G$1" x="-106.68" y="-7.62"/>
|
||||
<instance part="R2" gate="G$1" x="-25.4" y="91.44"/>
|
||||
<instance part="R3" gate="G$1" x="-25.4" y="86.36"/>
|
||||
<instance part="R12" gate="G$1" x="-25.4" y="101.6"/>
|
||||
<instance part="R13" gate="G$1" x="-25.4" y="106.68"/>
|
||||
<instance part="R15" gate="G$1" x="-25.4" y="116.84"/>
|
||||
|
@ -10145,14 +10148,6 @@ Source: RS Component / Phycomp</description>
|
|||
<instance part="R19" gate="G$1" x="-2.54" y="104.14"/>
|
||||
<instance part="R20" gate="G$1" x="-25.4" y="127"/>
|
||||
<instance part="R21" gate="G$1" x="-25.4" y="121.92"/>
|
||||
<instance part="RN1" gate="A" x="-25.4" y="81.28"/>
|
||||
<instance part="RN1" gate="B" x="-25.4" y="76.2"/>
|
||||
<instance part="RN1" gate="C" x="-25.4" y="71.12"/>
|
||||
<instance part="RN1" gate="D" x="-25.4" y="66.04"/>
|
||||
<instance part="RN2" gate="A" x="-25.4" y="60.96"/>
|
||||
<instance part="RN2" gate="B" x="-25.4" y="55.88"/>
|
||||
<instance part="RN2" gate="C" x="-25.4" y="50.8"/>
|
||||
<instance part="RN2" gate="D" x="-25.4" y="45.72"/>
|
||||
<instance part="C8" gate="G$1" x="40.64" y="15.24"/>
|
||||
<instance part="C18" gate="G$1" x="2.54" y="50.8"/>
|
||||
<instance part="C19" gate="G$1" x="10.16" y="50.8"/>
|
||||
|
@ -10164,7 +10159,6 @@ Source: RS Component / Phycomp</description>
|
|||
<instance part="RN3" gate="C" x="-2.54" y="137.16"/>
|
||||
<instance part="RN3" gate="D" x="-2.54" y="132.08"/>
|
||||
<instance part="R8" gate="G$1" x="-2.54" y="121.92"/>
|
||||
<instance part="R9" gate="G$1" x="-2.54" y="116.84"/>
|
||||
<instance part="R17" gate="G$1" x="-2.54" y="93.98"/>
|
||||
</instances>
|
||||
<busses>
|
||||
|
@ -10177,9 +10171,6 @@ Source: RS Component / Phycomp</description>
|
|||
<wire x1="76.2" y1="106.68" x2="76.2" y2="99.06" width="0.762" layer="92"/>
|
||||
<label x="76.2" y="106.68" size="1.27" layer="95"/>
|
||||
</segment>
|
||||
<segment>
|
||||
<wire x1="-35.56" y1="81.28" x2="-35.56" y2="45.72" width="0.762" layer="92"/>
|
||||
</segment>
|
||||
</bus>
|
||||
<bus name="FC[0..2]">
|
||||
<segment>
|
||||
|
@ -11135,81 +11126,48 @@ Source: RS Component / Phycomp</description>
|
|||
<pinref part="IC1" gate="G$1" pin="A0"/>
|
||||
<wire x1="132.08" y1="101.6" x2="144.78" y2="101.6" width="0.1524" layer="91"/>
|
||||
</segment>
|
||||
<segment>
|
||||
<wire x1="-30.48" y1="86.36" x2="-35.56" y2="86.36" width="0.1524" layer="91"/>
|
||||
<label x="-35.56" y="86.36" size="1.27" layer="95" rot="R180"/>
|
||||
<pinref part="R3" gate="G$1" pin="1"/>
|
||||
</segment>
|
||||
</net>
|
||||
<net name="A24" class="0">
|
||||
<segment>
|
||||
<pinref part="IC1" gate="G$1" pin="A24"/>
|
||||
<wire x1="132.08" y1="40.64" x2="144.78" y2="40.64" width="0.1524" layer="91"/>
|
||||
</segment>
|
||||
<segment>
|
||||
<wire x1="-35.56" y1="81.28" x2="-30.48" y2="81.28" width="0.1524" layer="91"/>
|
||||
<pinref part="RN1" gate="A" pin="1"/>
|
||||
</segment>
|
||||
</net>
|
||||
<net name="A25" class="0">
|
||||
<segment>
|
||||
<pinref part="IC1" gate="G$1" pin="A25"/>
|
||||
<wire x1="132.08" y1="38.1" x2="144.78" y2="38.1" width="0.1524" layer="91"/>
|
||||
</segment>
|
||||
<segment>
|
||||
<wire x1="-35.56" y1="76.2" x2="-30.48" y2="76.2" width="0.1524" layer="91"/>
|
||||
<pinref part="RN1" gate="B" pin="1"/>
|
||||
</segment>
|
||||
</net>
|
||||
<net name="A26" class="0">
|
||||
<segment>
|
||||
<pinref part="IC1" gate="G$1" pin="A26"/>
|
||||
<wire x1="132.08" y1="35.56" x2="144.78" y2="35.56" width="0.1524" layer="91"/>
|
||||
</segment>
|
||||
<segment>
|
||||
<wire x1="-35.56" y1="71.12" x2="-30.48" y2="71.12" width="0.1524" layer="91"/>
|
||||
<pinref part="RN1" gate="C" pin="1"/>
|
||||
</segment>
|
||||
</net>
|
||||
<net name="A28" class="0">
|
||||
<segment>
|
||||
<pinref part="IC1" gate="G$1" pin="A28"/>
|
||||
<wire x1="132.08" y1="30.48" x2="144.78" y2="30.48" width="0.1524" layer="91"/>
|
||||
</segment>
|
||||
<segment>
|
||||
<wire x1="-35.56" y1="60.96" x2="-30.48" y2="60.96" width="0.1524" layer="91"/>
|
||||
<pinref part="RN2" gate="A" pin="1"/>
|
||||
</segment>
|
||||
</net>
|
||||
<net name="A29" class="0">
|
||||
<segment>
|
||||
<pinref part="IC1" gate="G$1" pin="A29"/>
|
||||
<wire x1="132.08" y1="27.94" x2="144.78" y2="27.94" width="0.1524" layer="91"/>
|
||||
</segment>
|
||||
<segment>
|
||||
<wire x1="-35.56" y1="55.88" x2="-30.48" y2="55.88" width="0.1524" layer="91"/>
|
||||
<pinref part="RN2" gate="B" pin="1"/>
|
||||
</segment>
|
||||
</net>
|
||||
<net name="A30" class="0">
|
||||
<segment>
|
||||
<pinref part="IC1" gate="G$1" pin="A30"/>
|
||||
<wire x1="132.08" y1="25.4" x2="144.78" y2="25.4" width="0.1524" layer="91"/>
|
||||
</segment>
|
||||
<segment>
|
||||
<wire x1="-35.56" y1="50.8" x2="-30.48" y2="50.8" width="0.1524" layer="91"/>
|
||||
<pinref part="RN2" gate="C" pin="1"/>
|
||||
</segment>
|
||||
</net>
|
||||
<net name="A31" class="0">
|
||||
<segment>
|
||||
<pinref part="IC1" gate="G$1" pin="A31"/>
|
||||
<wire x1="132.08" y1="22.86" x2="144.78" y2="22.86" width="0.1524" layer="91"/>
|
||||
</segment>
|
||||
<segment>
|
||||
<wire x1="-35.56" y1="45.72" x2="-30.48" y2="45.72" width="0.1524" layer="91"/>
|
||||
<pinref part="RN2" gate="D" pin="1"/>
|
||||
</segment>
|
||||
</net>
|
||||
<net name="CS_FPU" class="0">
|
||||
<segment>
|
||||
|
@ -11379,8 +11337,6 @@ Source: RS Component / Phycomp</description>
|
|||
<junction x="-20.32" y="132.08"/>
|
||||
<pinref part="R8" gate="G$1" pin="1"/>
|
||||
<wire x1="-7.62" y1="121.92" x2="-20.32" y2="121.92" width="0.1524" layer="91"/>
|
||||
<pinref part="R9" gate="G$1" pin="1"/>
|
||||
<wire x1="-7.62" y1="116.84" x2="-20.32" y2="116.84" width="0.1524" layer="91"/>
|
||||
<pinref part="R2" gate="G$1" pin="2"/>
|
||||
<wire x1="-20.32" y1="91.44" x2="-20.32" y2="93.98" width="0.1524" layer="91"/>
|
||||
<junction x="-20.32" y="101.6"/>
|
||||
|
@ -11553,35 +11509,6 @@ Source: RS Component / Phycomp</description>
|
|||
<junction x="40.64" y="5.08"/>
|
||||
</segment>
|
||||
<segment>
|
||||
<label x="-20.32" y="40.64" size="1.27" layer="95" xref="yes"/>
|
||||
<wire x1="-20.32" y1="86.36" x2="-20.32" y2="81.28" width="0.1524" layer="91"/>
|
||||
<pinref part="R3" gate="G$1" pin="2"/>
|
||||
<pinref part="RN1" gate="A" pin="2"/>
|
||||
<wire x1="-20.32" y1="81.28" x2="-20.32" y2="76.2" width="0.1524" layer="91"/>
|
||||
<junction x="-20.32" y="81.28"/>
|
||||
<pinref part="RN1" gate="B" pin="2"/>
|
||||
<wire x1="-20.32" y1="76.2" x2="-20.32" y2="71.12" width="0.1524" layer="91"/>
|
||||
<junction x="-20.32" y="76.2"/>
|
||||
<pinref part="RN1" gate="C" pin="2"/>
|
||||
<wire x1="-20.32" y1="71.12" x2="-20.32" y2="66.04" width="0.1524" layer="91"/>
|
||||
<junction x="-20.32" y="71.12"/>
|
||||
<pinref part="RN1" gate="D" pin="2"/>
|
||||
<wire x1="-20.32" y1="66.04" x2="-20.32" y2="60.96" width="0.1524" layer="91"/>
|
||||
<junction x="-20.32" y="66.04"/>
|
||||
<pinref part="RN2" gate="A" pin="2"/>
|
||||
<wire x1="-20.32" y1="60.96" x2="-20.32" y2="55.88" width="0.1524" layer="91"/>
|
||||
<junction x="-20.32" y="60.96"/>
|
||||
<pinref part="RN2" gate="B" pin="2"/>
|
||||
<wire x1="-20.32" y1="55.88" x2="-20.32" y2="50.8" width="0.1524" layer="91"/>
|
||||
<junction x="-20.32" y="55.88"/>
|
||||
<pinref part="RN2" gate="C" pin="2"/>
|
||||
<wire x1="-20.32" y1="50.8" x2="-20.32" y2="45.72" width="0.1524" layer="91"/>
|
||||
<junction x="-20.32" y="50.8"/>
|
||||
<pinref part="RN2" gate="D" pin="2"/>
|
||||
<wire x1="-20.32" y1="45.72" x2="-20.32" y2="40.64" width="0.1524" layer="91"/>
|
||||
<junction x="-20.32" y="45.72"/>
|
||||
</segment>
|
||||
<segment>
|
||||
<pinref part="C18" gate="G$1" pin="2"/>
|
||||
<pinref part="C19" gate="G$1" pin="2"/>
|
||||
<wire x1="2.54" y1="45.72" x2="10.16" y2="45.72" width="0.1524" layer="91"/>
|
||||
|
@ -11603,21 +11530,10 @@ Source: RS Component / Phycomp</description>
|
|||
</net>
|
||||
<net name="A27" class="0">
|
||||
<segment>
|
||||
<wire x1="-35.56" y1="66.04" x2="-30.48" y2="66.04" width="0.1524" layer="91"/>
|
||||
<pinref part="RN1" gate="D" pin="1"/>
|
||||
</segment>
|
||||
<segment>
|
||||
<pinref part="IC1" gate="G$1" pin="A27"/>
|
||||
<wire x1="132.08" y1="33.02" x2="144.78" y2="33.02" width="0.1524" layer="91"/>
|
||||
</segment>
|
||||
</net>
|
||||
<net name="RAM_SEL" class="0">
|
||||
<segment>
|
||||
<wire x1="-30.48" y1="91.44" x2="-33.02" y2="91.44" width="0.1524" layer="91"/>
|
||||
<label x="-33.02" y="91.44" size="1.27" layer="95" rot="R180"/>
|
||||
<pinref part="R2" gate="G$1" pin="1"/>
|
||||
</segment>
|
||||
</net>
|
||||
<net name="IPL0_30" class="0">
|
||||
<segment>
|
||||
<wire x1="88.9" y1="137.16" x2="96.52" y2="137.16" width="0.1524" layer="91"/>
|
||||
|
@ -11641,9 +11557,9 @@ Source: RS Component / Phycomp</description>
|
|||
</net>
|
||||
<net name="IO_EXP" class="0">
|
||||
<segment>
|
||||
<pinref part="R9" gate="G$1" pin="2"/>
|
||||
<wire x1="2.54" y1="116.84" x2="10.16" y2="116.84" width="0.1524" layer="91"/>
|
||||
<label x="10.16" y="116.84" size="1.27" layer="95"/>
|
||||
<wire x1="-30.48" y1="91.44" x2="-33.02" y2="91.44" width="0.1524" layer="91"/>
|
||||
<label x="-33.02" y="91.44" size="1.27" layer="95" rot="R180"/>
|
||||
<pinref part="R2" gate="G$1" pin="1"/>
|
||||
</segment>
|
||||
</net>
|
||||
<net name="R/W_00" class="0">
|
||||
|
@ -12789,7 +12705,7 @@ Source: RS Component / Phycomp</description>
|
|||
<label x="132.08" y="121.92" size="1.27" layer="95" rot="R90"/>
|
||||
</segment>
|
||||
</net>
|
||||
<net name="RAM_SEL" class="0">
|
||||
<net name="IO_EXP" class="0">
|
||||
<segment>
|
||||
<label x="238.76" y="68.58" size="1.27" layer="95" xref="yes"/>
|
||||
<pinref part="X1" gate="-A16" pin="B"/>
|
||||
|
@ -13436,26 +13352,61 @@ Source: RS Component / Phycomp</description>
|
|||
<instance part="IC11" gate="A" x="144.78" y="91.44" rot="MR0"/>
|
||||
<instance part="IC5" gate="A" x="175.26" y="152.4" rot="MR0"/>
|
||||
<instance part="IC6" gate="A" x="226.06" y="152.4"/>
|
||||
<instance part="C16" gate="G$1" x="127" y="20.32"/>
|
||||
<instance part="C17" gate="G$1" x="142.24" y="20.32"/>
|
||||
<instance part="IC5" gate="P" x="119.38" y="20.32"/>
|
||||
<instance part="IC6" gate="P" x="134.62" y="20.32"/>
|
||||
<instance part="IC4" gate="P" x="104.14" y="20.32"/>
|
||||
<instance part="IC8" gate="P" x="93.98" y="20.32"/>
|
||||
<instance part="IC9" gate="P" x="83.82" y="20.32"/>
|
||||
<instance part="IC11" gate="P" x="73.66" y="20.32"/>
|
||||
<instance part="IC10" gate="P" x="63.5" y="20.32"/>
|
||||
<instance part="C24" gate="G$1" x="111.76" y="20.32"/>
|
||||
<instance part="C25" gate="G$1" x="99.06" y="20.32"/>
|
||||
<instance part="C26" gate="G$1" x="88.9" y="20.32"/>
|
||||
<instance part="C27" gate="G$1" x="78.74" y="20.32"/>
|
||||
<instance part="C28" gate="G$1" x="68.58" y="20.32"/>
|
||||
<instance part="C16" gate="G$1" x="223.52" y="116.84"/>
|
||||
<instance part="C17" gate="G$1" x="238.76" y="116.84"/>
|
||||
<instance part="IC5" gate="P" x="215.9" y="116.84"/>
|
||||
<instance part="IC6" gate="P" x="231.14" y="116.84"/>
|
||||
<instance part="IC4" gate="P" x="200.66" y="116.84"/>
|
||||
<instance part="IC8" gate="P" x="190.5" y="116.84"/>
|
||||
<instance part="IC9" gate="P" x="180.34" y="116.84"/>
|
||||
<instance part="IC11" gate="P" x="170.18" y="116.84"/>
|
||||
<instance part="IC10" gate="P" x="160.02" y="116.84"/>
|
||||
<instance part="C24" gate="G$1" x="208.28" y="116.84"/>
|
||||
<instance part="C25" gate="G$1" x="195.58" y="116.84"/>
|
||||
<instance part="C26" gate="G$1" x="185.42" y="116.84"/>
|
||||
<instance part="C27" gate="G$1" x="175.26" y="116.84"/>
|
||||
<instance part="C28" gate="G$1" x="165.1" y="116.84"/>
|
||||
<instance part="RN1" gate="A" x="96.52" y="45.72"/>
|
||||
<instance part="RN1" gate="B" x="96.52" y="40.64"/>
|
||||
<instance part="RN1" gate="C" x="96.52" y="35.56"/>
|
||||
<instance part="RN1" gate="D" x="96.52" y="30.48"/>
|
||||
<instance part="RN2" gate="A" x="96.52" y="25.4"/>
|
||||
<instance part="RN2" gate="B" x="96.52" y="20.32"/>
|
||||
<instance part="RN2" gate="C" x="96.52" y="15.24"/>
|
||||
<instance part="RN2" gate="D" x="96.52" y="10.16"/>
|
||||
<instance part="RN4" gate="A" x="96.52" y="66.04"/>
|
||||
<instance part="RN4" gate="B" x="96.52" y="60.96"/>
|
||||
<instance part="RN4" gate="C" x="96.52" y="55.88"/>
|
||||
<instance part="RN4" gate="D" x="96.52" y="50.8"/>
|
||||
<instance part="RN5" gate="A" x="96.52" y="86.36"/>
|
||||
<instance part="RN5" gate="B" x="96.52" y="81.28"/>
|
||||
<instance part="RN5" gate="C" x="96.52" y="76.2"/>
|
||||
<instance part="RN5" gate="D" x="96.52" y="71.12"/>
|
||||
<instance part="RN6" gate="A" x="96.52" y="106.68"/>
|
||||
<instance part="RN6" gate="B" x="96.52" y="101.6"/>
|
||||
<instance part="RN6" gate="C" x="96.52" y="96.52"/>
|
||||
<instance part="RN6" gate="D" x="96.52" y="91.44"/>
|
||||
<instance part="RN7" gate="A" x="96.52" y="127"/>
|
||||
<instance part="RN7" gate="B" x="96.52" y="121.92"/>
|
||||
<instance part="RN7" gate="C" x="96.52" y="116.84"/>
|
||||
<instance part="RN7" gate="D" x="96.52" y="111.76"/>
|
||||
<instance part="RN8" gate="A" x="96.52" y="147.32"/>
|
||||
<instance part="RN8" gate="B" x="96.52" y="142.24"/>
|
||||
<instance part="RN8" gate="C" x="96.52" y="137.16"/>
|
||||
<instance part="RN8" gate="D" x="96.52" y="132.08"/>
|
||||
<instance part="RN9" gate="A" x="96.52" y="167.64"/>
|
||||
<instance part="RN9" gate="B" x="96.52" y="162.56"/>
|
||||
<instance part="RN9" gate="C" x="96.52" y="157.48"/>
|
||||
<instance part="RN9" gate="D" x="96.52" y="152.4"/>
|
||||
</instances>
|
||||
<busses>
|
||||
<bus name="A[0..31]">
|
||||
<segment>
|
||||
<wire x1="71.12" y1="167.64" x2="71.12" y2="68.58" width="0.762" layer="92"/>
|
||||
</segment>
|
||||
<segment>
|
||||
<wire x1="86.36" y1="167.64" x2="86.36" y2="10.16" width="0.762" layer="92"/>
|
||||
</segment>
|
||||
</bus>
|
||||
<bus name="AMIGA_A[1..23]">
|
||||
<segment>
|
||||
|
@ -13712,103 +13663,201 @@ Source: RS Component / Phycomp</description>
|
|||
<net name="GND" class="0">
|
||||
<segment>
|
||||
<pinref part="C16" gate="G$1" pin="2"/>
|
||||
<wire x1="119.38" y1="12.7" x2="127" y2="12.7" width="0.1524" layer="91"/>
|
||||
<wire x1="127" y1="12.7" x2="127" y2="15.24" width="0.1524" layer="91"/>
|
||||
<wire x1="127" y1="12.7" x2="134.62" y2="12.7" width="0.1524" layer="91"/>
|
||||
<junction x="127" y="12.7"/>
|
||||
<wire x1="215.9" y1="109.22" x2="223.52" y2="109.22" width="0.1524" layer="91"/>
|
||||
<wire x1="223.52" y1="109.22" x2="223.52" y2="111.76" width="0.1524" layer="91"/>
|
||||
<wire x1="223.52" y1="109.22" x2="231.14" y2="109.22" width="0.1524" layer="91"/>
|
||||
<junction x="223.52" y="109.22"/>
|
||||
<pinref part="C17" gate="G$1" pin="2"/>
|
||||
<wire x1="134.62" y1="12.7" x2="142.24" y2="12.7" width="0.1524" layer="91"/>
|
||||
<wire x1="142.24" y1="12.7" x2="142.24" y2="15.24" width="0.1524" layer="91"/>
|
||||
<wire x1="231.14" y1="109.22" x2="238.76" y2="109.22" width="0.1524" layer="91"/>
|
||||
<wire x1="238.76" y1="109.22" x2="238.76" y2="111.76" width="0.1524" layer="91"/>
|
||||
<pinref part="IC5" gate="P" pin="GND"/>
|
||||
<junction x="119.38" y="12.7"/>
|
||||
<junction x="215.9" y="109.22"/>
|
||||
<pinref part="IC6" gate="P" pin="GND"/>
|
||||
<junction x="134.62" y="12.7"/>
|
||||
<label x="142.24" y="12.7" size="1.778" layer="95"/>
|
||||
<junction x="231.14" y="109.22"/>
|
||||
<label x="238.76" y="109.22" size="1.778" layer="95"/>
|
||||
<pinref part="IC4" gate="P" pin="GND"/>
|
||||
<wire x1="119.38" y1="12.7" x2="111.76" y2="12.7" width="0.1524" layer="91"/>
|
||||
<wire x1="215.9" y1="109.22" x2="208.28" y2="109.22" width="0.1524" layer="91"/>
|
||||
<pinref part="IC8" gate="P" pin="GND"/>
|
||||
<wire x1="111.76" y1="12.7" x2="104.14" y2="12.7" width="0.1524" layer="91"/>
|
||||
<wire x1="104.14" y1="12.7" x2="99.06" y2="12.7" width="0.1524" layer="91"/>
|
||||
<junction x="104.14" y="12.7"/>
|
||||
<wire x1="208.28" y1="109.22" x2="200.66" y2="109.22" width="0.1524" layer="91"/>
|
||||
<wire x1="200.66" y1="109.22" x2="195.58" y2="109.22" width="0.1524" layer="91"/>
|
||||
<junction x="200.66" y="109.22"/>
|
||||
<pinref part="IC9" gate="P" pin="GND"/>
|
||||
<wire x1="99.06" y1="12.7" x2="93.98" y2="12.7" width="0.1524" layer="91"/>
|
||||
<wire x1="93.98" y1="12.7" x2="88.9" y2="12.7" width="0.1524" layer="91"/>
|
||||
<junction x="93.98" y="12.7"/>
|
||||
<wire x1="195.58" y1="109.22" x2="190.5" y2="109.22" width="0.1524" layer="91"/>
|
||||
<wire x1="190.5" y1="109.22" x2="185.42" y2="109.22" width="0.1524" layer="91"/>
|
||||
<junction x="190.5" y="109.22"/>
|
||||
<pinref part="IC11" gate="P" pin="GND"/>
|
||||
<wire x1="88.9" y1="12.7" x2="83.82" y2="12.7" width="0.1524" layer="91"/>
|
||||
<wire x1="83.82" y1="12.7" x2="78.74" y2="12.7" width="0.1524" layer="91"/>
|
||||
<junction x="83.82" y="12.7"/>
|
||||
<wire x1="185.42" y1="109.22" x2="180.34" y2="109.22" width="0.1524" layer="91"/>
|
||||
<wire x1="180.34" y1="109.22" x2="175.26" y2="109.22" width="0.1524" layer="91"/>
|
||||
<junction x="180.34" y="109.22"/>
|
||||
<pinref part="IC10" gate="P" pin="GND"/>
|
||||
<wire x1="78.74" y1="12.7" x2="73.66" y2="12.7" width="0.1524" layer="91"/>
|
||||
<wire x1="73.66" y1="12.7" x2="68.58" y2="12.7" width="0.1524" layer="91"/>
|
||||
<junction x="73.66" y="12.7"/>
|
||||
<wire x1="175.26" y1="109.22" x2="170.18" y2="109.22" width="0.1524" layer="91"/>
|
||||
<wire x1="170.18" y1="109.22" x2="165.1" y2="109.22" width="0.1524" layer="91"/>
|
||||
<junction x="170.18" y="109.22"/>
|
||||
<pinref part="C28" gate="G$1" pin="2"/>
|
||||
<wire x1="68.58" y1="12.7" x2="63.5" y2="12.7" width="0.1524" layer="91"/>
|
||||
<wire x1="68.58" y1="15.24" x2="68.58" y2="12.7" width="0.1524" layer="91"/>
|
||||
<junction x="68.58" y="12.7"/>
|
||||
<wire x1="165.1" y1="109.22" x2="160.02" y2="109.22" width="0.1524" layer="91"/>
|
||||
<wire x1="165.1" y1="111.76" x2="165.1" y2="109.22" width="0.1524" layer="91"/>
|
||||
<junction x="165.1" y="109.22"/>
|
||||
<pinref part="C27" gate="G$1" pin="2"/>
|
||||
<wire x1="78.74" y1="15.24" x2="78.74" y2="12.7" width="0.1524" layer="91"/>
|
||||
<junction x="78.74" y="12.7"/>
|
||||
<wire x1="175.26" y1="111.76" x2="175.26" y2="109.22" width="0.1524" layer="91"/>
|
||||
<junction x="175.26" y="109.22"/>
|
||||
<pinref part="C26" gate="G$1" pin="2"/>
|
||||
<wire x1="88.9" y1="15.24" x2="88.9" y2="12.7" width="0.1524" layer="91"/>
|
||||
<junction x="88.9" y="12.7"/>
|
||||
<wire x1="185.42" y1="111.76" x2="185.42" y2="109.22" width="0.1524" layer="91"/>
|
||||
<junction x="185.42" y="109.22"/>
|
||||
<pinref part="C25" gate="G$1" pin="2"/>
|
||||
<wire x1="99.06" y1="15.24" x2="99.06" y2="12.7" width="0.1524" layer="91"/>
|
||||
<junction x="99.06" y="12.7"/>
|
||||
<wire x1="195.58" y1="111.76" x2="195.58" y2="109.22" width="0.1524" layer="91"/>
|
||||
<junction x="195.58" y="109.22"/>
|
||||
<pinref part="C24" gate="G$1" pin="2"/>
|
||||
<wire x1="111.76" y1="15.24" x2="111.76" y2="12.7" width="0.1524" layer="91"/>
|
||||
<junction x="111.76" y="12.7"/>
|
||||
<wire x1="208.28" y1="111.76" x2="208.28" y2="109.22" width="0.1524" layer="91"/>
|
||||
<junction x="208.28" y="109.22"/>
|
||||
</segment>
|
||||
<segment>
|
||||
<label x="101.6" y="5.08" size="1.27" layer="95" xref="yes"/>
|
||||
<wire x1="101.6" y1="50.8" x2="101.6" y2="45.72" width="0.1524" layer="91"/>
|
||||
<pinref part="RN1" gate="A" pin="2"/>
|
||||
<wire x1="101.6" y1="45.72" x2="101.6" y2="40.64" width="0.1524" layer="91"/>
|
||||
<junction x="101.6" y="45.72"/>
|
||||
<pinref part="RN1" gate="B" pin="2"/>
|
||||
<wire x1="101.6" y1="40.64" x2="101.6" y2="35.56" width="0.1524" layer="91"/>
|
||||
<junction x="101.6" y="40.64"/>
|
||||
<pinref part="RN1" gate="C" pin="2"/>
|
||||
<wire x1="101.6" y1="35.56" x2="101.6" y2="30.48" width="0.1524" layer="91"/>
|
||||
<junction x="101.6" y="35.56"/>
|
||||
<pinref part="RN1" gate="D" pin="2"/>
|
||||
<wire x1="101.6" y1="30.48" x2="101.6" y2="25.4" width="0.1524" layer="91"/>
|
||||
<junction x="101.6" y="30.48"/>
|
||||
<pinref part="RN2" gate="A" pin="2"/>
|
||||
<wire x1="101.6" y1="25.4" x2="101.6" y2="20.32" width="0.1524" layer="91"/>
|
||||
<junction x="101.6" y="25.4"/>
|
||||
<pinref part="RN2" gate="B" pin="2"/>
|
||||
<wire x1="101.6" y1="20.32" x2="101.6" y2="15.24" width="0.1524" layer="91"/>
|
||||
<junction x="101.6" y="20.32"/>
|
||||
<pinref part="RN2" gate="C" pin="2"/>
|
||||
<wire x1="101.6" y1="15.24" x2="101.6" y2="10.16" width="0.1524" layer="91"/>
|
||||
<junction x="101.6" y="15.24"/>
|
||||
<pinref part="RN2" gate="D" pin="2"/>
|
||||
<wire x1="101.6" y1="10.16" x2="101.6" y2="5.08" width="0.1524" layer="91"/>
|
||||
<junction x="101.6" y="10.16"/>
|
||||
<pinref part="RN4" gate="D" pin="2"/>
|
||||
<pinref part="RN4" gate="C" pin="2"/>
|
||||
<wire x1="101.6" y1="50.8" x2="101.6" y2="55.88" width="0.1524" layer="91"/>
|
||||
<junction x="101.6" y="50.8"/>
|
||||
<pinref part="RN4" gate="B" pin="2"/>
|
||||
<wire x1="101.6" y1="55.88" x2="101.6" y2="60.96" width="0.1524" layer="91"/>
|
||||
<junction x="101.6" y="55.88"/>
|
||||
<pinref part="RN4" gate="A" pin="2"/>
|
||||
<wire x1="101.6" y1="60.96" x2="101.6" y2="66.04" width="0.1524" layer="91"/>
|
||||
<junction x="101.6" y="60.96"/>
|
||||
<pinref part="RN7" gate="A" pin="2"/>
|
||||
<pinref part="RN7" gate="B" pin="2"/>
|
||||
<wire x1="101.6" y1="127" x2="101.6" y2="121.92" width="0.1524" layer="91"/>
|
||||
<pinref part="RN7" gate="C" pin="2"/>
|
||||
<wire x1="101.6" y1="121.92" x2="101.6" y2="116.84" width="0.1524" layer="91"/>
|
||||
<junction x="101.6" y="121.92"/>
|
||||
<pinref part="RN7" gate="D" pin="2"/>
|
||||
<wire x1="101.6" y1="116.84" x2="101.6" y2="111.76" width="0.1524" layer="91"/>
|
||||
<junction x="101.6" y="116.84"/>
|
||||
<pinref part="RN6" gate="A" pin="2"/>
|
||||
<wire x1="101.6" y1="111.76" x2="101.6" y2="106.68" width="0.1524" layer="91"/>
|
||||
<junction x="101.6" y="111.76"/>
|
||||
<pinref part="RN6" gate="B" pin="2"/>
|
||||
<wire x1="101.6" y1="106.68" x2="101.6" y2="101.6" width="0.1524" layer="91"/>
|
||||
<junction x="101.6" y="106.68"/>
|
||||
<pinref part="RN6" gate="C" pin="2"/>
|
||||
<wire x1="101.6" y1="101.6" x2="101.6" y2="96.52" width="0.1524" layer="91"/>
|
||||
<junction x="101.6" y="101.6"/>
|
||||
<pinref part="RN6" gate="D" pin="2"/>
|
||||
<wire x1="101.6" y1="96.52" x2="101.6" y2="91.44" width="0.1524" layer="91"/>
|
||||
<junction x="101.6" y="96.52"/>
|
||||
<pinref part="RN5" gate="A" pin="2"/>
|
||||
<wire x1="101.6" y1="91.44" x2="101.6" y2="86.36" width="0.1524" layer="91"/>
|
||||
<junction x="101.6" y="91.44"/>
|
||||
<pinref part="RN5" gate="B" pin="2"/>
|
||||
<wire x1="101.6" y1="86.36" x2="101.6" y2="81.28" width="0.1524" layer="91"/>
|
||||
<junction x="101.6" y="86.36"/>
|
||||
<pinref part="RN5" gate="C" pin="2"/>
|
||||
<wire x1="101.6" y1="81.28" x2="101.6" y2="76.2" width="0.1524" layer="91"/>
|
||||
<junction x="101.6" y="81.28"/>
|
||||
<pinref part="RN5" gate="D" pin="2"/>
|
||||
<wire x1="101.6" y1="76.2" x2="101.6" y2="71.12" width="0.1524" layer="91"/>
|
||||
<junction x="101.6" y="76.2"/>
|
||||
<wire x1="101.6" y1="71.12" x2="101.6" y2="66.04" width="0.1524" layer="91"/>
|
||||
<junction x="101.6" y="71.12"/>
|
||||
<junction x="101.6" y="66.04"/>
|
||||
<pinref part="RN8" gate="D" pin="2"/>
|
||||
<wire x1="101.6" y1="127" x2="101.6" y2="132.08" width="0.1524" layer="91"/>
|
||||
<junction x="101.6" y="127"/>
|
||||
<pinref part="RN8" gate="C" pin="2"/>
|
||||
<wire x1="101.6" y1="132.08" x2="101.6" y2="137.16" width="0.1524" layer="91"/>
|
||||
<junction x="101.6" y="132.08"/>
|
||||
<pinref part="RN8" gate="B" pin="2"/>
|
||||
<wire x1="101.6" y1="137.16" x2="101.6" y2="142.24" width="0.1524" layer="91"/>
|
||||
<junction x="101.6" y="137.16"/>
|
||||
<pinref part="RN8" gate="A" pin="2"/>
|
||||
<wire x1="101.6" y1="142.24" x2="101.6" y2="147.32" width="0.1524" layer="91"/>
|
||||
<junction x="101.6" y="142.24"/>
|
||||
<pinref part="RN9" gate="D" pin="2"/>
|
||||
<wire x1="101.6" y1="147.32" x2="101.6" y2="152.4" width="0.1524" layer="91"/>
|
||||
<junction x="101.6" y="147.32"/>
|
||||
<pinref part="RN9" gate="C" pin="2"/>
|
||||
<wire x1="101.6" y1="152.4" x2="101.6" y2="157.48" width="0.1524" layer="91"/>
|
||||
<junction x="101.6" y="152.4"/>
|
||||
<pinref part="RN9" gate="B" pin="2"/>
|
||||
<wire x1="101.6" y1="157.48" x2="101.6" y2="162.56" width="0.1524" layer="91"/>
|
||||
<junction x="101.6" y="157.48"/>
|
||||
<pinref part="RN9" gate="A" pin="2"/>
|
||||
<wire x1="101.6" y1="162.56" x2="101.6" y2="167.64" width="0.1524" layer="91"/>
|
||||
<junction x="101.6" y="162.56"/>
|
||||
</segment>
|
||||
</net>
|
||||
<net name="VCC" class="0">
|
||||
<segment>
|
||||
<pinref part="C16" gate="G$1" pin="1"/>
|
||||
<wire x1="119.38" y1="27.94" x2="127" y2="27.94" width="0.1524" layer="91"/>
|
||||
<wire x1="127" y1="27.94" x2="127" y2="22.86" width="0.1524" layer="91"/>
|
||||
<wire x1="127" y1="27.94" x2="134.62" y2="27.94" width="0.1524" layer="91"/>
|
||||
<junction x="127" y="27.94"/>
|
||||
<wire x1="215.9" y1="124.46" x2="223.52" y2="124.46" width="0.1524" layer="91"/>
|
||||
<wire x1="223.52" y1="124.46" x2="223.52" y2="119.38" width="0.1524" layer="91"/>
|
||||
<wire x1="223.52" y1="124.46" x2="231.14" y2="124.46" width="0.1524" layer="91"/>
|
||||
<junction x="223.52" y="124.46"/>
|
||||
<pinref part="C17" gate="G$1" pin="1"/>
|
||||
<wire x1="134.62" y1="27.94" x2="142.24" y2="27.94" width="0.1524" layer="91"/>
|
||||
<wire x1="142.24" y1="27.94" x2="142.24" y2="22.86" width="0.1524" layer="91"/>
|
||||
<wire x1="231.14" y1="124.46" x2="238.76" y2="124.46" width="0.1524" layer="91"/>
|
||||
<wire x1="238.76" y1="124.46" x2="238.76" y2="119.38" width="0.1524" layer="91"/>
|
||||
<pinref part="IC5" gate="P" pin="VCC"/>
|
||||
<junction x="119.38" y="27.94"/>
|
||||
<junction x="215.9" y="124.46"/>
|
||||
<pinref part="IC6" gate="P" pin="VCC"/>
|
||||
<junction x="134.62" y="27.94"/>
|
||||
<label x="142.24" y="27.94" size="1.778" layer="95"/>
|
||||
<junction x="231.14" y="124.46"/>
|
||||
<label x="238.76" y="124.46" size="1.778" layer="95"/>
|
||||
<pinref part="IC4" gate="P" pin="VCC"/>
|
||||
<wire x1="119.38" y1="27.94" x2="111.76" y2="27.94" width="0.1524" layer="91"/>
|
||||
<wire x1="215.9" y1="124.46" x2="208.28" y2="124.46" width="0.1524" layer="91"/>
|
||||
<pinref part="IC8" gate="P" pin="VCC"/>
|
||||
<wire x1="111.76" y1="27.94" x2="104.14" y2="27.94" width="0.1524" layer="91"/>
|
||||
<wire x1="104.14" y1="27.94" x2="99.06" y2="27.94" width="0.1524" layer="91"/>
|
||||
<junction x="104.14" y="27.94"/>
|
||||
<wire x1="208.28" y1="124.46" x2="200.66" y2="124.46" width="0.1524" layer="91"/>
|
||||
<wire x1="200.66" y1="124.46" x2="195.58" y2="124.46" width="0.1524" layer="91"/>
|
||||
<junction x="200.66" y="124.46"/>
|
||||
<pinref part="IC9" gate="P" pin="VCC"/>
|
||||
<wire x1="99.06" y1="27.94" x2="93.98" y2="27.94" width="0.1524" layer="91"/>
|
||||
<wire x1="93.98" y1="27.94" x2="88.9" y2="27.94" width="0.1524" layer="91"/>
|
||||
<junction x="93.98" y="27.94"/>
|
||||
<wire x1="195.58" y1="124.46" x2="190.5" y2="124.46" width="0.1524" layer="91"/>
|
||||
<wire x1="190.5" y1="124.46" x2="185.42" y2="124.46" width="0.1524" layer="91"/>
|
||||
<junction x="190.5" y="124.46"/>
|
||||
<pinref part="IC11" gate="P" pin="VCC"/>
|
||||
<wire x1="88.9" y1="27.94" x2="83.82" y2="27.94" width="0.1524" layer="91"/>
|
||||
<wire x1="83.82" y1="27.94" x2="78.74" y2="27.94" width="0.1524" layer="91"/>
|
||||
<junction x="83.82" y="27.94"/>
|
||||
<wire x1="185.42" y1="124.46" x2="180.34" y2="124.46" width="0.1524" layer="91"/>
|
||||
<wire x1="180.34" y1="124.46" x2="175.26" y2="124.46" width="0.1524" layer="91"/>
|
||||
<junction x="180.34" y="124.46"/>
|
||||
<pinref part="IC10" gate="P" pin="VCC"/>
|
||||
<wire x1="78.74" y1="27.94" x2="73.66" y2="27.94" width="0.1524" layer="91"/>
|
||||
<wire x1="73.66" y1="27.94" x2="68.58" y2="27.94" width="0.1524" layer="91"/>
|
||||
<junction x="73.66" y="27.94"/>
|
||||
<wire x1="175.26" y1="124.46" x2="170.18" y2="124.46" width="0.1524" layer="91"/>
|
||||
<wire x1="170.18" y1="124.46" x2="165.1" y2="124.46" width="0.1524" layer="91"/>
|
||||
<junction x="170.18" y="124.46"/>
|
||||
<pinref part="C28" gate="G$1" pin="1"/>
|
||||
<wire x1="68.58" y1="27.94" x2="63.5" y2="27.94" width="0.1524" layer="91"/>
|
||||
<wire x1="68.58" y1="22.86" x2="68.58" y2="27.94" width="0.1524" layer="91"/>
|
||||
<junction x="68.58" y="27.94"/>
|
||||
<wire x1="165.1" y1="124.46" x2="160.02" y2="124.46" width="0.1524" layer="91"/>
|
||||
<wire x1="165.1" y1="119.38" x2="165.1" y2="124.46" width="0.1524" layer="91"/>
|
||||
<junction x="165.1" y="124.46"/>
|
||||
<pinref part="C27" gate="G$1" pin="1"/>
|
||||
<wire x1="78.74" y1="22.86" x2="78.74" y2="27.94" width="0.1524" layer="91"/>
|
||||
<junction x="78.74" y="27.94"/>
|
||||
<wire x1="175.26" y1="119.38" x2="175.26" y2="124.46" width="0.1524" layer="91"/>
|
||||
<junction x="175.26" y="124.46"/>
|
||||
<pinref part="C26" gate="G$1" pin="1"/>
|
||||
<wire x1="88.9" y1="22.86" x2="88.9" y2="27.94" width="0.1524" layer="91"/>
|
||||
<junction x="88.9" y="27.94"/>
|
||||
<wire x1="185.42" y1="119.38" x2="185.42" y2="124.46" width="0.1524" layer="91"/>
|
||||
<junction x="185.42" y="124.46"/>
|
||||
<pinref part="C25" gate="G$1" pin="1"/>
|
||||
<wire x1="99.06" y1="22.86" x2="99.06" y2="27.94" width="0.1524" layer="91"/>
|
||||
<junction x="99.06" y="27.94"/>
|
||||
<wire x1="195.58" y1="119.38" x2="195.58" y2="124.46" width="0.1524" layer="91"/>
|
||||
<junction x="195.58" y="124.46"/>
|
||||
<pinref part="C24" gate="G$1" pin="1"/>
|
||||
<wire x1="111.76" y1="22.86" x2="111.76" y2="27.94" width="0.1524" layer="91"/>
|
||||
<junction x="111.76" y="27.94"/>
|
||||
<wire x1="208.28" y1="119.38" x2="208.28" y2="124.46" width="0.1524" layer="91"/>
|
||||
<junction x="208.28" y="124.46"/>
|
||||
</segment>
|
||||
</net>
|
||||
<net name="AMIGA_BUS_ENABLE_HIGH" class="0">
|
||||
|
@ -13867,6 +13916,11 @@ Source: RS Component / Phycomp</description>
|
|||
<wire x1="40.64" y1="83.82" x2="71.12" y2="83.82" width="0.1524" layer="91"/>
|
||||
<label x="53.34" y="83.82" size="1.27" layer="95"/>
|
||||
</segment>
|
||||
<segment>
|
||||
<pinref part="RN4" gate="D" pin="1"/>
|
||||
<wire x1="91.44" y1="50.8" x2="86.36" y2="50.8" width="0.1524" layer="91"/>
|
||||
<label x="88.9" y="50.8" size="1.27" layer="95" rot="R180"/>
|
||||
</segment>
|
||||
</net>
|
||||
<net name="A22" class="0">
|
||||
<segment>
|
||||
|
@ -13874,6 +13928,11 @@ Source: RS Component / Phycomp</description>
|
|||
<wire x1="40.64" y1="81.28" x2="71.12" y2="81.28" width="0.1524" layer="91"/>
|
||||
<label x="53.34" y="81.28" size="1.27" layer="95"/>
|
||||
</segment>
|
||||
<segment>
|
||||
<pinref part="RN4" gate="C" pin="1"/>
|
||||
<wire x1="91.44" y1="55.88" x2="86.36" y2="55.88" width="0.1524" layer="91"/>
|
||||
<label x="88.9" y="55.88" size="1.27" layer="95" rot="R180"/>
|
||||
</segment>
|
||||
</net>
|
||||
<net name="A21" class="0">
|
||||
<segment>
|
||||
|
@ -13881,6 +13940,11 @@ Source: RS Component / Phycomp</description>
|
|||
<wire x1="40.64" y1="78.74" x2="71.12" y2="78.74" width="0.1524" layer="91"/>
|
||||
<label x="53.34" y="78.74" size="1.27" layer="95"/>
|
||||
</segment>
|
||||
<segment>
|
||||
<pinref part="RN4" gate="B" pin="1"/>
|
||||
<wire x1="91.44" y1="60.96" x2="86.36" y2="60.96" width="0.1524" layer="91"/>
|
||||
<label x="88.9" y="60.96" size="1.27" layer="95" rot="R180"/>
|
||||
</segment>
|
||||
</net>
|
||||
<net name="A20" class="0">
|
||||
<segment>
|
||||
|
@ -13888,6 +13952,11 @@ Source: RS Component / Phycomp</description>
|
|||
<wire x1="40.64" y1="76.2" x2="71.12" y2="76.2" width="0.1524" layer="91"/>
|
||||
<label x="53.34" y="76.2" size="1.27" layer="95"/>
|
||||
</segment>
|
||||
<segment>
|
||||
<pinref part="RN4" gate="A" pin="1"/>
|
||||
<wire x1="91.44" y1="66.04" x2="86.36" y2="66.04" width="0.1524" layer="91"/>
|
||||
<label x="88.9" y="66.04" size="1.27" layer="95" rot="R180"/>
|
||||
</segment>
|
||||
</net>
|
||||
<net name="A19" class="0">
|
||||
<segment>
|
||||
|
@ -13895,6 +13964,11 @@ Source: RS Component / Phycomp</description>
|
|||
<wire x1="40.64" y1="73.66" x2="71.12" y2="73.66" width="0.1524" layer="91"/>
|
||||
<label x="53.34" y="73.66" size="1.27" layer="95"/>
|
||||
</segment>
|
||||
<segment>
|
||||
<pinref part="RN5" gate="D" pin="1"/>
|
||||
<wire x1="91.44" y1="71.12" x2="86.36" y2="71.12" width="0.1524" layer="91"/>
|
||||
<label x="88.9" y="71.12" size="1.27" layer="95" rot="R180"/>
|
||||
</segment>
|
||||
</net>
|
||||
<net name="A18" class="0">
|
||||
<segment>
|
||||
|
@ -13902,6 +13976,11 @@ Source: RS Component / Phycomp</description>
|
|||
<wire x1="40.64" y1="71.12" x2="71.12" y2="71.12" width="0.1524" layer="91"/>
|
||||
<label x="53.34" y="71.12" size="1.27" layer="95"/>
|
||||
</segment>
|
||||
<segment>
|
||||
<pinref part="RN5" gate="C" pin="1"/>
|
||||
<wire x1="91.44" y1="76.2" x2="86.36" y2="76.2" width="0.1524" layer="91"/>
|
||||
<label x="88.9" y="76.2" size="1.27" layer="95" rot="R180"/>
|
||||
</segment>
|
||||
</net>
|
||||
<net name="A17" class="0">
|
||||
<segment>
|
||||
|
@ -13909,6 +13988,11 @@ Source: RS Component / Phycomp</description>
|
|||
<wire x1="40.64" y1="68.58" x2="71.12" y2="68.58" width="0.1524" layer="91"/>
|
||||
<label x="53.34" y="68.58" size="1.27" layer="95"/>
|
||||
</segment>
|
||||
<segment>
|
||||
<pinref part="RN5" gate="B" pin="1"/>
|
||||
<wire x1="91.44" y1="81.28" x2="86.36" y2="81.28" width="0.1524" layer="91"/>
|
||||
<label x="88.9" y="81.28" size="1.27" layer="95" rot="R180"/>
|
||||
</segment>
|
||||
</net>
|
||||
<net name="R/W_00" class="0">
|
||||
<segment>
|
||||
|
@ -13972,6 +14056,11 @@ Source: RS Component / Phycomp</description>
|
|||
<wire x1="40.64" y1="124.46" x2="71.12" y2="124.46" width="0.1524" layer="91"/>
|
||||
<label x="53.34" y="124.46" size="1.27" layer="95"/>
|
||||
</segment>
|
||||
<segment>
|
||||
<pinref part="RN5" gate="A" pin="1"/>
|
||||
<wire x1="91.44" y1="86.36" x2="86.36" y2="86.36" width="0.1524" layer="91"/>
|
||||
<label x="88.9" y="86.36" size="1.27" layer="95" rot="R180"/>
|
||||
</segment>
|
||||
</net>
|
||||
<net name="A15" class="0">
|
||||
<segment>
|
||||
|
@ -13979,6 +14068,11 @@ Source: RS Component / Phycomp</description>
|
|||
<wire x1="40.64" y1="121.92" x2="71.12" y2="121.92" width="0.1524" layer="91"/>
|
||||
<label x="53.34" y="121.92" size="1.27" layer="95"/>
|
||||
</segment>
|
||||
<segment>
|
||||
<pinref part="RN6" gate="D" pin="1"/>
|
||||
<wire x1="91.44" y1="91.44" x2="86.36" y2="91.44" width="0.1524" layer="91"/>
|
||||
<label x="88.9" y="91.44" size="1.27" layer="95" rot="R180"/>
|
||||
</segment>
|
||||
</net>
|
||||
<net name="A14" class="0">
|
||||
<segment>
|
||||
|
@ -13986,6 +14080,11 @@ Source: RS Component / Phycomp</description>
|
|||
<wire x1="40.64" y1="119.38" x2="71.12" y2="119.38" width="0.1524" layer="91"/>
|
||||
<label x="53.34" y="119.38" size="1.27" layer="95"/>
|
||||
</segment>
|
||||
<segment>
|
||||
<pinref part="RN6" gate="C" pin="1"/>
|
||||
<wire x1="91.44" y1="96.52" x2="86.36" y2="96.52" width="0.1524" layer="91"/>
|
||||
<label x="88.9" y="96.52" size="1.27" layer="95" rot="R180"/>
|
||||
</segment>
|
||||
</net>
|
||||
<net name="A13" class="0">
|
||||
<segment>
|
||||
|
@ -13993,6 +14092,11 @@ Source: RS Component / Phycomp</description>
|
|||
<wire x1="40.64" y1="116.84" x2="71.12" y2="116.84" width="0.1524" layer="91"/>
|
||||
<label x="53.34" y="116.84" size="1.27" layer="95"/>
|
||||
</segment>
|
||||
<segment>
|
||||
<pinref part="RN6" gate="B" pin="1"/>
|
||||
<wire x1="91.44" y1="101.6" x2="86.36" y2="101.6" width="0.1524" layer="91"/>
|
||||
<label x="88.9" y="101.6" size="1.27" layer="95" rot="R180"/>
|
||||
</segment>
|
||||
</net>
|
||||
<net name="A12" class="0">
|
||||
<segment>
|
||||
|
@ -14000,6 +14104,11 @@ Source: RS Component / Phycomp</description>
|
|||
<wire x1="40.64" y1="114.3" x2="71.12" y2="114.3" width="0.1524" layer="91"/>
|
||||
<label x="53.34" y="114.3" size="1.27" layer="95"/>
|
||||
</segment>
|
||||
<segment>
|
||||
<pinref part="RN6" gate="A" pin="1"/>
|
||||
<wire x1="91.44" y1="106.68" x2="86.36" y2="106.68" width="0.1524" layer="91"/>
|
||||
<label x="88.9" y="106.68" size="1.27" layer="95" rot="R180"/>
|
||||
</segment>
|
||||
</net>
|
||||
<net name="A11" class="0">
|
||||
<segment>
|
||||
|
@ -14007,6 +14116,11 @@ Source: RS Component / Phycomp</description>
|
|||
<wire x1="40.64" y1="111.76" x2="71.12" y2="111.76" width="0.1524" layer="91"/>
|
||||
<label x="53.34" y="111.76" size="1.27" layer="95"/>
|
||||
</segment>
|
||||
<segment>
|
||||
<pinref part="RN7" gate="D" pin="1"/>
|
||||
<wire x1="91.44" y1="111.76" x2="86.36" y2="111.76" width="0.1524" layer="91"/>
|
||||
<label x="88.9" y="111.76" size="1.27" layer="95" rot="R180"/>
|
||||
</segment>
|
||||
</net>
|
||||
<net name="A10" class="0">
|
||||
<segment>
|
||||
|
@ -14014,6 +14128,11 @@ Source: RS Component / Phycomp</description>
|
|||
<wire x1="40.64" y1="109.22" x2="71.12" y2="109.22" width="0.1524" layer="91"/>
|
||||
<label x="53.34" y="109.22" size="1.27" layer="95"/>
|
||||
</segment>
|
||||
<segment>
|
||||
<pinref part="RN7" gate="C" pin="1"/>
|
||||
<wire x1="91.44" y1="116.84" x2="86.36" y2="116.84" width="0.1524" layer="91"/>
|
||||
<label x="88.9" y="116.84" size="1.27" layer="95" rot="R180"/>
|
||||
</segment>
|
||||
</net>
|
||||
<net name="A9" class="0">
|
||||
<segment>
|
||||
|
@ -14021,6 +14140,11 @@ Source: RS Component / Phycomp</description>
|
|||
<wire x1="40.64" y1="106.68" x2="71.12" y2="106.68" width="0.1524" layer="91"/>
|
||||
<label x="53.34" y="106.68" size="1.27" layer="95"/>
|
||||
</segment>
|
||||
<segment>
|
||||
<pinref part="RN7" gate="B" pin="1"/>
|
||||
<wire x1="91.44" y1="121.92" x2="86.36" y2="121.92" width="0.1524" layer="91"/>
|
||||
<label x="88.9" y="121.92" size="1.27" layer="95" rot="R180"/>
|
||||
</segment>
|
||||
</net>
|
||||
<net name="A5" class="0">
|
||||
<segment>
|
||||
|
@ -14028,6 +14152,11 @@ Source: RS Component / Phycomp</description>
|
|||
<wire x1="40.64" y1="147.32" x2="71.12" y2="147.32" width="0.1524" layer="91"/>
|
||||
<label x="53.34" y="147.32" size="1.27" layer="95"/>
|
||||
</segment>
|
||||
<segment>
|
||||
<pinref part="RN8" gate="B" pin="1"/>
|
||||
<wire x1="91.44" y1="142.24" x2="86.36" y2="142.24" width="0.1524" layer="91"/>
|
||||
<label x="88.9" y="142.24" size="1.27" layer="95" rot="R180"/>
|
||||
</segment>
|
||||
</net>
|
||||
<net name="A6" class="0">
|
||||
<segment>
|
||||
|
@ -14035,6 +14164,11 @@ Source: RS Component / Phycomp</description>
|
|||
<wire x1="40.64" y1="149.86" x2="71.12" y2="149.86" width="0.1524" layer="91"/>
|
||||
<label x="53.34" y="149.86" size="1.27" layer="95"/>
|
||||
</segment>
|
||||
<segment>
|
||||
<pinref part="RN8" gate="C" pin="1"/>
|
||||
<wire x1="91.44" y1="137.16" x2="86.36" y2="137.16" width="0.1524" layer="91"/>
|
||||
<label x="88.9" y="137.16" size="1.27" layer="95" rot="R180"/>
|
||||
</segment>
|
||||
</net>
|
||||
<net name="A7" class="0">
|
||||
<segment>
|
||||
|
@ -14042,6 +14176,11 @@ Source: RS Component / Phycomp</description>
|
|||
<wire x1="40.64" y1="152.4" x2="71.12" y2="152.4" width="0.1524" layer="91"/>
|
||||
<label x="53.34" y="152.4" size="1.27" layer="95"/>
|
||||
</segment>
|
||||
<segment>
|
||||
<pinref part="RN8" gate="D" pin="1"/>
|
||||
<wire x1="91.44" y1="132.08" x2="86.36" y2="132.08" width="0.1524" layer="91"/>
|
||||
<label x="88.9" y="132.08" size="1.27" layer="95" rot="R180"/>
|
||||
</segment>
|
||||
</net>
|
||||
<net name="A8" class="0">
|
||||
<segment>
|
||||
|
@ -14049,6 +14188,11 @@ Source: RS Component / Phycomp</description>
|
|||
<wire x1="40.64" y1="154.94" x2="71.12" y2="154.94" width="0.1524" layer="91"/>
|
||||
<label x="53.34" y="154.94" size="1.27" layer="95"/>
|
||||
</segment>
|
||||
<segment>
|
||||
<pinref part="RN7" gate="A" pin="1"/>
|
||||
<wire x1="91.44" y1="127" x2="86.36" y2="127" width="0.1524" layer="91"/>
|
||||
<label x="88.9" y="127" size="1.27" layer="95" rot="R180"/>
|
||||
</segment>
|
||||
</net>
|
||||
<net name="AMIGA_A4" class="0">
|
||||
<segment>
|
||||
|
@ -14296,6 +14440,11 @@ Source: RS Component / Phycomp</description>
|
|||
<wire x1="40.64" y1="157.48" x2="71.12" y2="157.48" width="0.1524" layer="91"/>
|
||||
<label x="53.34" y="157.48" size="1.27" layer="95"/>
|
||||
</segment>
|
||||
<segment>
|
||||
<pinref part="RN8" gate="A" pin="1"/>
|
||||
<wire x1="91.44" y1="147.32" x2="86.36" y2="147.32" width="0.1524" layer="91"/>
|
||||
<label x="88.9" y="147.32" size="1.27" layer="95" rot="R180"/>
|
||||
</segment>
|
||||
</net>
|
||||
<net name="A3" class="0">
|
||||
<segment>
|
||||
|
@ -14303,6 +14452,11 @@ Source: RS Component / Phycomp</description>
|
|||
<wire x1="40.64" y1="160.02" x2="71.12" y2="160.02" width="0.1524" layer="91"/>
|
||||
<label x="53.34" y="160.02" size="1.27" layer="95"/>
|
||||
</segment>
|
||||
<segment>
|
||||
<pinref part="RN9" gate="D" pin="1"/>
|
||||
<wire x1="91.44" y1="152.4" x2="86.36" y2="152.4" width="0.1524" layer="91"/>
|
||||
<label x="88.9" y="152.4" size="1.27" layer="95" rot="R180"/>
|
||||
</segment>
|
||||
</net>
|
||||
<net name="A2" class="0">
|
||||
<segment>
|
||||
|
@ -14310,6 +14464,11 @@ Source: RS Component / Phycomp</description>
|
|||
<wire x1="40.64" y1="162.56" x2="71.12" y2="162.56" width="0.1524" layer="91"/>
|
||||
<label x="53.34" y="162.56" size="1.27" layer="95"/>
|
||||
</segment>
|
||||
<segment>
|
||||
<pinref part="RN9" gate="C" pin="1"/>
|
||||
<wire x1="91.44" y1="157.48" x2="86.36" y2="157.48" width="0.1524" layer="91"/>
|
||||
<label x="88.9" y="157.48" size="1.27" layer="95" rot="R180"/>
|
||||
</segment>
|
||||
</net>
|
||||
<net name="A1" class="0">
|
||||
<segment>
|
||||
|
@ -14317,6 +14476,11 @@ Source: RS Component / Phycomp</description>
|
|||
<wire x1="40.64" y1="165.1" x2="71.12" y2="165.1" width="0.1524" layer="91"/>
|
||||
<label x="53.34" y="165.1" size="1.27" layer="95"/>
|
||||
</segment>
|
||||
<segment>
|
||||
<pinref part="RN9" gate="B" pin="1"/>
|
||||
<wire x1="91.44" y1="162.56" x2="86.36" y2="162.56" width="0.1524" layer="91"/>
|
||||
<label x="88.9" y="162.56" size="1.27" layer="95" rot="R180"/>
|
||||
</segment>
|
||||
</net>
|
||||
<net name="AMIGA_D7" class="0">
|
||||
<segment>
|
||||
|
@ -14406,6 +14570,69 @@ Source: RS Component / Phycomp</description>
|
|||
<label x="45.72" y="86.36" size="1.27" layer="95"/>
|
||||
</segment>
|
||||
</net>
|
||||
<net name="A24" class="0">
|
||||
<segment>
|
||||
<wire x1="86.36" y1="45.72" x2="91.44" y2="45.72" width="0.1524" layer="91"/>
|
||||
<pinref part="RN1" gate="A" pin="1"/>
|
||||
<label x="88.9" y="45.72" size="1.27" layer="95" rot="R180"/>
|
||||
</segment>
|
||||
</net>
|
||||
<net name="A25" class="0">
|
||||
<segment>
|
||||
<wire x1="86.36" y1="40.64" x2="91.44" y2="40.64" width="0.1524" layer="91"/>
|
||||
<pinref part="RN1" gate="B" pin="1"/>
|
||||
<label x="88.9" y="40.64" size="1.27" layer="95" rot="R180"/>
|
||||
</segment>
|
||||
</net>
|
||||
<net name="A26" class="0">
|
||||
<segment>
|
||||
<wire x1="86.36" y1="35.56" x2="91.44" y2="35.56" width="0.1524" layer="91"/>
|
||||
<pinref part="RN1" gate="C" pin="1"/>
|
||||
<label x="88.9" y="35.56" size="1.27" layer="95" rot="R180"/>
|
||||
</segment>
|
||||
</net>
|
||||
<net name="A28" class="0">
|
||||
<segment>
|
||||
<wire x1="86.36" y1="25.4" x2="91.44" y2="25.4" width="0.1524" layer="91"/>
|
||||
<pinref part="RN2" gate="A" pin="1"/>
|
||||
<label x="88.9" y="25.4" size="1.27" layer="95" rot="R180"/>
|
||||
</segment>
|
||||
</net>
|
||||
<net name="A29" class="0">
|
||||
<segment>
|
||||
<wire x1="86.36" y1="20.32" x2="91.44" y2="20.32" width="0.1524" layer="91"/>
|
||||
<pinref part="RN2" gate="B" pin="1"/>
|
||||
<label x="88.9" y="20.32" size="1.27" layer="95" rot="R180"/>
|
||||
</segment>
|
||||
</net>
|
||||
<net name="A30" class="0">
|
||||
<segment>
|
||||
<wire x1="86.36" y1="15.24" x2="91.44" y2="15.24" width="0.1524" layer="91"/>
|
||||
<pinref part="RN2" gate="C" pin="1"/>
|
||||
<label x="88.9" y="15.24" size="1.27" layer="95" rot="R180"/>
|
||||
</segment>
|
||||
</net>
|
||||
<net name="A31" class="0">
|
||||
<segment>
|
||||
<wire x1="86.36" y1="10.16" x2="91.44" y2="10.16" width="0.1524" layer="91"/>
|
||||
<pinref part="RN2" gate="D" pin="1"/>
|
||||
<label x="88.9" y="10.16" size="1.27" layer="95" rot="R180"/>
|
||||
</segment>
|
||||
</net>
|
||||
<net name="A27" class="0">
|
||||
<segment>
|
||||
<wire x1="86.36" y1="30.48" x2="91.44" y2="30.48" width="0.1524" layer="91"/>
|
||||
<pinref part="RN1" gate="D" pin="1"/>
|
||||
<label x="88.9" y="30.48" size="1.27" layer="95" rot="R180"/>
|
||||
</segment>
|
||||
</net>
|
||||
<net name="A0" class="0">
|
||||
<segment>
|
||||
<pinref part="RN9" gate="A" pin="1"/>
|
||||
<wire x1="91.44" y1="167.64" x2="86.36" y2="167.64" width="0.1524" layer="91"/>
|
||||
<label x="88.9" y="167.64" size="1.27" layer="95" rot="R180"/>
|
||||
</segment>
|
||||
</net>
|
||||
</nets>
|
||||
</sheet>
|
||||
</sheets>
|
||||
|
|
8260
Layout and PCB/68030-TK-V09d.b#1
Normal file
8260
Layout and PCB/68030-TK-V09d.b#1
Normal file
File diff suppressed because it is too large
Load Diff
8278
Layout and PCB/68030-TK-V09d.b#2
Normal file
8278
Layout and PCB/68030-TK-V09d.b#2
Normal file
File diff suppressed because it is too large
Load Diff
8010
Layout and PCB/68030-TK-V09d.b#3
Normal file
8010
Layout and PCB/68030-TK-V09d.b#3
Normal file
File diff suppressed because it is too large
Load Diff
8014
Layout and PCB/68030-TK-V09d.b#4
Normal file
8014
Layout and PCB/68030-TK-V09d.b#4
Normal file
File diff suppressed because it is too large
Load Diff
8003
Layout and PCB/68030-TK-V09d.b#5
Normal file
8003
Layout and PCB/68030-TK-V09d.b#5
Normal file
File diff suppressed because it is too large
Load Diff
8250
Layout and PCB/68030-TK-V09d.b#6
Normal file
8250
Layout and PCB/68030-TK-V09d.b#6
Normal file
File diff suppressed because it is too large
Load Diff
8294
Layout and PCB/68030-TK-V09d.b#7
Normal file
8294
Layout and PCB/68030-TK-V09d.b#7
Normal file
File diff suppressed because it is too large
Load Diff
8050
Layout and PCB/68030-TK-V09d.b#8
Normal file
8050
Layout and PCB/68030-TK-V09d.b#8
Normal file
File diff suppressed because it is too large
Load Diff
8277
Layout and PCB/68030-TK-V09d.b#9
Normal file
8277
Layout and PCB/68030-TK-V09d.b#9
Normal file
File diff suppressed because it is too large
Load Diff
8012
Layout and PCB/68030-TK-V09d.brd
Normal file
8012
Layout and PCB/68030-TK-V09d.brd
Normal file
File diff suppressed because it is too large
Load Diff
14634
Layout and PCB/68030-TK-V09d.s#1
Normal file
14634
Layout and PCB/68030-TK-V09d.s#1
Normal file
File diff suppressed because it is too large
Load Diff
14641
Layout and PCB/68030-TK-V09d.s#2
Normal file
14641
Layout and PCB/68030-TK-V09d.s#2
Normal file
File diff suppressed because it is too large
Load Diff
14641
Layout and PCB/68030-TK-V09d.s#3
Normal file
14641
Layout and PCB/68030-TK-V09d.s#3
Normal file
File diff suppressed because it is too large
Load Diff
14637
Layout and PCB/68030-TK-V09d.sch
Normal file
14637
Layout and PCB/68030-TK-V09d.sch
Normal file
File diff suppressed because it is too large
Load Diff
|
@ -15,6 +15,7 @@ entity BUS68030 is
|
|||
port(
|
||||
AS_030: inout std_logic ;
|
||||
AS_000: inout std_logic ;
|
||||
RW_000: inout std_logic ;
|
||||
DS_030: inout std_logic ;
|
||||
UDS_000: inout std_logic;
|
||||
LDS_000: inout std_logic;
|
||||
|
@ -35,7 +36,7 @@ port(
|
|||
FPU_CS: out std_logic ;
|
||||
IPL_030: out std_logic_vector ( 2 downto 0 );
|
||||
IPL: in std_logic_vector ( 2 downto 0 );
|
||||
DSACK: inout std_logic_vector ( 1 downto 0 );
|
||||
DSACK1: inout std_logic;
|
||||
DTACK: inout std_logic ;
|
||||
AVEC: out std_logic ;
|
||||
AVEC_EXP: inout std_logic ; --this is a "free pin"
|
||||
|
@ -44,7 +45,7 @@ port(
|
|||
VMA: out std_logic ;
|
||||
RST: in std_logic ;
|
||||
RESET: out std_logic ;
|
||||
RW: in std_logic ;
|
||||
RW: inout std_logic ;
|
||||
-- D: inout std_logic_vector ( 31 downto 28 );
|
||||
FC: in std_logic_vector ( 1 downto 0 );
|
||||
AMIGA_BUS_ENABLE: out std_logic ;
|
||||
|
@ -94,6 +95,8 @@ signal SM_AMIGA : AMIGA_STATE;
|
|||
|
||||
--signal Dout:STD_LOGIC_VECTOR(3 downto 0) := "0000";
|
||||
signal AS_000_INT:STD_LOGIC := '1';
|
||||
signal RW_000_INT:STD_LOGIC := '1';
|
||||
signal AMIGA_BUS_ENABLE_INT:STD_LOGIC := '1';
|
||||
signal AS_030_000_SYNC:STD_LOGIC := '1';
|
||||
signal BGACK_030_INT:STD_LOGIC := '1';
|
||||
signal BGACK_030_INT_D:STD_LOGIC := '1';
|
||||
|
@ -140,8 +143,8 @@ begin
|
|||
end if;
|
||||
end if;
|
||||
end process neg_clk;
|
||||
--the clocks
|
||||
clk: process(RST, CLK_OSZI)
|
||||
--the state machine
|
||||
state_machine: process(RST, CLK_OSZI)
|
||||
begin
|
||||
if(RST = '0' ) then
|
||||
CLK_CNT_P <= "00";
|
||||
|
@ -161,6 +164,25 @@ begin
|
|||
CLK_000_D6 <= '1';
|
||||
VPA_D <= '1';
|
||||
DTACK_D0 <= '1';
|
||||
SM_AMIGA <= IDLE_P;
|
||||
AS_000_INT <= '1';
|
||||
RW_000_INT <= '1';
|
||||
AS_030_000_SYNC <= '1';
|
||||
UDS_000_INT <= '1';
|
||||
LDS_000_INT <= '1';
|
||||
CLK_REF <= "00";
|
||||
VMA_INT <= '1';
|
||||
FPU_CS_INT <= '1';
|
||||
BG_000 <= '1';
|
||||
BGACK_030_INT <= '1';
|
||||
BGACK_030_INT_D <= '1';
|
||||
DSACK1_INT <= '1';
|
||||
IPL_030 <= "111";
|
||||
AS_000_DMA <= '1';
|
||||
DS_000_DMA <= '1';
|
||||
SIZE_DMA <= "11";
|
||||
A0_DMA <= '1';
|
||||
AMIGA_BUS_ENABLE_INT <= '1';
|
||||
elsif(rising_edge(CLK_OSZI)) then
|
||||
--reset buffer
|
||||
RESET <= '1';
|
||||
|
@ -187,7 +209,7 @@ begin
|
|||
|
||||
-- the external clock to the processor is generated here
|
||||
CLK_OUT_INT <= CLK_OUT_PRE_25; --this way we know the clock of the next state: Its like looking in the future, cool!
|
||||
--delayed Clocks for edge detection
|
||||
--delayed Clocks and signals for edge detection
|
||||
CLK_000_D0 <= CLK_000;
|
||||
CLK_000_D1 <= CLK_000_D0;
|
||||
CLK_000_D2 <= CLK_000_D1;
|
||||
|
@ -198,7 +220,8 @@ begin
|
|||
DTACK_D0 <= DTACK;
|
||||
VPA_D <= VPA;
|
||||
|
||||
|
||||
--now: 68000 state machine and signals
|
||||
|
||||
-- e-clock
|
||||
if(CLK_000_D1 = '0' and CLK_000_D0 = '1') then
|
||||
case (cpu_est) is
|
||||
|
@ -223,35 +246,8 @@ begin
|
|||
null;
|
||||
end case;
|
||||
end if;
|
||||
end if;
|
||||
end process clk;
|
||||
|
||||
|
||||
--the state process
|
||||
state_machine: process(RST, CLK_OSZI)
|
||||
begin
|
||||
if(RST = '0' ) then
|
||||
SM_AMIGA <= IDLE_P;
|
||||
AS_000_INT <= '1';
|
||||
AS_030_000_SYNC <= '1';
|
||||
UDS_000_INT <= '1';
|
||||
LDS_000_INT <= '1';
|
||||
CLK_REF <= "00";
|
||||
VMA_INT <= '1';
|
||||
FPU_CS_INT <= '1';
|
||||
BG_000 <= '1';
|
||||
BGACK_030_INT <= '1';
|
||||
BGACK_030_INT_D <= '1';
|
||||
DSACK1_INT <= '1';
|
||||
IPL_030 <= "111";
|
||||
AMIGA_BUS_ENABLE <= '1' ;
|
||||
AS_000_DMA <= '1';
|
||||
DS_000_DMA <= '1';
|
||||
SIZE_DMA <= "11";
|
||||
A0_DMA <= '1';
|
||||
|
||||
elsif(rising_edge(CLK_OSZI)) then
|
||||
|
||||
|
||||
|
||||
--bgack is simple: assert as soon as Amiga asserts but hold bg_ack for one amiga-clock
|
||||
|
@ -313,24 +309,27 @@ begin
|
|||
--Amiga statemachine
|
||||
case (SM_AMIGA) is
|
||||
when IDLE_P => --68000:S0 wait for a falling edge
|
||||
--VMA_INT <= '1';
|
||||
AMIGA_BUS_ENABLE_INT <= '1';
|
||||
|
||||
|
||||
if( CLK_000_D2='0' and CLK_000_D3= '1' and AS_030_000_SYNC = '0')then
|
||||
SM_AMIGA<=IDLE_N; --go to s1
|
||||
|
||||
if(nEXP_SPACE ='1')then
|
||||
AMIGA_BUS_ENABLE_INT <= '0' ;--for now: allways on for amiga
|
||||
SM_AMIGA<=IDLE_N; --go to s1
|
||||
else -- if this a delayed expansion space detection, aboard this cycle!
|
||||
AS_030_000_SYNC <= '1';
|
||||
end if;
|
||||
end if;
|
||||
when IDLE_N => --68000:S1 place Adress on bus and wait for rising edge, on a rising CLK_000 look for a amiga adressrobe
|
||||
if(nEXP_SPACE ='1')then
|
||||
AMIGA_BUS_ENABLE <= CLK_000_D4 ;--for now: allways on for amiga
|
||||
else -- if this a delayed expansion space detection, aboard this cycle!
|
||||
AS_030_000_SYNC <= '1';
|
||||
SM_AMIGA <= IDLE_P; --aboard
|
||||
end if;
|
||||
|
||||
if(CLK_000_D0='1')then --go to s2
|
||||
SM_AMIGA <= AS_SET_P; --as for amiga set!
|
||||
RW_000_INT <= RW;
|
||||
end if;
|
||||
when AS_SET_P => --68000:S2 Amiga cycle starts here: since AS is asserted during transition to this state we simply wait here
|
||||
if(CLK_000_D4='1')then
|
||||
AS_000_INT <= '0';
|
||||
if(CLK_000_D2='1')then
|
||||
AS_000_INT <= '0';
|
||||
|
||||
if (RW='1' and DS_030 = '0') then --read: set udl/lds
|
||||
if(A0='0') then
|
||||
UDS_000_INT <= '0';
|
||||
|
@ -374,33 +373,28 @@ begin
|
|||
when DATA_FETCH_N=> --68000:S5 nothing happens here just wait for positive clock
|
||||
if(CLK_000_D0='1')then --go to s6
|
||||
SM_AMIGA<=DATA_FETCH_P;
|
||||
DSACK1_INT <='0';
|
||||
AS_030_000_SYNC <= '1'; --cycle end
|
||||
end if;
|
||||
when DATA_FETCH_P => --68000:S6: READ: here comes the data on the bus!
|
||||
if( CLK_000_D3 ='1' AND CLK_000_D4 = '0' ) then --go to s7 next 030-clock is high: dsack is sampled at the falling edge
|
||||
DSACK1_INT <='0';
|
||||
AS_030_000_SYNC <= '1'; --cycle end
|
||||
elsif( CLK_000_D0 ='0') then --go to s7 next 030-clock is high: dsack is sampled at the falling edge
|
||||
--DSACK1_INT<='0';
|
||||
--if( CLK_000_D2 ='1' AND CLK_000_D3 = '0' ) then --go to s7 next 030-clock is high: dsack is sampled at the falling edge
|
||||
|
||||
--els
|
||||
if( CLK_000_D0 ='0') then --go to s7 next 030-clock is high: dsack is sampled at the falling edge
|
||||
SM_AMIGA<=END_CYCLE_N;
|
||||
--AS_030_000_SYNC <= '1'; --cycle end
|
||||
end if;
|
||||
if(AS_030 = '1' )then
|
||||
AMIGA_BUS_ENABLE <= '1';
|
||||
end if;
|
||||
when END_CYCLE_N =>--68000:S7: Latch/Store data. Wait here for new cycle and go to IDLE on high clock
|
||||
if(AS_030 = '1' )then
|
||||
AMIGA_BUS_ENABLE <= '1';
|
||||
end if;
|
||||
if(CLK_000_D0='1' and AS_000_INT = '1' )then --go to s0
|
||||
if(CLK_000_D0='1')then --go to s0
|
||||
RW_000_INT <= '1';
|
||||
SM_AMIGA<=IDLE_P;
|
||||
end if;
|
||||
end case;
|
||||
|
||||
if(BGACK_030_INT='0')then
|
||||
--switch amiga bus on for DMA-Cycles
|
||||
AMIGA_BUS_ENABLE <= '0' ;
|
||||
AMIGA_BUS_ENABLE_INT <= '0' ;
|
||||
elsif(BGACK_030_INT_D='0' and BGACK_030_INT='1')then
|
||||
AMIGA_BUS_ENABLE <= '1' ;
|
||||
AMIGA_BUS_ENABLE_INT <= '1' ;
|
||||
end if;
|
||||
|
||||
--dma stuff
|
||||
|
@ -409,14 +403,15 @@ begin
|
|||
|
||||
--set AS_000
|
||||
if( CLK_030='0') then
|
||||
AS_000_DMA <= '0';
|
||||
AS_000_DMA <= '0';
|
||||
RW_000_INT <= RW_000;
|
||||
elsif(AS_000_DMA = '0' and CLK_030='1')then
|
||||
CLK_030_H <= '1';
|
||||
end if;
|
||||
|
||||
if(RW='1') then
|
||||
if(RW_000='1') then
|
||||
DS_000_DMA <=AS_000_DMA;
|
||||
elsif(RW='0' and CLK_030_H = '1' and CLK_030='0')then
|
||||
elsif(RW_000='0' and CLK_030_H = '1' and CLK_030='0')then
|
||||
DS_000_DMA <=AS_000_DMA; -- write: one clock delayed!
|
||||
end if;
|
||||
-- now determine the size: if both uds and lds is set its 16 bit else 8 bit!
|
||||
|
@ -447,11 +442,11 @@ begin
|
|||
--output clock assignment
|
||||
CLK_DIV_OUT <= CLK_OUT_INT;
|
||||
CLK_EXP <= CLK_OUT_INT;
|
||||
AVEC_EXP <= 'Z' when FPU_CS_INT ='1' else '0';
|
||||
|
||||
AVEC_EXP <= AMIGA_BUS_ENABLE_INT;
|
||||
AMIGA_BUS_ENABLE <= AMIGA_BUS_ENABLE_INT;
|
||||
--dma stuff
|
||||
DTACK <= 'Z' when BGACK_030_INT ='1' OR nEXP_SPACE = '1' OR AS_000_DMA ='1' else
|
||||
DSACK(1);
|
||||
DSACK1;
|
||||
AS_030 <= 'Z' when BGACK_030_INT ='1' OR nEXP_SPACE = '1' OR AS_000_DMA ='1' else
|
||||
AS_000_DMA;
|
||||
DS_030 <= 'Z' when BGACK_030_INT ='1' OR nEXP_SPACE = '1' OR AS_000_DMA ='1' else
|
||||
|
@ -494,7 +489,8 @@ begin
|
|||
--as and uds/lds
|
||||
AS_000 <= 'Z' when BGACK_030_INT ='0' else
|
||||
AS_000_INT;
|
||||
|
||||
RW_000 <= 'Z' when BGACK_030_INT ='0' else
|
||||
RW_000_INT;
|
||||
|
||||
UDS_000 <= 'Z' when BGACK_030_INT ='0' else -- output on cpu cycle
|
||||
UDS_000_INT;
|
||||
|
@ -502,9 +498,11 @@ begin
|
|||
LDS_000_INT;
|
||||
|
||||
--dsack
|
||||
DSACK(1) <= 'Z' when nEXP_SPACE = '0' else -- output on amiga cycle
|
||||
DSACK1_INT;
|
||||
DSACK(0) <= 'Z' when nEXP_SPACE = '0' else -- output on amiga cycle
|
||||
'1';
|
||||
DSACK1 <= 'Z' when nEXP_SPACE = '0' else -- output on amiga cycle
|
||||
DSACK1_INT;
|
||||
--rw
|
||||
RW <= 'Z' when BGACK_030_INT ='1' else
|
||||
RW_000_INT;
|
||||
|
||||
BGACK_030 <= BGACK_030_INT;
|
||||
end Behavioral;
|
||||
end Behavioral;
|
|
@ -1,4 +1,6 @@
|
|||
[STRATEGY-LIST]
|
||||
Normal=True, 1385910337
|
||||
[synthesis-type]
|
||||
tool=Synplify
|
||||
[STRATEGY-LIST]
|
||||
Normal=True, 1385910337
|
||||
[TOUCHED-REPORT]
|
||||
Design.tt4File=1401573640
|
||||
|
|
|
@ -9,7 +9,7 @@ Remember_Setting=1
|
|||
Open_PV_Opt=2
|
||||
Open_PV=0
|
||||
PV_IS_ACTIVE=0
|
||||
ACTIVE_SHEET=Global Constraints
|
||||
ACTIVE_SHEET=Pin Attributes
|
||||
Show_Def_Opt=2
|
||||
Show_Def_Val=1
|
||||
Expand_All_Column=0
|
||||
|
@ -18,7 +18,7 @@ Sort_Type=0
|
|||
Sort_Direction=0
|
||||
Skip_Next_Pin=0
|
||||
[Pin Attributes]
|
||||
sort_column_1=Signal/Group Name
|
||||
sort_column_-1=Type
|
||||
Type=42,no
|
||||
Signal/Group Name=209,no
|
||||
Group Members=111,no
|
||||
|
|
|
@ -12,8 +12,8 @@ EN_PinMacrocell = Yes;
|
|||
|
||||
[Revision]
|
||||
Parent = m4a5.lci;
|
||||
DATE = 05/25/2014;
|
||||
TIME = 21:04:55;
|
||||
DATE = 06/01/2014;
|
||||
TIME = 00:00:40;
|
||||
Source_Format = Pure_VHDL;
|
||||
Synthesis = Synplify;
|
||||
|
||||
|
@ -36,7 +36,6 @@ Balanced_partitioning = No;
|
|||
[Location Assignments]
|
||||
layer = OFF;
|
||||
AS_030 = Pin, 82, -, H, -;
|
||||
A_0_ = Pin, 69, -, G, -;
|
||||
A_16_ = Pin, 96, -, A, -;
|
||||
A_17_ = Pin, 59, -, F, -;
|
||||
A_18_ = Pin, 95, -, A, -;
|
||||
|
@ -46,7 +45,6 @@ BG_030 = Pin, 21, -, C, -;
|
|||
CLK_000 = Pin, 11, -, -, -;
|
||||
CLK_030 = Pin, 64, -, -, -;
|
||||
CLK_OSZI = Pin, 61, -, -, -;
|
||||
CPU_SPACE = Pin, 14, -, -, -;
|
||||
FC_0_ = Pin, 57, -, F, -;
|
||||
FC_1_ = Pin, 58, -, F, -;
|
||||
IPL_0_ = Pin, 67, -, G, -;
|
||||
|
@ -62,7 +60,6 @@ BGACK_030 = Pin, 83, -, H, -;
|
|||
BG_000 = Pin, 29, -, D, -;
|
||||
CLK_DIV_OUT = Pin, 65, -, G, -;
|
||||
CLK_EXP = Pin, 10, -, B, -;
|
||||
DSACK_0_ = Pin, 80, -, H, -;
|
||||
E = Pin, 66, -, G, -;
|
||||
FPU_CS = Pin, 78, -, H, -;
|
||||
IPL_030_0_ = Pin, 8, -, B, -;
|
||||
|
@ -72,7 +69,6 @@ LDS_000 = Pin, 31, -, D, -;
|
|||
UDS_000 = Pin, 32, -, D, -;
|
||||
VMA = Pin, 35, -, D, -;
|
||||
AS_000 = Pin, 33, -, D, -;
|
||||
DSACK_1_ = Pin, 81, -, H, -;
|
||||
DTACK = Pin, 30, -, D, -;
|
||||
RESET = Pin, 3, -, B, -;
|
||||
AMIGA_BUS_DATA_DIR = Pin, 48, -, E, -;
|
||||
|
@ -96,6 +92,8 @@ AVEC_EXP = Pin, 22, -, C, -;
|
|||
BERR = Pin, 41, -, E, -;
|
||||
nEXP_SPACE = Pin, 14, -, -, -;
|
||||
A0 = Pin, 69, -, G, -;
|
||||
DSACK1 = Pin, 81, -, H, -;
|
||||
RW_000 = Pin, 80, -, H, -;
|
||||
|
||||
[Group Assignments]
|
||||
layer = OFF;
|
||||
|
@ -106,7 +104,7 @@ layer = OFF;
|
|||
[Fitter Report Format]
|
||||
|
||||
[Power]
|
||||
Default = Low;
|
||||
Default = High;
|
||||
|
||||
[Source Constraint Option]
|
||||
|
||||
|
@ -126,7 +124,7 @@ layer = OFF;
|
|||
Default = UP;
|
||||
|
||||
[Slewrate]
|
||||
Default = SLOW;
|
||||
Default = Slow;
|
||||
|
||||
[Region]
|
||||
|
||||
|
|
|
@ -12,8 +12,8 @@ EN_PinMacrocell = Yes;
|
|||
|
||||
[Revision]
|
||||
Parent = m4a5.lci;
|
||||
DATE = 05/25/2014;
|
||||
TIME = 21:04:55;
|
||||
DATE = 06/01/2014;
|
||||
TIME = 00:00:40;
|
||||
Source_Format = Pure_VHDL;
|
||||
Synthesis = Synplify;
|
||||
|
||||
|
@ -36,7 +36,6 @@ Balanced_partitioning = No;
|
|||
[Location Assignments]
|
||||
layer = OFF;
|
||||
AS_030 = Pin, 82, -, H, -;
|
||||
A_0_ = Pin, 69, -, G, -;
|
||||
A_16_ = Pin, 96, -, A, -;
|
||||
A_17_ = Pin, 59, -, F, -;
|
||||
A_18_ = Pin, 95, -, A, -;
|
||||
|
@ -46,7 +45,6 @@ BG_030 = Pin, 21, -, C, -;
|
|||
CLK_000 = Pin, 11, -, -, -;
|
||||
CLK_030 = Pin, 64, -, -, -;
|
||||
CLK_OSZI = Pin, 61, -, -, -;
|
||||
CPU_SPACE = Pin, 14, -, -, -;
|
||||
FC_0_ = Pin, 57, -, F, -;
|
||||
FC_1_ = Pin, 58, -, F, -;
|
||||
IPL_0_ = Pin, 67, -, G, -;
|
||||
|
@ -62,7 +60,6 @@ BGACK_030 = Pin, 83, -, H, -;
|
|||
BG_000 = Pin, 29, -, D, -;
|
||||
CLK_DIV_OUT = Pin, 65, -, G, -;
|
||||
CLK_EXP = Pin, 10, -, B, -;
|
||||
DSACK_0_ = Pin, 80, -, H, -;
|
||||
E = Pin, 66, -, G, -;
|
||||
FPU_CS = Pin, 78, -, H, -;
|
||||
IPL_030_0_ = Pin, 8, -, B, -;
|
||||
|
@ -72,7 +69,6 @@ LDS_000 = Pin, 31, -, D, -;
|
|||
UDS_000 = Pin, 32, -, D, -;
|
||||
VMA = Pin, 35, -, D, -;
|
||||
AS_000 = Pin, 33, -, D, -;
|
||||
DSACK_1_ = Pin, 81, -, H, -;
|
||||
DTACK = Pin, 30, -, D, -;
|
||||
RESET = Pin, 3, -, B, -;
|
||||
AMIGA_BUS_DATA_DIR = Pin, 48, -, E, -;
|
||||
|
@ -96,6 +92,8 @@ AVEC_EXP = Pin, 22, -, C, -;
|
|||
BERR = Pin, 41, -, E, -;
|
||||
nEXP_SPACE = Pin, 14, -, -, -;
|
||||
A0 = Pin, 69, -, G, -;
|
||||
DSACK1 = Pin, 81, -, H, -;
|
||||
RW_000 = Pin, 80, -, H, -;
|
||||
|
||||
[Group Assignments]
|
||||
layer = OFF;
|
||||
|
@ -106,7 +104,7 @@ layer = OFF;
|
|||
[Fitter Report Format]
|
||||
|
||||
[Power]
|
||||
Default = Low;
|
||||
Default = High;
|
||||
|
||||
[Source Constraint Option]
|
||||
|
||||
|
@ -126,7 +124,7 @@ layer = OFF;
|
|||
Default = UP;
|
||||
|
||||
[Slewrate]
|
||||
Default = SLOW;
|
||||
Default = Slow;
|
||||
|
||||
[Region]
|
||||
|
||||
|
|
9282
Logic/68030_TK.tcl
9282
Logic/68030_TK.tcl
File diff suppressed because it is too large
Load Diff
1667
Logic/68030_tk.bl2
1667
Logic/68030_tk.bl2
File diff suppressed because it is too large
Load Diff
|
@ -1,44 +1,43 @@
|
|||
#$ TOOL ispLEVER Classic 1.7.00.05.28.13
|
||||
#$ DATE Thu May 29 22:04:27 2014
|
||||
#$ DATE Sun Jun 01 01:03:24 2014
|
||||
#$ MODULE 68030_tk
|
||||
#$ PINS 59 A_21_ A_20_ SIZE_1_ A_19_ A_18_ A_31_ A_17_ A_16_ IPL_030_2_ IPL_030_1_ \
|
||||
# IPL_030_0_ IPL_2_ IPL_1_ IPL_0_ DSACK_1_ DSACK_0_ FC_0_ FC_1_ AS_030 AS_000 DS_030 \
|
||||
# UDS_000 LDS_000 A0 nEXP_SPACE BERR BG_030 BG_000 BGACK_030 BGACK_000 CLK_030 CLK_000 \
|
||||
# CLK_OSZI CLK_DIV_OUT CLK_EXP FPU_CS DTACK AVEC AVEC_EXP E VPA VMA RST RESET RW \
|
||||
# AMIGA_BUS_ENABLE AMIGA_BUS_DATA_DIR AMIGA_BUS_ENABLE_LOW CIIN SIZE_0_ A_30_ A_29_ \
|
||||
# A_28_ A_27_ A_26_ A_25_ A_24_ A_23_ A_22_
|
||||
#$ NODES 44 inst_BGACK_030_INTreg CLK_OUT_INTreg inst_FPU_CS_INTreg \
|
||||
# inst_VMA_INTreg inst_AS_030_000_SYNC IPL_030DFFSH_0_reg inst_BGACK_030_INT_D \
|
||||
# inst_AS_000_DMA IPL_030DFFSH_1_reg inst_VPA_D inst_CLK_OUT_PRE_50_D \
|
||||
# IPL_030DFFSH_2_reg inst_CLK_000_D0 inst_CLK_000_D1 inst_CLK_000_D2 inst_CLK_000_D4 \
|
||||
# inst_DTACK_D0 inst_CLK_OUT_PRE_50 inst_CLK_OUT_PRE_25 inst_AS_000_INT SM_AMIGA_1_ \
|
||||
# SM_AMIGA_0_ SM_AMIGA_6_ SM_AMIGA_5_ inst_UDS_000_INT inst_LDS_000_INT \
|
||||
# inst_DSACK1_INT inst_CLK_000_D3 inst_CLK_030_H RESETDFFRHreg inst_DS_000_DMA \
|
||||
# SIZE_DMA_0_ SIZE_DMA_1_ inst_A0_DMA SM_AMIGA_7_ AMIGA_BUS_ENABLEDFFSHreg \
|
||||
# SM_AMIGA_4_ SM_AMIGA_3_ SM_AMIGA_2_ cpu_est_0_ cpu_est_1_ cpu_est_2_ cpu_est_3_reg \
|
||||
# BG_000DFFSHreg
|
||||
#$ PINS 59 A_26_ A_25_ SIZE_1_ A_24_ A_23_ A_31_ A_22_ A_21_ IPL_030_2_ A_20_ A_19_ \
|
||||
# IPL_2_ A_18_ A_17_ FC_1_ A_16_ AS_030 IPL_030_1_ AS_000 IPL_030_0_ RW_000 IPL_1_ DS_030 \
|
||||
# IPL_0_ UDS_000 FC_0_ LDS_000 A0 nEXP_SPACE BERR BG_030 BG_000 BGACK_030 BGACK_000 CLK_030 \
|
||||
# CLK_000 CLK_OSZI CLK_DIV_OUT CLK_EXP FPU_CS DSACK1 DTACK AVEC AVEC_EXP E VPA VMA RST RESET \
|
||||
# RW AMIGA_BUS_ENABLE AMIGA_BUS_DATA_DIR AMIGA_BUS_ENABLE_LOW CIIN SIZE_0_ A_30_ A_29_ \
|
||||
# A_28_ A_27_
|
||||
#$ NODES 44 BG_000DFFSHreg inst_BGACK_030_INTreg inst_FPU_CS_INTreg \
|
||||
# inst_avec_expreg inst_VMA_INTreg inst_AS_030_000_SYNC inst_BGACK_030_INT_D \
|
||||
# inst_AS_000_DMA inst_VPA_D inst_CLK_OUT_PRE_50_D inst_CLK_000_D0 CLK_OUT_INTreg \
|
||||
# inst_CLK_000_D1 inst_CLK_000_D2 inst_DTACK_D0 IPL_030DFFSH_0_reg \
|
||||
# inst_CLK_OUT_PRE_50 inst_CLK_OUT_PRE_25 IPL_030DFFSH_1_reg SM_AMIGA_7_ \
|
||||
# IPL_030DFFSH_2_reg inst_AS_000_INT SM_AMIGA_6_ SM_AMIGA_0_ SM_AMIGA_5_ SM_AMIGA_2_ \
|
||||
# inst_RW_000_INT inst_UDS_000_INT inst_LDS_000_INT inst_DSACK1_INT inst_CLK_000_D3 \
|
||||
# inst_CLK_030_H inst_DS_000_DMA SIZE_DMA_0_ SIZE_DMA_1_ inst_A0_DMA RESETDFFRHreg \
|
||||
# SM_AMIGA_4_ SM_AMIGA_3_ SM_AMIGA_1_ cpu_est_0_ cpu_est_1_ cpu_est_2_ cpu_est_3_reg
|
||||
.model bus68030
|
||||
.inputs A_31_.BLIF IPL_2_.BLIF FC_1_.BLIF nEXP_SPACE.BLIF BG_030.BLIF \
|
||||
BGACK_000.BLIF CLK_030.BLIF CLK_000.BLIF CLK_OSZI.BLIF VPA.BLIF RST.BLIF \
|
||||
RW.BLIF A_30_.BLIF A_29_.BLIF A_28_.BLIF A_27_.BLIF A_26_.BLIF A_25_.BLIF \
|
||||
A_24_.BLIF A_23_.BLIF A_22_.BLIF A_21_.BLIF A_20_.BLIF A_19_.BLIF A_18_.BLIF \
|
||||
A_17_.BLIF A_16_.BLIF IPL_1_.BLIF IPL_0_.BLIF FC_0_.BLIF \
|
||||
inst_BGACK_030_INTreg.BLIF CLK_OUT_INTreg.BLIF inst_FPU_CS_INTreg.BLIF \
|
||||
inst_VMA_INTreg.BLIF inst_AS_030_000_SYNC.BLIF IPL_030DFFSH_0_reg.BLIF \
|
||||
inst_BGACK_030_INT_D.BLIF inst_AS_000_DMA.BLIF IPL_030DFFSH_1_reg.BLIF \
|
||||
inst_VPA_D.BLIF inst_CLK_OUT_PRE_50_D.BLIF IPL_030DFFSH_2_reg.BLIF \
|
||||
inst_CLK_000_D0.BLIF inst_CLK_000_D1.BLIF inst_CLK_000_D2.BLIF \
|
||||
inst_CLK_000_D4.BLIF inst_DTACK_D0.BLIF inst_CLK_OUT_PRE_50.BLIF \
|
||||
inst_CLK_OUT_PRE_25.BLIF inst_AS_000_INT.BLIF SM_AMIGA_1_.BLIF \
|
||||
SM_AMIGA_0_.BLIF SM_AMIGA_6_.BLIF SM_AMIGA_5_.BLIF inst_UDS_000_INT.BLIF \
|
||||
inst_LDS_000_INT.BLIF inst_DSACK1_INT.BLIF inst_CLK_000_D3.BLIF \
|
||||
inst_CLK_030_H.BLIF RESETDFFRHreg.BLIF inst_DS_000_DMA.BLIF SIZE_DMA_0_.BLIF \
|
||||
SIZE_DMA_1_.BLIF inst_A0_DMA.BLIF SM_AMIGA_7_.BLIF \
|
||||
AMIGA_BUS_ENABLEDFFSHreg.BLIF SM_AMIGA_4_.BLIF SM_AMIGA_3_.BLIF \
|
||||
SM_AMIGA_2_.BLIF cpu_est_0_.BLIF cpu_est_1_.BLIF cpu_est_2_.BLIF \
|
||||
cpu_est_3_reg.BLIF BG_000DFFSHreg.BLIF AS_030.PIN.BLIF AS_000.PIN.BLIF \
|
||||
DS_030.PIN.BLIF UDS_000.PIN.BLIF LDS_000.PIN.BLIF SIZE_0_.PIN.BLIF \
|
||||
SIZE_1_.PIN.BLIF A0.PIN.BLIF DSACK_1_.PIN.BLIF DTACK.PIN.BLIF
|
||||
A_30_.BLIF A_29_.BLIF A_28_.BLIF A_27_.BLIF A_26_.BLIF A_25_.BLIF A_24_.BLIF \
|
||||
A_23_.BLIF A_22_.BLIF A_21_.BLIF A_20_.BLIF A_19_.BLIF A_18_.BLIF A_17_.BLIF \
|
||||
A_16_.BLIF IPL_1_.BLIF IPL_0_.BLIF FC_0_.BLIF BG_000DFFSHreg.BLIF \
|
||||
inst_BGACK_030_INTreg.BLIF inst_FPU_CS_INTreg.BLIF inst_avec_expreg.BLIF \
|
||||
inst_VMA_INTreg.BLIF inst_AS_030_000_SYNC.BLIF inst_BGACK_030_INT_D.BLIF \
|
||||
inst_AS_000_DMA.BLIF inst_VPA_D.BLIF inst_CLK_OUT_PRE_50_D.BLIF \
|
||||
inst_CLK_000_D0.BLIF CLK_OUT_INTreg.BLIF inst_CLK_000_D1.BLIF \
|
||||
inst_CLK_000_D2.BLIF inst_DTACK_D0.BLIF IPL_030DFFSH_0_reg.BLIF \
|
||||
inst_CLK_OUT_PRE_50.BLIF inst_CLK_OUT_PRE_25.BLIF IPL_030DFFSH_1_reg.BLIF \
|
||||
SM_AMIGA_7_.BLIF IPL_030DFFSH_2_reg.BLIF inst_AS_000_INT.BLIF SM_AMIGA_6_.BLIF \
|
||||
SM_AMIGA_0_.BLIF SM_AMIGA_5_.BLIF SM_AMIGA_2_.BLIF inst_RW_000_INT.BLIF \
|
||||
inst_UDS_000_INT.BLIF inst_LDS_000_INT.BLIF inst_DSACK1_INT.BLIF \
|
||||
inst_CLK_000_D3.BLIF inst_CLK_030_H.BLIF inst_DS_000_DMA.BLIF SIZE_DMA_0_.BLIF \
|
||||
SIZE_DMA_1_.BLIF inst_A0_DMA.BLIF RESETDFFRHreg.BLIF SM_AMIGA_4_.BLIF \
|
||||
SM_AMIGA_3_.BLIF SM_AMIGA_1_.BLIF cpu_est_0_.BLIF cpu_est_1_.BLIF \
|
||||
cpu_est_2_.BLIF cpu_est_3_reg.BLIF AS_030.PIN.BLIF AS_000.PIN.BLIF \
|
||||
RW_000.PIN.BLIF DS_030.PIN.BLIF UDS_000.PIN.BLIF LDS_000.PIN.BLIF \
|
||||
SIZE_0_.PIN.BLIF SIZE_1_.PIN.BLIF A0.PIN.BLIF DSACK1.PIN.BLIF DTACK.PIN.BLIF \
|
||||
RW.PIN.BLIF
|
||||
.outputs IPL_030_2_ BERR BG_000 BGACK_030 CLK_DIV_OUT CLK_EXP FPU_CS AVEC \
|
||||
AVEC_EXP E VMA RESET AMIGA_BUS_ENABLE AMIGA_BUS_DATA_DIR AMIGA_BUS_ENABLE_LOW \
|
||||
CIIN IPL_030_1_ IPL_030_0_ cpu_est_0_.D cpu_est_0_.C cpu_est_0_.AR \
|
||||
|
@ -55,30 +54,29 @@ SM_AMIGA_2_.AR SM_AMIGA_1_.D SM_AMIGA_1_.C SM_AMIGA_1_.AR inst_DSACK1_INT.D \
|
|||
inst_DSACK1_INT.C inst_DSACK1_INT.AP inst_VMA_INTreg.C inst_VMA_INTreg.AP \
|
||||
inst_BGACK_030_INTreg.D inst_BGACK_030_INTreg.C inst_BGACK_030_INTreg.AP \
|
||||
inst_CLK_OUT_PRE_25.D inst_CLK_OUT_PRE_25.C inst_CLK_OUT_PRE_25.AR \
|
||||
SIZE_DMA_0_.D SIZE_DMA_0_.C SIZE_DMA_0_.AP inst_UDS_000_INT.D \
|
||||
inst_UDS_000_INT.C inst_UDS_000_INT.AP inst_LDS_000_INT.D inst_LDS_000_INT.C \
|
||||
inst_LDS_000_INT.AP inst_FPU_CS_INTreg.D inst_FPU_CS_INTreg.C \
|
||||
inst_FPU_CS_INTreg.AP BG_000DFFSHreg.D BG_000DFFSHreg.C BG_000DFFSHreg.AP \
|
||||
inst_DS_000_DMA.D inst_DS_000_DMA.C inst_DS_000_DMA.AP inst_AS_000_DMA.D \
|
||||
inst_AS_000_DMA.C inst_AS_000_DMA.AP inst_AS_000_INT.D inst_AS_000_INT.C \
|
||||
inst_AS_000_INT.AP AMIGA_BUS_ENABLEDFFSHreg.D AMIGA_BUS_ENABLEDFFSHreg.C \
|
||||
AMIGA_BUS_ENABLEDFFSHreg.AP inst_AS_030_000_SYNC.D inst_AS_030_000_SYNC.C \
|
||||
inst_AS_030_000_SYNC.AP inst_CLK_030_H.D inst_CLK_030_H.C inst_A0_DMA.D \
|
||||
inst_A0_DMA.C inst_A0_DMA.AP inst_CLK_000_D4.D inst_CLK_000_D4.C \
|
||||
inst_CLK_000_D4.AP inst_DTACK_D0.D inst_DTACK_D0.C inst_DTACK_D0.AP \
|
||||
inst_CLK_000_D3.D inst_CLK_000_D3.C inst_CLK_000_D3.AP inst_CLK_000_D2.D \
|
||||
inst_CLK_000_D2.C inst_CLK_000_D2.AP CLK_OUT_INTreg.D CLK_OUT_INTreg.C \
|
||||
CLK_OUT_INTreg.AR inst_CLK_000_D1.D inst_CLK_000_D1.C inst_CLK_000_D1.AP \
|
||||
SIZE_DMA_0_.D SIZE_DMA_0_.C SIZE_DMA_0_.AP inst_LDS_000_INT.D \
|
||||
inst_LDS_000_INT.C inst_LDS_000_INT.AP inst_FPU_CS_INTreg.D \
|
||||
inst_FPU_CS_INTreg.C inst_FPU_CS_INTreg.AP inst_avec_expreg.D \
|
||||
inst_avec_expreg.C inst_avec_expreg.AP BG_000DFFSHreg.D BG_000DFFSHreg.C \
|
||||
BG_000DFFSHreg.AP inst_DS_000_DMA.D inst_DS_000_DMA.C inst_DS_000_DMA.AP \
|
||||
inst_AS_000_DMA.D inst_AS_000_DMA.C inst_AS_000_DMA.AP inst_AS_000_INT.D \
|
||||
inst_AS_000_INT.C inst_AS_000_INT.AP inst_RW_000_INT.D inst_RW_000_INT.C \
|
||||
inst_RW_000_INT.AP inst_AS_030_000_SYNC.D inst_AS_030_000_SYNC.C \
|
||||
inst_AS_030_000_SYNC.AP inst_CLK_030_H.D inst_CLK_030_H.C inst_UDS_000_INT.D \
|
||||
inst_UDS_000_INT.C inst_UDS_000_INT.AP inst_A0_DMA.D inst_A0_DMA.C \
|
||||
inst_A0_DMA.AP inst_DTACK_D0.D inst_DTACK_D0.C inst_DTACK_D0.AP \
|
||||
inst_CLK_000_D2.D inst_CLK_000_D2.C inst_CLK_000_D2.AP CLK_OUT_INTreg.D \
|
||||
CLK_OUT_INTreg.C CLK_OUT_INTreg.AR inst_CLK_000_D3.D inst_CLK_000_D3.C \
|
||||
inst_CLK_000_D3.AP inst_CLK_000_D1.D inst_CLK_000_D1.C inst_CLK_000_D1.AP \
|
||||
inst_BGACK_030_INT_D.D inst_BGACK_030_INT_D.C inst_BGACK_030_INT_D.AP \
|
||||
inst_CLK_OUT_PRE_50_D.D inst_CLK_OUT_PRE_50_D.C inst_CLK_OUT_PRE_50_D.AR \
|
||||
inst_CLK_000_D0.D inst_CLK_000_D0.C inst_CLK_000_D0.AP inst_VPA_D.D \
|
||||
inst_VPA_D.C inst_VPA_D.AP inst_CLK_OUT_PRE_50.D inst_CLK_OUT_PRE_50.C \
|
||||
inst_CLK_OUT_PRE_50.AR RESETDFFRHreg.D RESETDFFRHreg.C RESETDFFRHreg.AR \
|
||||
SIZE_1_ DSACK_1_ AS_030 AS_000 DS_030 UDS_000 LDS_000 A0 DTACK SIZE_0_ \
|
||||
DSACK_0_ AS_030.OE AS_000.OE DS_030.OE UDS_000.OE LDS_000.OE SIZE_0_.OE \
|
||||
SIZE_1_.OE A0.OE DSACK_1_.OE DTACK.OE BERR.OE DSACK_0_.OE AVEC_EXP.OE CIIN.OE \
|
||||
inst_VMA_INTreg.D.X1 inst_VMA_INTreg.D.X2 cpu_est_3_reg.D.X1 \
|
||||
cpu_est_3_reg.D.X2
|
||||
SIZE_1_ AS_030 AS_000 RW_000 DS_030 UDS_000 LDS_000 A0 DSACK1 DTACK RW SIZE_0_ \
|
||||
AS_030.OE AS_000.OE RW_000.OE DS_030.OE UDS_000.OE LDS_000.OE SIZE_0_.OE \
|
||||
SIZE_1_.OE A0.OE DSACK1.OE DTACK.OE RW.OE BERR.OE CIIN.OE inst_VMA_INTreg.D.X1 \
|
||||
inst_VMA_INTreg.D.X2 cpu_est_3_reg.D.X1 cpu_est_3_reg.D.X2
|
||||
.names inst_CLK_000_D0.BLIF inst_CLK_000_D1.BLIF cpu_est_0_.BLIF cpu_est_0_.D
|
||||
100 1
|
||||
-11 1
|
||||
|
@ -112,16 +110,11 @@ cpu_est_1_.BLIF cpu_est_2_.BLIF cpu_est_3_reg.BLIF cpu_est_2_.D
|
|||
--010- 0
|
||||
-1--0- 0
|
||||
0---0- 0
|
||||
.names inst_CLK_000_D0.BLIF inst_CLK_000_D4.BLIF inst_AS_000_INT.BLIF \
|
||||
SM_AMIGA_1_.BLIF SM_AMIGA_0_.BLIF inst_CLK_000_D3.BLIF SM_AMIGA_0_.D
|
||||
01-1-- 1
|
||||
--0-1- 1
|
||||
0--1-0 1
|
||||
0---1- 1
|
||||
1-1--- 0
|
||||
---00- 0
|
||||
-0--01 0
|
||||
1---0- 0
|
||||
.names inst_CLK_000_D0.BLIF SM_AMIGA_0_.BLIF SM_AMIGA_1_.BLIF SM_AMIGA_0_.D
|
||||
01- 1
|
||||
0-1 1
|
||||
-00 0
|
||||
1-- 0
|
||||
.names inst_BGACK_030_INTreg.BLIF AS_000.PIN.BLIF UDS_000.PIN.BLIF \
|
||||
LDS_000.PIN.BLIF SIZE_DMA_1_.D
|
||||
--00 1
|
||||
|
@ -130,57 +123,53 @@ LDS_000.PIN.BLIF SIZE_DMA_1_.D
|
|||
1--- 1
|
||||
0010 0
|
||||
0001 0
|
||||
.names IPL_0_.BLIF IPL_030DFFSH_0_reg.BLIF inst_CLK_000_D0.BLIF \
|
||||
inst_CLK_000_D1.BLIF IPL_030DFFSH_0_reg.D
|
||||
1-10 1
|
||||
-10- 1
|
||||
-1-1 1
|
||||
0-10 0
|
||||
-00- 0
|
||||
-0-1 0
|
||||
.names IPL_1_.BLIF IPL_030DFFSH_1_reg.BLIF inst_CLK_000_D0.BLIF \
|
||||
inst_CLK_000_D1.BLIF IPL_030DFFSH_1_reg.D
|
||||
1-10 1
|
||||
-10- 1
|
||||
-1-1 1
|
||||
0-10 0
|
||||
-00- 0
|
||||
-0-1 0
|
||||
.names IPL_2_.BLIF IPL_030DFFSH_2_reg.BLIF inst_CLK_000_D0.BLIF \
|
||||
inst_CLK_000_D1.BLIF IPL_030DFFSH_2_reg.D
|
||||
1-10 1
|
||||
-10- 1
|
||||
-1-1 1
|
||||
0-10 0
|
||||
-00- 0
|
||||
-0-1 0
|
||||
.names IPL_0_.BLIF inst_CLK_000_D0.BLIF inst_CLK_000_D1.BLIF \
|
||||
IPL_030DFFSH_0_reg.BLIF IPL_030DFFSH_0_reg.D
|
||||
110- 1
|
||||
--11 1
|
||||
-0-1 1
|
||||
010- 0
|
||||
--10 0
|
||||
-0-0 0
|
||||
.names IPL_1_.BLIF inst_CLK_000_D0.BLIF inst_CLK_000_D1.BLIF \
|
||||
IPL_030DFFSH_1_reg.BLIF IPL_030DFFSH_1_reg.D
|
||||
110- 1
|
||||
--11 1
|
||||
-0-1 1
|
||||
010- 0
|
||||
--10 0
|
||||
-0-0 0
|
||||
.names IPL_2_.BLIF inst_CLK_000_D0.BLIF inst_CLK_000_D1.BLIF \
|
||||
IPL_030DFFSH_2_reg.BLIF IPL_030DFFSH_2_reg.D
|
||||
110- 1
|
||||
--11 1
|
||||
-0-1 1
|
||||
010- 0
|
||||
--10 0
|
||||
-0-0 0
|
||||
.names nEXP_SPACE.BLIF inst_AS_030_000_SYNC.BLIF inst_CLK_000_D0.BLIF \
|
||||
inst_CLK_000_D2.BLIF inst_AS_000_INT.BLIF SM_AMIGA_0_.BLIF SM_AMIGA_6_.BLIF \
|
||||
inst_CLK_000_D3.BLIF SM_AMIGA_7_.BLIF SM_AMIGA_7_.D
|
||||
0-0---1-- 1
|
||||
--1-11--- 1
|
||||
-------01 1
|
||||
---1----1 1
|
||||
-1------1 1
|
||||
-000--01- 0
|
||||
-010-0-1- 0
|
||||
-0100--1- 0
|
||||
1000---1- 0
|
||||
--0---0-0 0
|
||||
--1--0--0 0
|
||||
--1-0---0 0
|
||||
1-0-----0 0
|
||||
inst_CLK_000_D2.BLIF SM_AMIGA_7_.BLIF SM_AMIGA_0_.BLIF inst_CLK_000_D3.BLIF \
|
||||
SM_AMIGA_7_.D
|
||||
--1--1- 1
|
||||
---11-- 1
|
||||
-1--1-- 1
|
||||
0---1-- 1
|
||||
----1-0 1
|
||||
10-0-01 0
|
||||
1000--1 0
|
||||
----00- 0
|
||||
--0-0-- 0
|
||||
.names nEXP_SPACE.BLIF inst_AS_030_000_SYNC.BLIF inst_CLK_000_D0.BLIF \
|
||||
inst_CLK_000_D2.BLIF SM_AMIGA_6_.BLIF inst_CLK_000_D3.BLIF SM_AMIGA_7_.BLIF \
|
||||
inst_CLK_000_D2.BLIF SM_AMIGA_7_.BLIF SM_AMIGA_6_.BLIF inst_CLK_000_D3.BLIF \
|
||||
SM_AMIGA_6_.D
|
||||
1-0-1-0 1
|
||||
-0-0-11 1
|
||||
----0-0 0
|
||||
--1---0 0
|
||||
0-----0 0
|
||||
-----01 0
|
||||
---1--1 0
|
||||
-1----1 0
|
||||
10-01-1 1
|
||||
--0-01- 1
|
||||
----00- 0
|
||||
--1-0-- 0
|
||||
---11-- 0
|
||||
-1--1-- 0
|
||||
0---1-- 0
|
||||
----1-0 0
|
||||
.names inst_CLK_000_D0.BLIF SM_AMIGA_6_.BLIF SM_AMIGA_5_.BLIF SM_AMIGA_5_.D
|
||||
11- 1
|
||||
1-1 1
|
||||
|
@ -206,37 +195,31 @@ cpu_est_1_.BLIF cpu_est_3_reg.BLIF SM_AMIGA_3_.D
|
|||
-----00-- 0
|
||||
--0---0-- 0
|
||||
.names inst_VMA_INTreg.BLIF inst_VPA_D.BLIF inst_CLK_000_D0.BLIF \
|
||||
inst_CLK_000_D1.BLIF inst_DTACK_D0.BLIF SM_AMIGA_3_.BLIF SM_AMIGA_2_.BLIF \
|
||||
inst_CLK_000_D1.BLIF inst_DTACK_D0.BLIF SM_AMIGA_2_.BLIF SM_AMIGA_3_.BLIF \
|
||||
cpu_est_1_.BLIF cpu_est_3_reg.BLIF SM_AMIGA_2_.D
|
||||
0001-1-01 1
|
||||
-10101--- 1
|
||||
--0---1-- 1
|
||||
-1--1-0-- 0
|
||||
-0----01- 0
|
||||
10----0-- 0
|
||||
0001--101 1
|
||||
-1010-1-- 1
|
||||
--0--1--- 1
|
||||
-1--10--- 0
|
||||
-0---0-1- 0
|
||||
10---0--- 0
|
||||
-----00-- 0
|
||||
---0--0-- 0
|
||||
-0----0-0 0
|
||||
---0-0--- 0
|
||||
-0---0--0 0
|
||||
--1------ 0
|
||||
.names inst_CLK_000_D0.BLIF inst_CLK_000_D4.BLIF SM_AMIGA_1_.BLIF \
|
||||
inst_CLK_000_D3.BLIF SM_AMIGA_2_.BLIF SM_AMIGA_1_.D
|
||||
-011- 1
|
||||
1-1-- 1
|
||||
1---1 1
|
||||
0--0- 0
|
||||
01--- 0
|
||||
0-0-- 0
|
||||
--0-0 0
|
||||
.names inst_CLK_000_D4.BLIF SM_AMIGA_1_.BLIF inst_DSACK1_INT.BLIF \
|
||||
inst_CLK_000_D3.BLIF AS_030.PIN.BLIF inst_DSACK1_INT.D
|
||||
--10- 1
|
||||
-01-- 1
|
||||
1-1-- 1
|
||||
---01 1
|
||||
-0--1 1
|
||||
1---1 1
|
||||
01-1- 0
|
||||
--0-0 0
|
||||
.names inst_CLK_000_D0.BLIF SM_AMIGA_2_.BLIF SM_AMIGA_1_.BLIF SM_AMIGA_1_.D
|
||||
11- 1
|
||||
1-1 1
|
||||
-00 0
|
||||
0-- 0
|
||||
.names inst_CLK_000_D0.BLIF SM_AMIGA_2_.BLIF inst_DSACK1_INT.BLIF \
|
||||
AS_030.PIN.BLIF inst_DSACK1_INT.D
|
||||
-01- 1
|
||||
0-1- 1
|
||||
-0-1 1
|
||||
0--1 1
|
||||
11-- 0
|
||||
--00 0
|
||||
.names BGACK_000.BLIF inst_BGACK_030_INTreg.BLIF inst_CLK_000_D0.BLIF \
|
||||
inst_CLK_000_D1.BLIF inst_BGACK_030_INTreg.D
|
||||
1-10 1
|
||||
|
@ -251,54 +234,32 @@ LDS_000.PIN.BLIF SIZE_DMA_0_.D
|
|||
1--- 1
|
||||
---1 1
|
||||
0000 0
|
||||
.names RW.BLIF inst_CLK_000_D0.BLIF inst_CLK_000_D4.BLIF SM_AMIGA_5_.BLIF \
|
||||
inst_UDS_000_INT.BLIF SM_AMIGA_4_.BLIF AS_030.PIN.BLIF DS_030.PIN.BLIF \
|
||||
A0.PIN.BLIF inst_UDS_000_INT.D
|
||||
01---1-01 1
|
||||
1-11---01 1
|
||||
0---10--- 1
|
||||
1--01---- 1
|
||||
1-0-1---- 1
|
||||
00--1---- 1
|
||||
0----01-- 1
|
||||
1--0--1-- 1
|
||||
1-0---1-- 1
|
||||
00----1-- 1
|
||||
----1--1- 1
|
||||
------11- 1
|
||||
01---1-00 0
|
||||
1-11---00 0
|
||||
0---000-- 0
|
||||
1--00-0-- 0
|
||||
1-0-0-0-- 0
|
||||
00--0-0-- 0
|
||||
----0-01- 0
|
||||
.names RW.BLIF inst_CLK_000_D0.BLIF inst_CLK_000_D4.BLIF SM_AMIGA_5_.BLIF \
|
||||
.names inst_CLK_000_D0.BLIF inst_CLK_000_D2.BLIF SM_AMIGA_5_.BLIF \
|
||||
inst_LDS_000_INT.BLIF SM_AMIGA_4_.BLIF AS_030.PIN.BLIF DS_030.PIN.BLIF \
|
||||
SIZE_0_.PIN.BLIF SIZE_1_.PIN.BLIF A0.PIN.BLIF inst_LDS_000_INT.D
|
||||
01---1-0100 1
|
||||
1-11---0100 1
|
||||
0---10----- 1
|
||||
1--01------ 1
|
||||
1-0-1------ 1
|
||||
00--1------ 1
|
||||
----1--1--- 1
|
||||
0----01---- 1
|
||||
1--0--1---- 1
|
||||
1-0---1---- 1
|
||||
00----1---- 1
|
||||
------11--- 1
|
||||
01---1-0-1- 0
|
||||
1-11---0-1- 0
|
||||
01---1-00-- 0
|
||||
1-11---00-- 0
|
||||
01---1-0--1 0
|
||||
1-11---0--1 0
|
||||
0---000---- 0
|
||||
1--00-0---- 0
|
||||
1-0-0-0---- 0
|
||||
00--0-0---- 0
|
||||
----0-01--- 0
|
||||
SIZE_0_.PIN.BLIF SIZE_1_.PIN.BLIF A0.PIN.BLIF RW.PIN.BLIF inst_LDS_000_INT.D
|
||||
1---1-01000 1
|
||||
-11---01001 1
|
||||
---10-----0 1
|
||||
0--1------0 1
|
||||
--01------1 1
|
||||
-0-1------1 1
|
||||
---1--1---- 1
|
||||
----01----0 1
|
||||
0----1----0 1
|
||||
--0--1----1 1
|
||||
-0---1----1 1
|
||||
-----11---- 1
|
||||
1---1-0--10 0
|
||||
1---1-0-1-0 0
|
||||
1---1-00--0 0
|
||||
-11---0--11 0
|
||||
-11---0-1-1 0
|
||||
-11---00--1 0
|
||||
---000----0 0
|
||||
0--0-0----0 0
|
||||
--00-0----1 0
|
||||
-0-0-0----1 0
|
||||
---0-01---- 0
|
||||
.names FC_1_.BLIF BGACK_000.BLIF CLK_030.BLIF A_19_.BLIF A_18_.BLIF A_17_.BLIF \
|
||||
A_16_.BLIF FC_0_.BLIF inst_FPU_CS_INTreg.BLIF AS_030.PIN.BLIF \
|
||||
inst_FPU_CS_INTreg.D
|
||||
|
@ -313,33 +274,45 @@ inst_FPU_CS_INTreg.D
|
|||
---------1 1
|
||||
11100101-0 0
|
||||
--------00 0
|
||||
.names nEXP_SPACE.BLIF BG_030.BLIF CLK_000.BLIF SM_AMIGA_7_.BLIF \
|
||||
BG_000DFFSHreg.BLIF AS_030.PIN.BLIF BG_000DFFSHreg.D
|
||||
---01- 1
|
||||
--0-1- 1
|
||||
0---1- 1
|
||||
----10 1
|
||||
.names nEXP_SPACE.BLIF inst_BGACK_030_INTreg.BLIF inst_avec_expreg.BLIF \
|
||||
inst_AS_030_000_SYNC.BLIF inst_BGACK_030_INT_D.BLIF inst_CLK_000_D2.BLIF \
|
||||
SM_AMIGA_7_.BLIF inst_CLK_000_D3.BLIF inst_avec_expreg.D
|
||||
-11---0- 1
|
||||
-1---11- 1
|
||||
-1-1--1- 1
|
||||
01----1- 1
|
||||
-1--0--- 1
|
||||
-1----10 1
|
||||
1--01011 0
|
||||
--0-1-0- 0
|
||||
-0------ 0
|
||||
.names nEXP_SPACE.BLIF BG_030.BLIF CLK_000.BLIF BG_000DFFSHreg.BLIF \
|
||||
SM_AMIGA_7_.BLIF AS_030.PIN.BLIF BG_000DFFSHreg.D
|
||||
---10- 1
|
||||
--01-- 1
|
||||
0--1-- 1
|
||||
---1-0 1
|
||||
-1---- 1
|
||||
1011-1 0
|
||||
-0--0- 0
|
||||
.names CLK_030.BLIF RW.BLIF inst_BGACK_030_INTreg.BLIF inst_AS_000_DMA.BLIF \
|
||||
inst_CLK_030_H.BLIF inst_DS_000_DMA.BLIF AS_000.PIN.BLIF UDS_000.PIN.BLIF \
|
||||
LDS_000.PIN.BLIF inst_DS_000_DMA.D
|
||||
0--11---- 1
|
||||
-0--01--- 1
|
||||
10---1--- 1
|
||||
-1-1----- 1
|
||||
------1-- 1
|
||||
--1------ 1
|
||||
101-11 0
|
||||
-0-0-- 0
|
||||
.names CLK_030.BLIF inst_BGACK_030_INTreg.BLIF inst_AS_000_DMA.BLIF \
|
||||
inst_CLK_030_H.BLIF inst_DS_000_DMA.BLIF AS_000.PIN.BLIF RW_000.PIN.BLIF \
|
||||
UDS_000.PIN.BLIF LDS_000.PIN.BLIF inst_DS_000_DMA.D
|
||||
0-11----- 1
|
||||
---01-0-- 1
|
||||
1---1-0-- 1
|
||||
--1---1-- 1
|
||||
-----1--- 1
|
||||
-1------- 1
|
||||
-------11 1
|
||||
0-001-00- 0
|
||||
-00-0000- 0
|
||||
100--000- 0
|
||||
0-001-0-0 0
|
||||
-00-000-0 0
|
||||
100--00-0 0
|
||||
-100--00- 0
|
||||
-100--0-0 0
|
||||
0001-0-0- 0
|
||||
-0-00000- 0
|
||||
10--0000- 0
|
||||
0001-0--0 0
|
||||
-0-0000-0 0
|
||||
10--000-0 0
|
||||
-00--010- 0
|
||||
-00--01-0 0
|
||||
.names CLK_030.BLIF inst_BGACK_030_INTreg.BLIF inst_AS_000_DMA.BLIF \
|
||||
AS_000.PIN.BLIF UDS_000.PIN.BLIF LDS_000.PIN.BLIF inst_AS_000_DMA.D
|
||||
1-1--- 1
|
||||
|
@ -350,7 +323,7 @@ AS_000.PIN.BLIF UDS_000.PIN.BLIF LDS_000.PIN.BLIF inst_AS_000_DMA.D
|
|||
00-00- 0
|
||||
-000-0 0
|
||||
00-0-0 0
|
||||
.names inst_CLK_000_D4.BLIF inst_AS_000_INT.BLIF SM_AMIGA_5_.BLIF \
|
||||
.names inst_CLK_000_D2.BLIF inst_AS_000_INT.BLIF SM_AMIGA_5_.BLIF \
|
||||
AS_030.PIN.BLIF inst_AS_000_INT.D
|
||||
-10- 1
|
||||
01-- 1
|
||||
|
@ -358,63 +331,77 @@ AS_030.PIN.BLIF inst_AS_000_INT.D
|
|||
0--1 1
|
||||
1-1- 0
|
||||
-0-0 0
|
||||
.names nEXP_SPACE.BLIF inst_BGACK_030_INTreg.BLIF inst_BGACK_030_INT_D.BLIF \
|
||||
inst_CLK_000_D4.BLIF SM_AMIGA_1_.BLIF SM_AMIGA_0_.BLIF SM_AMIGA_6_.BLIF \
|
||||
AMIGA_BUS_ENABLEDFFSHreg.BLIF AS_030.PIN.BLIF AMIGA_BUS_ENABLEDFFSHreg.D
|
||||
-1----01- 1
|
||||
01-----1- 1
|
||||
11-1--1-- 1
|
||||
-1---10-1 1
|
||||
-1--1-0-1 1
|
||||
01---1--1 1
|
||||
01--1---1 1
|
||||
-10------ 1
|
||||
--1-0000- 0
|
||||
0-1-00-0- 0
|
||||
1-10--1-- 0
|
||||
--1---000 0
|
||||
0-1----00 0
|
||||
-0------- 0
|
||||
.names CLK_030.BLIF inst_BGACK_030_INTreg.BLIF inst_CLK_000_D0.BLIF \
|
||||
SM_AMIGA_6_.BLIF SM_AMIGA_0_.BLIF inst_RW_000_INT.BLIF AS_000.PIN.BLIF \
|
||||
RW_000.PIN.BLIF UDS_000.PIN.BLIF LDS_000.PIN.BLIF RW.PIN.BLIF \
|
||||
inst_RW_000_INT.D
|
||||
00----01-0- 1
|
||||
00----010-- 1
|
||||
---0-1--11- 1
|
||||
--101---11- 1
|
||||
--0--1--11- 1
|
||||
--11----111 1
|
||||
---0-11---- 1
|
||||
-1-0-1----- 1
|
||||
1--0-1----- 1
|
||||
--101-1---- 1
|
||||
-1101------ 1
|
||||
1-101------ 1
|
||||
--0--11---- 1
|
||||
-10--1----- 1
|
||||
1-0--1----- 1
|
||||
--11--1---1 1
|
||||
-111------1 1
|
||||
1-11------1 1
|
||||
00----00-0- 0
|
||||
00----000-- 0
|
||||
---000--11- 0
|
||||
--11----110 0
|
||||
--0--0--11- 0
|
||||
---0001---- 0
|
||||
-1-000----- 0
|
||||
1--000----- 0
|
||||
--11--1---0 0
|
||||
-111------0 0
|
||||
1-11------0 0
|
||||
--0--01---- 0
|
||||
-10--0----- 0
|
||||
1-0--0----- 0
|
||||
.names FC_1_.BLIF nEXP_SPACE.BLIF BGACK_000.BLIF CLK_030.BLIF A_19_.BLIF \
|
||||
A_18_.BLIF A_17_.BLIF A_16_.BLIF FC_0_.BLIF inst_BGACK_030_INTreg.BLIF \
|
||||
inst_AS_030_000_SYNC.BLIF inst_CLK_000_D4.BLIF SM_AMIGA_1_.BLIF \
|
||||
SM_AMIGA_6_.BLIF inst_CLK_000_D3.BLIF SM_AMIGA_7_.BLIF AS_030.PIN.BLIF \
|
||||
inst_AS_030_000_SYNC.BLIF inst_CLK_000_D0.BLIF inst_CLK_000_D2.BLIF \
|
||||
SM_AMIGA_7_.BLIF SM_AMIGA_2_.BLIF inst_CLK_000_D3.BLIF AS_030.PIN.BLIF \
|
||||
inst_AS_030_000_SYNC.D
|
||||
1-1-00101-1------ 1
|
||||
-----------01-1-- 1
|
||||
-0-----------1--- 1
|
||||
----------1----0- 1
|
||||
-0----------01-1- 1
|
||||
-----------1--1-- 1
|
||||
----------1--0--- 1
|
||||
---------01------ 1
|
||||
---0------1------ 1
|
||||
-0--------1------ 1
|
||||
----------------1 1
|
||||
-1-1----01----010 0
|
||||
-1-1---1-1----010 0
|
||||
-1-1--0--1----010 0
|
||||
-1-1-1---1----010 0
|
||||
-1-11----1----010 0
|
||||
-101-----1----010 0
|
||||
01-1-----1----010 0
|
||||
-1-1----01--0--10 0
|
||||
-1-1---1-1--0--10 0
|
||||
-1-1--0--1--0--10 0
|
||||
-1-1-1---1--0--10 0
|
||||
-1-11----1--0--10 0
|
||||
-101-----1--0--10 0
|
||||
01-1-----1--0--10 0
|
||||
-1-1----01-1---10 0
|
||||
-1-1---1-1-1---10 0
|
||||
-1-1--0--1-1---10 0
|
||||
-1-1-1---1-1---10 0
|
||||
-1-11----1-1---10 0
|
||||
-101-----1-1---10 0
|
||||
01-1-----1-1---10 0
|
||||
-1-1----01---10-0 0
|
||||
-1-1---1-1---10-0 0
|
||||
-1-1--0--1---10-0 0
|
||||
-1-1-1---1---10-0 0
|
||||
-1-11----1---10-0 0
|
||||
-101-----1---10-0 0
|
||||
01-1-----1---10-0 0
|
||||
-1-1----01-0-1--0 0
|
||||
-1-1---1-1-0-1--0 0
|
||||
-1-1--0--1-0-1--0 0
|
||||
-1-1-1---1-0-1--0 0
|
||||
-1-11----1-0-1--0 0
|
||||
-101-----1-0-1--0 0
|
||||
01-1-----1-0-1--0 0
|
||||
----------0---000 0
|
||||
----------00---00 0
|
||||
----------0--00-0 0
|
||||
----------0-00--0 0
|
||||
----------01-0--0 0
|
||||
----------0-1-0-0 0
|
||||
----------00-0--0 0
|
||||
----------001---0 0
|
||||
-1--------0---0-0 0
|
||||
-1--------0-0---0 0
|
||||
-1--------01----0 0
|
||||
-1--------00----0 0
|
||||
.names CLK_030.BLIF RST.BLIF inst_BGACK_030_INTreg.BLIF inst_AS_000_DMA.BLIF \
|
||||
inst_CLK_030_H.BLIF AS_000.PIN.BLIF UDS_000.PIN.BLIF LDS_000.PIN.BLIF \
|
||||
inst_CLK_030_H.D
|
||||
|
@ -429,6 +416,28 @@ inst_CLK_030_H.D
|
|||
---10--- 0
|
||||
-0--0--- 0
|
||||
0---0--- 0
|
||||
.names inst_CLK_000_D0.BLIF inst_CLK_000_D2.BLIF SM_AMIGA_5_.BLIF \
|
||||
inst_UDS_000_INT.BLIF SM_AMIGA_4_.BLIF AS_030.PIN.BLIF DS_030.PIN.BLIF \
|
||||
A0.PIN.BLIF RW.PIN.BLIF inst_UDS_000_INT.D
|
||||
1---1-010 1
|
||||
-11---011 1
|
||||
---10---0 1
|
||||
0--1----0 1
|
||||
--01----1 1
|
||||
-0-1----1 1
|
||||
---1--1-- 1
|
||||
----01--0 1
|
||||
0----1--0 1
|
||||
--0--1--1 1
|
||||
-0---1--1 1
|
||||
-----11-- 1
|
||||
1---1-000 0
|
||||
-11---001 0
|
||||
---000--0 0
|
||||
0--0-0--0 0
|
||||
--00-0--1 0
|
||||
-0-0-0--1 0
|
||||
---0-01-- 0
|
||||
.names inst_BGACK_030_INTreg.BLIF AS_000.PIN.BLIF UDS_000.PIN.BLIF \
|
||||
LDS_000.PIN.BLIF inst_A0_DMA.D
|
||||
0010 1
|
||||
|
@ -461,8 +470,9 @@ LDS_000.PIN.BLIF inst_A0_DMA.D
|
|||
0 0
|
||||
.names AVEC
|
||||
1
|
||||
.names AVEC_EXP
|
||||
0
|
||||
.names inst_avec_expreg.BLIF AVEC_EXP
|
||||
1 1
|
||||
0 0
|
||||
.names cpu_est_3_reg.BLIF E
|
||||
1 1
|
||||
0 0
|
||||
|
@ -472,17 +482,17 @@ LDS_000.PIN.BLIF inst_A0_DMA.D
|
|||
.names RESETDFFRHreg.BLIF RESET
|
||||
1 1
|
||||
0 0
|
||||
.names AMIGA_BUS_ENABLEDFFSHreg.BLIF AMIGA_BUS_ENABLE
|
||||
.names inst_avec_expreg.BLIF AMIGA_BUS_ENABLE
|
||||
1 1
|
||||
0 0
|
||||
.names nEXP_SPACE.BLIF RW.BLIF inst_BGACK_030_INTreg.BLIF AS_000.PIN.BLIF \
|
||||
.names nEXP_SPACE.BLIF inst_BGACK_030_INTreg.BLIF AS_000.PIN.BLIF RW.PIN.BLIF \
|
||||
AMIGA_BUS_DATA_DIR
|
||||
0100 1
|
||||
-01- 1
|
||||
1-0- 0
|
||||
--01 0
|
||||
-00- 0
|
||||
-11- 0
|
||||
0001 1
|
||||
-1-0 1
|
||||
1--1 0
|
||||
--11 0
|
||||
-0-0 0
|
||||
-1-1 0
|
||||
.names AMIGA_BUS_ENABLE_LOW
|
||||
1
|
||||
.names A_23_.BLIF A_22_.BLIF A_21_.BLIF A_20_.BLIF CIIN
|
||||
|
@ -631,12 +641,6 @@ inst_CLK_OUT_PRE_25.BLIF inst_CLK_OUT_PRE_25.D
|
|||
.names RST.BLIF SIZE_DMA_0_.AP
|
||||
0 1
|
||||
1 0
|
||||
.names CLK_OSZI.BLIF inst_UDS_000_INT.C
|
||||
1 1
|
||||
0 0
|
||||
.names RST.BLIF inst_UDS_000_INT.AP
|
||||
0 1
|
||||
1 0
|
||||
.names CLK_OSZI.BLIF inst_LDS_000_INT.C
|
||||
1 1
|
||||
0 0
|
||||
|
@ -649,6 +653,12 @@ inst_CLK_OUT_PRE_25.BLIF inst_CLK_OUT_PRE_25.D
|
|||
.names RST.BLIF inst_FPU_CS_INTreg.AP
|
||||
0 1
|
||||
1 0
|
||||
.names CLK_OSZI.BLIF inst_avec_expreg.C
|
||||
1 1
|
||||
0 0
|
||||
.names RST.BLIF inst_avec_expreg.AP
|
||||
0 1
|
||||
1 0
|
||||
.names CLK_OSZI.BLIF BG_000DFFSHreg.C
|
||||
1 1
|
||||
0 0
|
||||
|
@ -673,10 +683,10 @@ inst_CLK_OUT_PRE_25.BLIF inst_CLK_OUT_PRE_25.D
|
|||
.names RST.BLIF inst_AS_000_INT.AP
|
||||
0 1
|
||||
1 0
|
||||
.names CLK_OSZI.BLIF AMIGA_BUS_ENABLEDFFSHreg.C
|
||||
.names CLK_OSZI.BLIF inst_RW_000_INT.C
|
||||
1 1
|
||||
0 0
|
||||
.names RST.BLIF AMIGA_BUS_ENABLEDFFSHreg.AP
|
||||
.names RST.BLIF inst_RW_000_INT.AP
|
||||
0 1
|
||||
1 0
|
||||
.names CLK_OSZI.BLIF inst_AS_030_000_SYNC.C
|
||||
|
@ -688,21 +698,18 @@ inst_CLK_OUT_PRE_25.BLIF inst_CLK_OUT_PRE_25.D
|
|||
.names CLK_OSZI.BLIF inst_CLK_030_H.C
|
||||
1 1
|
||||
0 0
|
||||
.names CLK_OSZI.BLIF inst_UDS_000_INT.C
|
||||
1 1
|
||||
0 0
|
||||
.names RST.BLIF inst_UDS_000_INT.AP
|
||||
0 1
|
||||
1 0
|
||||
.names CLK_OSZI.BLIF inst_A0_DMA.C
|
||||
1 1
|
||||
0 0
|
||||
.names RST.BLIF inst_A0_DMA.AP
|
||||
0 1
|
||||
1 0
|
||||
.names inst_CLK_000_D3.BLIF inst_CLK_000_D4.D
|
||||
1 1
|
||||
0 0
|
||||
.names CLK_OSZI.BLIF inst_CLK_000_D4.C
|
||||
1 1
|
||||
0 0
|
||||
.names RST.BLIF inst_CLK_000_D4.AP
|
||||
0 1
|
||||
1 0
|
||||
.names DTACK.PIN.BLIF inst_DTACK_D0.D
|
||||
1 1
|
||||
0 0
|
||||
|
@ -712,15 +719,6 @@ inst_CLK_OUT_PRE_25.BLIF inst_CLK_OUT_PRE_25.D
|
|||
.names RST.BLIF inst_DTACK_D0.AP
|
||||
0 1
|
||||
1 0
|
||||
.names inst_CLK_000_D2.BLIF inst_CLK_000_D3.D
|
||||
1 1
|
||||
0 0
|
||||
.names CLK_OSZI.BLIF inst_CLK_000_D3.C
|
||||
1 1
|
||||
0 0
|
||||
.names RST.BLIF inst_CLK_000_D3.AP
|
||||
0 1
|
||||
1 0
|
||||
.names inst_CLK_000_D1.BLIF inst_CLK_000_D2.D
|
||||
1 1
|
||||
0 0
|
||||
|
@ -739,6 +737,15 @@ inst_CLK_OUT_PRE_25.BLIF inst_CLK_OUT_PRE_25.D
|
|||
.names RST.BLIF CLK_OUT_INTreg.AR
|
||||
0 1
|
||||
1 0
|
||||
.names inst_CLK_000_D2.BLIF inst_CLK_000_D3.D
|
||||
1 1
|
||||
0 0
|
||||
.names CLK_OSZI.BLIF inst_CLK_000_D3.C
|
||||
1 1
|
||||
0 0
|
||||
.names RST.BLIF inst_CLK_000_D3.AP
|
||||
0 1
|
||||
1 0
|
||||
.names inst_CLK_000_D0.BLIF inst_CLK_000_D1.D
|
||||
1 1
|
||||
0 0
|
||||
|
@ -801,15 +808,15 @@ inst_CLK_OUT_PRE_25.BLIF inst_CLK_OUT_PRE_25.D
|
|||
.names SIZE_DMA_1_.BLIF SIZE_1_
|
||||
1 1
|
||||
0 0
|
||||
.names inst_DSACK1_INT.BLIF DSACK_1_
|
||||
1 1
|
||||
0 0
|
||||
.names inst_AS_000_DMA.BLIF AS_030
|
||||
1 1
|
||||
0 0
|
||||
.names inst_AS_000_INT.BLIF AS_000
|
||||
1 1
|
||||
0 0
|
||||
.names inst_RW_000_INT.BLIF RW_000
|
||||
1 1
|
||||
0 0
|
||||
.names inst_DS_000_DMA.BLIF DS_030
|
||||
1 1
|
||||
0 0
|
||||
|
@ -822,14 +829,18 @@ inst_CLK_OUT_PRE_25.BLIF inst_CLK_OUT_PRE_25.D
|
|||
.names inst_A0_DMA.BLIF A0
|
||||
1 1
|
||||
0 0
|
||||
.names DSACK_1_.PIN.BLIF DTACK
|
||||
.names inst_DSACK1_INT.BLIF DSACK1
|
||||
1 1
|
||||
0 0
|
||||
.names DSACK1.PIN.BLIF DTACK
|
||||
1 1
|
||||
0 0
|
||||
.names inst_RW_000_INT.BLIF RW
|
||||
1 1
|
||||
0 0
|
||||
.names SIZE_DMA_0_.BLIF SIZE_0_
|
||||
1 1
|
||||
0 0
|
||||
.names DSACK_0_
|
||||
1
|
||||
.names nEXP_SPACE.BLIF inst_BGACK_030_INTreg.BLIF inst_AS_000_DMA.BLIF \
|
||||
AS_030.OE
|
||||
000 1
|
||||
|
@ -839,6 +850,9 @@ AS_030.OE
|
|||
.names inst_BGACK_030_INTreg.BLIF AS_000.OE
|
||||
1 1
|
||||
0 0
|
||||
.names inst_BGACK_030_INTreg.BLIF RW_000.OE
|
||||
1 1
|
||||
0 0
|
||||
.names nEXP_SPACE.BLIF inst_BGACK_030_INTreg.BLIF inst_AS_000_DMA.BLIF \
|
||||
DS_030.OE
|
||||
000 1
|
||||
|
@ -868,7 +882,7 @@ SIZE_1_.OE
|
|||
-1- 0
|
||||
1-- 0
|
||||
--1 0
|
||||
.names nEXP_SPACE.BLIF DSACK_1_.OE
|
||||
.names nEXP_SPACE.BLIF DSACK1.OE
|
||||
1 1
|
||||
0 0
|
||||
.names nEXP_SPACE.BLIF inst_BGACK_030_INTreg.BLIF inst_AS_000_DMA.BLIF \
|
||||
|
@ -877,13 +891,10 @@ DTACK.OE
|
|||
-1- 0
|
||||
1-- 0
|
||||
--1 0
|
||||
.names inst_FPU_CS_INTreg.BLIF BERR.OE
|
||||
.names inst_BGACK_030_INTreg.BLIF RW.OE
|
||||
0 1
|
||||
1 0
|
||||
.names nEXP_SPACE.BLIF DSACK_0_.OE
|
||||
1 1
|
||||
0 0
|
||||
.names inst_FPU_CS_INTreg.BLIF AVEC_EXP.OE
|
||||
.names inst_FPU_CS_INTreg.BLIF BERR.OE
|
||||
0 1
|
||||
1 0
|
||||
.names A_31_.BLIF A_30_.BLIF A_29_.BLIF A_28_.BLIF A_27_.BLIF A_26_.BLIF \
|
||||
|
|
|
@ -1,7 +1,7 @@
|
|||
// Signal Name Cross Reference File
|
||||
// ispLEVER Classic 1.7.00.05.28.13
|
||||
|
||||
// Design '68030_tk' created Thu May 29 22:04:27 2014
|
||||
// Design '68030_tk' created Sun Jun 01 01:03:24 2014
|
||||
|
||||
|
||||
// LEGEND: '>' Functional Block Port Separator
|
||||
|
|
|
@ -2,13 +2,13 @@
|
|||
Copyright(C), 1992-2013, Lattice Semiconductor Corp.
|
||||
All Rights Reserved.
|
||||
|
||||
Design bus68030 created Thu May 29 22:04:27 2014
|
||||
Design bus68030 created Sun Jun 01 01:03:24 2014
|
||||
|
||||
|
||||
P-Terms Fan-in Fan-out Type Name (attributes)
|
||||
--------- ------ ------- ---- -----------------
|
||||
1 0 1 Pin DSACK_0_
|
||||
1 1 1 Pin DSACK_0_.OE
|
||||
1 1 1 Pin RW_000
|
||||
1 1 1 Pin RW_000.OE
|
||||
0 0 1 Pin BERR
|
||||
1 1 1 Pin BERR.OE
|
||||
1 1 1 Pin CLK_DIV_OUT.AR
|
||||
|
@ -17,8 +17,12 @@ Design bus68030 created Thu May 29 22:04:27 2014
|
|||
1 1 1 Pin DTACK
|
||||
1 3 1 Pin DTACK.OE
|
||||
1 0 1 Pin AVEC
|
||||
0 0 1 Pin AVEC_EXP
|
||||
1 1 1 Pin AVEC_EXP.OE
|
||||
3 8 1 Pin AVEC_EXP.D-
|
||||
1 1 1 Pin AVEC_EXP.AP
|
||||
1 1 1 Pin AVEC_EXP.C
|
||||
1 1 1 Pin RW
|
||||
1 1 1 Pin RW.OE
|
||||
1 1 1 Pin AMIGA_BUS_ENABLE
|
||||
2 4 1 Pin AMIGA_BUS_DATA_DIR
|
||||
1 0 1 Pin AMIGA_BUS_ENABLE_LOW
|
||||
1 4 1 Pin CIIN
|
||||
|
@ -30,24 +34,20 @@ Design bus68030 created Thu May 29 22:04:27 2014
|
|||
3 4 1 Pin IPL_030_2_.D
|
||||
1 1 1 Pin IPL_030_2_.AP
|
||||
1 1 1 Pin IPL_030_2_.C
|
||||
3 4 1 Pin IPL_030_1_.D
|
||||
1 1 1 Pin IPL_030_1_.AP
|
||||
1 1 1 Pin IPL_030_1_.C
|
||||
3 4 1 Pin IPL_030_0_.D
|
||||
1 1 1 Pin IPL_030_0_.AP
|
||||
1 1 1 Pin IPL_030_0_.C
|
||||
1 1 1 Pin DSACK_1_.OE
|
||||
2 5 1 Pin DSACK_1_.D-
|
||||
1 1 1 Pin DSACK_1_.AP
|
||||
1 1 1 Pin DSACK_1_.C
|
||||
1 3 1 Pin AS_030.OE
|
||||
4 6 1 Pin AS_030.D
|
||||
1 1 1 Pin AS_030.AP
|
||||
1 1 1 Pin AS_030.C
|
||||
3 4 1 Pin IPL_030_1_.D
|
||||
1 1 1 Pin IPL_030_1_.AP
|
||||
1 1 1 Pin IPL_030_1_.C
|
||||
1 1 1 Pin AS_000.OE
|
||||
2 4 1 Pin AS_000.D-
|
||||
1 1 1 Pin AS_000.AP
|
||||
1 1 1 Pin AS_000.C
|
||||
3 4 1 Pin IPL_030_0_.D
|
||||
1 1 1 Pin IPL_030_0_.AP
|
||||
1 1 1 Pin IPL_030_0_.C
|
||||
1 3 1 Pin DS_030.OE
|
||||
7 9 1 Pin DS_030.D
|
||||
1 1 1 Pin DS_030.AP
|
||||
|
@ -76,6 +76,10 @@ Design bus68030 created Thu May 29 22:04:27 2014
|
|||
2 10 1 Pin FPU_CS.D-
|
||||
1 1 1 Pin FPU_CS.AP
|
||||
1 1 1 Pin FPU_CS.C
|
||||
1 1 1 Pin DSACK1.OE
|
||||
2 4 1 Pin DSACK1.D-
|
||||
1 1 1 Pin DSACK1.AP
|
||||
1 1 1 Pin DSACK1.C
|
||||
3 6 1 PinX1 E.D.X1
|
||||
1 1 1 PinX2 E.D.X2
|
||||
1 1 1 Pin E.AR
|
||||
|
@ -87,9 +91,6 @@ Design bus68030 created Thu May 29 22:04:27 2014
|
|||
1 1 1 Pin RESET.AR
|
||||
1 0 1 Pin RESET.D
|
||||
1 1 1 Pin RESET.C
|
||||
6 9 1 Pin AMIGA_BUS_ENABLE.D-
|
||||
1 1 1 Pin AMIGA_BUS_ENABLE.AP
|
||||
1 1 1 Pin AMIGA_BUS_ENABLE.C
|
||||
1 3 1 Pin SIZE_0_.OE
|
||||
1 4 1 Pin SIZE_0_.D-
|
||||
1 1 1 Pin SIZE_0_.AP
|
||||
|
@ -115,9 +116,6 @@ Design bus68030 created Thu May 29 22:04:27 2014
|
|||
1 1 1 Node inst_CLK_000_D2.D
|
||||
1 1 1 Node inst_CLK_000_D2.AP
|
||||
1 1 1 Node inst_CLK_000_D2.C
|
||||
1 1 1 Node inst_CLK_000_D4.D
|
||||
1 1 1 Node inst_CLK_000_D4.AP
|
||||
1 1 1 Node inst_CLK_000_D4.C
|
||||
1 1 1 Node inst_DTACK_D0.D
|
||||
1 1 1 Node inst_DTACK_D0.AP
|
||||
1 1 1 Node inst_DTACK_D0.C
|
||||
|
@ -127,35 +125,38 @@ Design bus68030 created Thu May 29 22:04:27 2014
|
|||
1 1 1 Node inst_CLK_OUT_PRE_25.AR
|
||||
3 3 1 Node inst_CLK_OUT_PRE_25.D
|
||||
1 1 1 Node inst_CLK_OUT_PRE_25.C
|
||||
1 1 1 Node SM_AMIGA_1_.AR
|
||||
3 5 1 Node SM_AMIGA_1_.D
|
||||
1 1 1 Node SM_AMIGA_1_.C
|
||||
1 1 1 Node SM_AMIGA_0_.AR
|
||||
4 6 1 Node SM_AMIGA_0_.D
|
||||
1 1 1 Node SM_AMIGA_0_.C
|
||||
4 7 1 Node SM_AMIGA_7_.D-
|
||||
1 1 1 Node SM_AMIGA_7_.AP
|
||||
1 1 1 Node SM_AMIGA_7_.C
|
||||
1 1 1 Node SM_AMIGA_6_.AR
|
||||
2 7 1 Node SM_AMIGA_6_.D
|
||||
1 1 1 Node SM_AMIGA_6_.C
|
||||
1 1 1 Node SM_AMIGA_0_.AR
|
||||
2 3 1 Node SM_AMIGA_0_.D
|
||||
1 1 1 Node SM_AMIGA_0_.C
|
||||
1 1 1 Node SM_AMIGA_5_.AR
|
||||
2 3 1 Node SM_AMIGA_5_.D
|
||||
1 1 1 Node SM_AMIGA_5_.C
|
||||
1 1 1 Node SM_AMIGA_2_.AR
|
||||
3 9 1 Node SM_AMIGA_2_.D
|
||||
1 1 1 Node SM_AMIGA_2_.C
|
||||
14 11 1 Node inst_RW_000_INT.D-
|
||||
1 1 1 Node inst_RW_000_INT.AP
|
||||
1 1 1 Node inst_RW_000_INT.C
|
||||
1 1 1 Node inst_CLK_000_D3.D
|
||||
1 1 1 Node inst_CLK_000_D3.AP
|
||||
1 1 1 Node inst_CLK_000_D3.C
|
||||
5 8 1 Node inst_CLK_030_H.D
|
||||
1 1 1 Node inst_CLK_030_H.C
|
||||
5 9 1 Node SM_AMIGA_7_.D
|
||||
1 1 1 Node SM_AMIGA_7_.AP
|
||||
1 1 1 Node SM_AMIGA_7_.C
|
||||
1 1 1 Node SM_AMIGA_4_.AR
|
||||
2 3 1 Node SM_AMIGA_4_.D
|
||||
1 1 1 Node SM_AMIGA_4_.C
|
||||
1 1 1 Node SM_AMIGA_3_.AR
|
||||
4 9 1 Node SM_AMIGA_3_.D-
|
||||
1 1 1 Node SM_AMIGA_3_.C
|
||||
1 1 1 Node SM_AMIGA_2_.AR
|
||||
3 9 1 Node SM_AMIGA_2_.D
|
||||
1 1 1 Node SM_AMIGA_2_.C
|
||||
1 1 1 Node SM_AMIGA_1_.AR
|
||||
2 3 1 Node SM_AMIGA_1_.D
|
||||
1 1 1 Node SM_AMIGA_1_.C
|
||||
1 1 1 Node cpu_est_0_.AR
|
||||
3 3 1 Node cpu_est_0_.D
|
||||
1 1 1 Node cpu_est_0_.C
|
||||
|
@ -167,7 +168,7 @@ Design bus68030 created Thu May 29 22:04:27 2014
|
|||
1 1 1 Node cpu_est_2_.AR
|
||||
1 1 1 Node cpu_est_2_.C
|
||||
=========
|
||||
240 P-Term Total: 240
|
||||
248 P-Term Total: 248
|
||||
Total Pins: 59
|
||||
Total Nodes: 24
|
||||
Average P-Term/Output: 2
|
||||
|
@ -175,9 +176,9 @@ Design bus68030 created Thu May 29 22:04:27 2014
|
|||
|
||||
Equations:
|
||||
|
||||
DSACK_0_ = (1);
|
||||
RW_000 = (inst_RW_000_INT.Q);
|
||||
|
||||
DSACK_0_.OE = (nEXP_SPACE);
|
||||
RW_000.OE = (BGACK_030.Q);
|
||||
|
||||
BERR = (0);
|
||||
|
||||
|
@ -189,18 +190,28 @@ CLK_DIV_OUT.D = (inst_CLK_OUT_PRE_25.Q);
|
|||
|
||||
CLK_DIV_OUT.C = (CLK_OSZI);
|
||||
|
||||
DTACK = (DSACK_1_.PIN);
|
||||
DTACK = (DSACK1.PIN);
|
||||
|
||||
DTACK.OE = (!nEXP_SPACE & !BGACK_030.Q & !AS_030.Q);
|
||||
|
||||
AVEC = (1);
|
||||
|
||||
AVEC_EXP = (0);
|
||||
!AVEC_EXP.D = (!BGACK_030.Q
|
||||
# inst_BGACK_030_INT_D.Q & !SM_AMIGA_7_.Q & !AVEC_EXP
|
||||
# nEXP_SPACE & !inst_AS_030_000_SYNC.Q & inst_BGACK_030_INT_D.Q & !inst_CLK_000_D2.Q & SM_AMIGA_7_.Q & inst_CLK_000_D3.Q);
|
||||
|
||||
AVEC_EXP.OE = (!FPU_CS.Q);
|
||||
AVEC_EXP.AP = (!RST);
|
||||
|
||||
AMIGA_BUS_DATA_DIR = (!RW & BGACK_030.Q
|
||||
# !nEXP_SPACE & RW & !BGACK_030.Q & !AS_000.PIN);
|
||||
AVEC_EXP.C = (CLK_OSZI);
|
||||
|
||||
RW = (inst_RW_000_INT.Q);
|
||||
|
||||
RW.OE = (!BGACK_030.Q);
|
||||
|
||||
AMIGA_BUS_ENABLE = (AVEC_EXP);
|
||||
|
||||
AMIGA_BUS_DATA_DIR = (BGACK_030.Q & !RW.PIN
|
||||
# !nEXP_SPACE & !BGACK_030.Q & !AS_000.PIN & RW.PIN);
|
||||
|
||||
AMIGA_BUS_ENABLE_LOW = (1);
|
||||
|
||||
|
@ -217,39 +228,14 @@ SIZE_1_.AP = (!RST);
|
|||
|
||||
SIZE_1_.C = (CLK_OSZI);
|
||||
|
||||
IPL_030_2_.D = (IPL_030_2_.Q & !inst_CLK_000_D0.Q
|
||||
# IPL_030_2_.Q & inst_CLK_000_D1.Q
|
||||
IPL_030_2_.D = (!inst_CLK_000_D0.Q & IPL_030_2_.Q
|
||||
# inst_CLK_000_D1.Q & IPL_030_2_.Q
|
||||
# IPL_2_ & inst_CLK_000_D0.Q & !inst_CLK_000_D1.Q);
|
||||
|
||||
IPL_030_2_.AP = (!RST);
|
||||
|
||||
IPL_030_2_.C = (CLK_OSZI);
|
||||
|
||||
IPL_030_1_.D = (IPL_030_1_.Q & !inst_CLK_000_D0.Q
|
||||
# IPL_030_1_.Q & inst_CLK_000_D1.Q
|
||||
# IPL_1_ & inst_CLK_000_D0.Q & !inst_CLK_000_D1.Q);
|
||||
|
||||
IPL_030_1_.AP = (!RST);
|
||||
|
||||
IPL_030_1_.C = (CLK_OSZI);
|
||||
|
||||
IPL_030_0_.D = (IPL_030_0_.Q & !inst_CLK_000_D0.Q
|
||||
# IPL_030_0_.Q & inst_CLK_000_D1.Q
|
||||
# IPL_0_ & inst_CLK_000_D0.Q & !inst_CLK_000_D1.Q);
|
||||
|
||||
IPL_030_0_.AP = (!RST);
|
||||
|
||||
IPL_030_0_.C = (CLK_OSZI);
|
||||
|
||||
DSACK_1_.OE = (nEXP_SPACE);
|
||||
|
||||
!DSACK_1_.D = (!DSACK_1_.Q & !AS_030.PIN
|
||||
# !inst_CLK_000_D4.Q & SM_AMIGA_1_.Q & inst_CLK_000_D3.Q);
|
||||
|
||||
DSACK_1_.AP = (!RST);
|
||||
|
||||
DSACK_1_.C = (CLK_OSZI);
|
||||
|
||||
AS_030.OE = (!nEXP_SPACE & !BGACK_030.Q & !AS_030.Q);
|
||||
|
||||
AS_030.D = (BGACK_030.Q
|
||||
|
@ -261,24 +247,40 @@ AS_030.AP = (!RST);
|
|||
|
||||
AS_030.C = (CLK_OSZI);
|
||||
|
||||
IPL_030_1_.D = (!inst_CLK_000_D0.Q & IPL_030_1_.Q
|
||||
# inst_CLK_000_D1.Q & IPL_030_1_.Q
|
||||
# IPL_1_ & inst_CLK_000_D0.Q & !inst_CLK_000_D1.Q);
|
||||
|
||||
IPL_030_1_.AP = (!RST);
|
||||
|
||||
IPL_030_1_.C = (CLK_OSZI);
|
||||
|
||||
AS_000.OE = (BGACK_030.Q);
|
||||
|
||||
!AS_000.D = (inst_CLK_000_D4.Q & SM_AMIGA_5_.Q
|
||||
!AS_000.D = (inst_CLK_000_D2.Q & SM_AMIGA_5_.Q
|
||||
# !AS_000.Q & !AS_030.PIN);
|
||||
|
||||
AS_000.AP = (!RST);
|
||||
|
||||
AS_000.C = (CLK_OSZI);
|
||||
|
||||
IPL_030_0_.D = (!inst_CLK_000_D0.Q & IPL_030_0_.Q
|
||||
# inst_CLK_000_D1.Q & IPL_030_0_.Q
|
||||
# IPL_0_ & inst_CLK_000_D0.Q & !inst_CLK_000_D1.Q);
|
||||
|
||||
IPL_030_0_.AP = (!RST);
|
||||
|
||||
IPL_030_0_.C = (CLK_OSZI);
|
||||
|
||||
DS_030.OE = (!nEXP_SPACE & !BGACK_030.Q & !AS_030.Q);
|
||||
|
||||
DS_030.D = (BGACK_030.Q
|
||||
# AS_000.PIN
|
||||
# RW & AS_030.Q
|
||||
# AS_030.Q & RW_000.PIN
|
||||
# UDS_000.PIN & LDS_000.PIN
|
||||
# !CLK_030 & AS_030.Q & inst_CLK_030_H.Q
|
||||
# CLK_030 & !RW & DS_030.Q
|
||||
# !RW & !inst_CLK_030_H.Q & DS_030.Q);
|
||||
# CLK_030 & DS_030.Q & !RW_000.PIN
|
||||
# !inst_CLK_030_H.Q & DS_030.Q & !RW_000.PIN);
|
||||
|
||||
DS_030.AP = (!RST);
|
||||
|
||||
|
@ -287,12 +289,12 @@ DS_030.C = (CLK_OSZI);
|
|||
UDS_000.OE = (BGACK_030.Q);
|
||||
|
||||
!UDS_000.D = (!UDS_000.Q & !AS_030.PIN & DS_030.PIN
|
||||
# !RW & !inst_CLK_000_D0.Q & !UDS_000.Q & !AS_030.PIN
|
||||
# RW & !inst_CLK_000_D4.Q & !UDS_000.Q & !AS_030.PIN
|
||||
# RW & !SM_AMIGA_5_.Q & !UDS_000.Q & !AS_030.PIN
|
||||
# !RW & !UDS_000.Q & !SM_AMIGA_4_.Q & !AS_030.PIN
|
||||
# RW & inst_CLK_000_D4.Q & SM_AMIGA_5_.Q & !DS_030.PIN & !A0.PIN
|
||||
# !RW & inst_CLK_000_D0.Q & SM_AMIGA_4_.Q & !DS_030.PIN & !A0.PIN);
|
||||
# !inst_CLK_000_D2.Q & !UDS_000.Q & !AS_030.PIN & RW.PIN
|
||||
# !SM_AMIGA_5_.Q & !UDS_000.Q & !AS_030.PIN & RW.PIN
|
||||
# !inst_CLK_000_D0.Q & !UDS_000.Q & !AS_030.PIN & !RW.PIN
|
||||
# !UDS_000.Q & !SM_AMIGA_4_.Q & !AS_030.PIN & !RW.PIN
|
||||
# inst_CLK_000_D2.Q & SM_AMIGA_5_.Q & !DS_030.PIN & !A0.PIN & RW.PIN
|
||||
# inst_CLK_000_D0.Q & SM_AMIGA_4_.Q & !DS_030.PIN & !A0.PIN & !RW.PIN);
|
||||
|
||||
UDS_000.AP = (!RST);
|
||||
|
||||
|
@ -301,16 +303,16 @@ UDS_000.C = (CLK_OSZI);
|
|||
LDS_000.OE = (BGACK_030.Q);
|
||||
|
||||
!LDS_000.D = (!LDS_000.Q & !AS_030.PIN & DS_030.PIN
|
||||
# !RW & !inst_CLK_000_D0.Q & !LDS_000.Q & !AS_030.PIN
|
||||
# RW & !inst_CLK_000_D4.Q & !LDS_000.Q & !AS_030.PIN
|
||||
# RW & !SM_AMIGA_5_.Q & !LDS_000.Q & !AS_030.PIN
|
||||
# !RW & !LDS_000.Q & !SM_AMIGA_4_.Q & !AS_030.PIN
|
||||
# RW & inst_CLK_000_D4.Q & SM_AMIGA_5_.Q & !DS_030.PIN & !SIZE_0_.PIN
|
||||
# !RW & inst_CLK_000_D0.Q & SM_AMIGA_4_.Q & !DS_030.PIN & !SIZE_0_.PIN
|
||||
# RW & inst_CLK_000_D4.Q & SM_AMIGA_5_.Q & !DS_030.PIN & SIZE_1_.PIN
|
||||
# !RW & inst_CLK_000_D0.Q & SM_AMIGA_4_.Q & !DS_030.PIN & SIZE_1_.PIN
|
||||
# RW & inst_CLK_000_D4.Q & SM_AMIGA_5_.Q & !DS_030.PIN & A0.PIN
|
||||
# !RW & inst_CLK_000_D0.Q & SM_AMIGA_4_.Q & !DS_030.PIN & A0.PIN);
|
||||
# !inst_CLK_000_D2.Q & !LDS_000.Q & !AS_030.PIN & RW.PIN
|
||||
# !SM_AMIGA_5_.Q & !LDS_000.Q & !AS_030.PIN & RW.PIN
|
||||
# !inst_CLK_000_D0.Q & !LDS_000.Q & !AS_030.PIN & !RW.PIN
|
||||
# !LDS_000.Q & !SM_AMIGA_4_.Q & !AS_030.PIN & !RW.PIN
|
||||
# inst_CLK_000_D2.Q & SM_AMIGA_5_.Q & !DS_030.PIN & !SIZE_0_.PIN & RW.PIN
|
||||
# inst_CLK_000_D2.Q & SM_AMIGA_5_.Q & !DS_030.PIN & SIZE_1_.PIN & RW.PIN
|
||||
# inst_CLK_000_D2.Q & SM_AMIGA_5_.Q & !DS_030.PIN & A0.PIN & RW.PIN
|
||||
# inst_CLK_000_D0.Q & SM_AMIGA_4_.Q & !DS_030.PIN & !SIZE_0_.PIN & !RW.PIN
|
||||
# inst_CLK_000_D0.Q & SM_AMIGA_4_.Q & !DS_030.PIN & SIZE_1_.PIN & !RW.PIN
|
||||
# inst_CLK_000_D0.Q & SM_AMIGA_4_.Q & !DS_030.PIN & A0.PIN & !RW.PIN);
|
||||
|
||||
LDS_000.AP = (!RST);
|
||||
|
||||
|
@ -351,6 +353,15 @@ FPU_CS.AP = (!RST);
|
|||
|
||||
FPU_CS.C = (CLK_OSZI);
|
||||
|
||||
DSACK1.OE = (nEXP_SPACE);
|
||||
|
||||
!DSACK1.D = (inst_CLK_000_D0.Q & SM_AMIGA_2_.Q
|
||||
# !DSACK1.Q & !AS_030.PIN);
|
||||
|
||||
DSACK1.AP = (!RST);
|
||||
|
||||
DSACK1.C = (CLK_OSZI);
|
||||
|
||||
E.D.X1 = (inst_CLK_000_D0.Q & !inst_CLK_000_D1.Q & cpu_est_1_.Q & cpu_est_2_.Q & E.Q
|
||||
# inst_CLK_000_D0.Q & !inst_CLK_000_D1.Q & cpu_est_0_.Q & !cpu_est_2_.Q & !E.Q
|
||||
# inst_CLK_000_D0.Q & !inst_CLK_000_D1.Q & !cpu_est_1_.Q & !cpu_est_2_.Q & !E.Q);
|
||||
|
@ -376,17 +387,6 @@ RESET.D = (1);
|
|||
|
||||
RESET.C = (CLK_OSZI);
|
||||
|
||||
!AMIGA_BUS_ENABLE.D = (!BGACK_030.Q
|
||||
# nEXP_SPACE & inst_BGACK_030_INT_D.Q & !inst_CLK_000_D4.Q & SM_AMIGA_6_.Q
|
||||
# !nEXP_SPACE & inst_BGACK_030_INT_D.Q & !AMIGA_BUS_ENABLE.Q & !AS_030.PIN
|
||||
# inst_BGACK_030_INT_D.Q & !SM_AMIGA_6_.Q & !AMIGA_BUS_ENABLE.Q & !AS_030.PIN
|
||||
# !nEXP_SPACE & inst_BGACK_030_INT_D.Q & !SM_AMIGA_1_.Q & !SM_AMIGA_0_.Q & !AMIGA_BUS_ENABLE.Q
|
||||
# inst_BGACK_030_INT_D.Q & !SM_AMIGA_1_.Q & !SM_AMIGA_0_.Q & !SM_AMIGA_6_.Q & !AMIGA_BUS_ENABLE.Q);
|
||||
|
||||
AMIGA_BUS_ENABLE.AP = (!RST);
|
||||
|
||||
AMIGA_BUS_ENABLE.C = (CLK_OSZI);
|
||||
|
||||
SIZE_0_.OE = (!nEXP_SPACE & !BGACK_030.Q & !AS_030.Q);
|
||||
|
||||
!SIZE_0_.D = (!BGACK_030.Q & !AS_000.PIN & !UDS_000.PIN & !LDS_000.PIN);
|
||||
|
@ -399,9 +399,9 @@ inst_AS_030_000_SYNC.D = (AS_030.PIN
|
|||
# !nEXP_SPACE & inst_AS_030_000_SYNC.Q
|
||||
# !CLK_030 & inst_AS_030_000_SYNC.Q
|
||||
# !BGACK_030.Q & inst_AS_030_000_SYNC.Q
|
||||
# !nEXP_SPACE & SM_AMIGA_6_.Q
|
||||
# inst_AS_030_000_SYNC.Q & !SM_AMIGA_7_.Q
|
||||
# !inst_CLK_000_D4.Q & SM_AMIGA_1_.Q & inst_CLK_000_D3.Q
|
||||
# inst_CLK_000_D0.Q & SM_AMIGA_2_.Q
|
||||
# !nEXP_SPACE & !inst_CLK_000_D2.Q & SM_AMIGA_7_.Q & inst_CLK_000_D3.Q
|
||||
# FC_1_ & BGACK_000 & !A_19_ & !A_18_ & A_17_ & !A_16_ & FC_0_ & inst_AS_030_000_SYNC.Q);
|
||||
|
||||
inst_AS_030_000_SYNC.AP = (!RST);
|
||||
|
@ -444,12 +444,6 @@ inst_CLK_000_D2.AP = (!RST);
|
|||
|
||||
inst_CLK_000_D2.C = (CLK_OSZI);
|
||||
|
||||
inst_CLK_000_D4.D = (inst_CLK_000_D3.Q);
|
||||
|
||||
inst_CLK_000_D4.AP = (!RST);
|
||||
|
||||
inst_CLK_000_D4.C = (CLK_OSZI);
|
||||
|
||||
inst_DTACK_D0.D = (DTACK.PIN);
|
||||
|
||||
inst_DTACK_D0.AP = (!RST);
|
||||
|
@ -470,30 +464,29 @@ inst_CLK_OUT_PRE_25.D = (inst_CLK_OUT_PRE_50_D.Q & inst_CLK_OUT_PRE_25.Q
|
|||
|
||||
inst_CLK_OUT_PRE_25.C = (CLK_OSZI);
|
||||
|
||||
SM_AMIGA_1_.AR = (!RST);
|
||||
!SM_AMIGA_7_.D = (!inst_CLK_000_D0.Q & !SM_AMIGA_7_.Q
|
||||
# !SM_AMIGA_7_.Q & !SM_AMIGA_0_.Q
|
||||
# nEXP_SPACE & !inst_AS_030_000_SYNC.Q & !inst_CLK_000_D0.Q & !inst_CLK_000_D2.Q & inst_CLK_000_D3.Q
|
||||
# nEXP_SPACE & !inst_AS_030_000_SYNC.Q & !inst_CLK_000_D2.Q & !SM_AMIGA_0_.Q & inst_CLK_000_D3.Q);
|
||||
|
||||
SM_AMIGA_1_.D = (inst_CLK_000_D0.Q & SM_AMIGA_1_.Q
|
||||
# inst_CLK_000_D0.Q & SM_AMIGA_2_.Q
|
||||
# !inst_CLK_000_D4.Q & SM_AMIGA_1_.Q & inst_CLK_000_D3.Q);
|
||||
SM_AMIGA_7_.AP = (!RST);
|
||||
|
||||
SM_AMIGA_1_.C = (CLK_OSZI);
|
||||
SM_AMIGA_7_.C = (CLK_OSZI);
|
||||
|
||||
SM_AMIGA_6_.AR = (!RST);
|
||||
|
||||
SM_AMIGA_6_.D = (!inst_CLK_000_D0.Q & !SM_AMIGA_7_.Q & SM_AMIGA_6_.Q
|
||||
# nEXP_SPACE & !inst_AS_030_000_SYNC.Q & !inst_CLK_000_D2.Q & SM_AMIGA_7_.Q & inst_CLK_000_D3.Q);
|
||||
|
||||
SM_AMIGA_6_.C = (CLK_OSZI);
|
||||
|
||||
SM_AMIGA_0_.AR = (!RST);
|
||||
|
||||
SM_AMIGA_0_.D = (!inst_CLK_000_D0.Q & SM_AMIGA_0_.Q
|
||||
# !AS_000.Q & SM_AMIGA_0_.Q
|
||||
# !inst_CLK_000_D0.Q & inst_CLK_000_D4.Q & SM_AMIGA_1_.Q
|
||||
# !inst_CLK_000_D0.Q & SM_AMIGA_1_.Q & !inst_CLK_000_D3.Q);
|
||||
# !inst_CLK_000_D0.Q & SM_AMIGA_1_.Q);
|
||||
|
||||
SM_AMIGA_0_.C = (CLK_OSZI);
|
||||
|
||||
SM_AMIGA_6_.AR = (!RST);
|
||||
|
||||
SM_AMIGA_6_.D = (!inst_AS_030_000_SYNC.Q & !inst_CLK_000_D2.Q & inst_CLK_000_D3.Q & SM_AMIGA_7_.Q
|
||||
# nEXP_SPACE & !inst_CLK_000_D0.Q & SM_AMIGA_6_.Q & !SM_AMIGA_7_.Q);
|
||||
|
||||
SM_AMIGA_6_.C = (CLK_OSZI);
|
||||
|
||||
SM_AMIGA_5_.AR = (!RST);
|
||||
|
||||
SM_AMIGA_5_.D = (inst_CLK_000_D0.Q & SM_AMIGA_6_.Q
|
||||
|
@ -501,6 +494,33 @@ SM_AMIGA_5_.D = (inst_CLK_000_D0.Q & SM_AMIGA_6_.Q
|
|||
|
||||
SM_AMIGA_5_.C = (CLK_OSZI);
|
||||
|
||||
SM_AMIGA_2_.AR = (!RST);
|
||||
|
||||
SM_AMIGA_2_.D = (!inst_CLK_000_D0.Q & SM_AMIGA_2_.Q
|
||||
# inst_VPA_D.Q & !inst_CLK_000_D0.Q & inst_CLK_000_D1.Q & !inst_DTACK_D0.Q & SM_AMIGA_3_.Q
|
||||
# !VMA.Q & !inst_VPA_D.Q & !inst_CLK_000_D0.Q & inst_CLK_000_D1.Q & SM_AMIGA_3_.Q & !cpu_est_1_.Q & E.Q);
|
||||
|
||||
SM_AMIGA_2_.C = (CLK_OSZI);
|
||||
|
||||
!inst_RW_000_INT.D = (CLK_030 & !inst_CLK_000_D0.Q & !inst_RW_000_INT.Q
|
||||
# BGACK_030.Q & !inst_CLK_000_D0.Q & !inst_RW_000_INT.Q
|
||||
# !inst_CLK_000_D0.Q & !inst_RW_000_INT.Q & AS_000.PIN
|
||||
# CLK_030 & !SM_AMIGA_6_.Q & !SM_AMIGA_0_.Q & !inst_RW_000_INT.Q
|
||||
# BGACK_030.Q & !SM_AMIGA_6_.Q & !SM_AMIGA_0_.Q & !inst_RW_000_INT.Q
|
||||
# !SM_AMIGA_6_.Q & !SM_AMIGA_0_.Q & !inst_RW_000_INT.Q & AS_000.PIN
|
||||
# !inst_CLK_000_D0.Q & !inst_RW_000_INT.Q & UDS_000.PIN & LDS_000.PIN
|
||||
# CLK_030 & inst_CLK_000_D0.Q & SM_AMIGA_6_.Q & !RW.PIN
|
||||
# BGACK_030.Q & inst_CLK_000_D0.Q & SM_AMIGA_6_.Q & !RW.PIN
|
||||
# inst_CLK_000_D0.Q & SM_AMIGA_6_.Q & AS_000.PIN & !RW.PIN
|
||||
# !CLK_030 & !BGACK_030.Q & !AS_000.PIN & !RW_000.PIN & !UDS_000.PIN
|
||||
# !SM_AMIGA_6_.Q & !SM_AMIGA_0_.Q & !inst_RW_000_INT.Q & UDS_000.PIN & LDS_000.PIN
|
||||
# !CLK_030 & !BGACK_030.Q & !AS_000.PIN & !RW_000.PIN & !LDS_000.PIN
|
||||
# inst_CLK_000_D0.Q & SM_AMIGA_6_.Q & UDS_000.PIN & LDS_000.PIN & !RW.PIN);
|
||||
|
||||
inst_RW_000_INT.AP = (!RST);
|
||||
|
||||
inst_RW_000_INT.C = (CLK_OSZI);
|
||||
|
||||
inst_CLK_000_D3.D = (inst_CLK_000_D2.Q);
|
||||
|
||||
inst_CLK_000_D3.AP = (!RST);
|
||||
|
@ -515,16 +535,6 @@ inst_CLK_030_H.D = (!RST & inst_CLK_030_H.Q
|
|||
|
||||
inst_CLK_030_H.C = (CLK_OSZI);
|
||||
|
||||
SM_AMIGA_7_.D = (inst_AS_030_000_SYNC.Q & SM_AMIGA_7_.Q
|
||||
# inst_CLK_000_D2.Q & SM_AMIGA_7_.Q
|
||||
# !inst_CLK_000_D3.Q & SM_AMIGA_7_.Q
|
||||
# inst_CLK_000_D0.Q & AS_000.Q & SM_AMIGA_0_.Q
|
||||
# !nEXP_SPACE & !inst_CLK_000_D0.Q & SM_AMIGA_6_.Q);
|
||||
|
||||
SM_AMIGA_7_.AP = (!RST);
|
||||
|
||||
SM_AMIGA_7_.C = (CLK_OSZI);
|
||||
|
||||
SM_AMIGA_4_.AR = (!RST);
|
||||
|
||||
SM_AMIGA_4_.D = (!inst_CLK_000_D0.Q & SM_AMIGA_5_.Q
|
||||
|
@ -541,13 +551,12 @@ SM_AMIGA_3_.AR = (!RST);
|
|||
|
||||
SM_AMIGA_3_.C = (CLK_OSZI);
|
||||
|
||||
SM_AMIGA_2_.AR = (!RST);
|
||||
SM_AMIGA_1_.AR = (!RST);
|
||||
|
||||
SM_AMIGA_2_.D = (!inst_CLK_000_D0.Q & SM_AMIGA_2_.Q
|
||||
# inst_VPA_D.Q & !inst_CLK_000_D0.Q & inst_CLK_000_D1.Q & !inst_DTACK_D0.Q & SM_AMIGA_3_.Q
|
||||
# !VMA.Q & !inst_VPA_D.Q & !inst_CLK_000_D0.Q & inst_CLK_000_D1.Q & SM_AMIGA_3_.Q & !cpu_est_1_.Q & E.Q);
|
||||
SM_AMIGA_1_.D = (inst_CLK_000_D0.Q & SM_AMIGA_2_.Q
|
||||
# inst_CLK_000_D0.Q & SM_AMIGA_1_.Q);
|
||||
|
||||
SM_AMIGA_2_.C = (CLK_OSZI);
|
||||
SM_AMIGA_1_.C = (CLK_OSZI);
|
||||
|
||||
cpu_est_0_.AR = (!RST);
|
||||
|
||||
|
|
|
@ -1,16 +1,16 @@
|
|||
#PLAFILE 68030_tk.tt4
|
||||
#DATE 05/25/2014
|
||||
#DATE 06/01/2014
|
||||
#DESIGN <no design name>
|
||||
#DEVICE mach447a
|
||||
|
||||
DATA LOCATION A0:G_8_69 // IO
|
||||
DATA LOCATION AMIGA_BUS_DATA_DIR:E_0_48 // OUT
|
||||
DATA LOCATION AMIGA_BUS_ENABLE:D_7_34 // IO {RN_AMIGA_BUS_ENABLE}
|
||||
DATA LOCATION AMIGA_BUS_ENABLE:D_3_34 // OUT
|
||||
DATA LOCATION AMIGA_BUS_ENABLE_LOW:C_1_20 // OUT
|
||||
DATA LOCATION AS_000:D_5_33 // IO {RN_AS_000}
|
||||
DATA LOCATION AS_000:D_4_33 // IO {RN_AS_000}
|
||||
DATA LOCATION AS_030:H_6_82 // IO {RN_AS_030}
|
||||
DATA LOCATION AVEC:A_2_92 // OUT
|
||||
DATA LOCATION AVEC_EXP:C_0_22 // OUT
|
||||
DATA LOCATION AVEC_EXP:C_0_22 // IO {RN_AVEC_EXP}
|
||||
DATA LOCATION A_16_:A_*_96 // INP
|
||||
DATA LOCATION A_17_:F_*_59 // INP
|
||||
DATA LOCATION A_18_:A_*_95 // INP
|
||||
|
@ -29,77 +29,77 @@ DATA LOCATION A_30_:B_*_5 // INP
|
|||
DATA LOCATION A_31_:B_*_4 // INP
|
||||
DATA LOCATION BERR:E_2_41 // OUT
|
||||
DATA LOCATION BGACK_000:D_*_28 // INP
|
||||
DATA LOCATION BGACK_030:H_5_83 // IO {RN_BGACK_030}
|
||||
DATA LOCATION BG_000:D_1_29 // IO {RN_BG_000}
|
||||
DATA LOCATION BGACK_030:H_4_83 // IO {RN_BGACK_030}
|
||||
DATA LOCATION BG_000:D_2_29 // IO {RN_BG_000}
|
||||
DATA LOCATION BG_030:C_*_21 // INP
|
||||
DATA LOCATION CIIN:E_1_47 // OUT
|
||||
DATA LOCATION CLK_000:*_*_11 // INP
|
||||
DATA LOCATION CLK_030:*_*_64 // INP
|
||||
DATA LOCATION CLK_DIV_OUT:G_0_65 // OUT
|
||||
DATA LOCATION CLK_DIV_OUT:G_3_65 // OUT
|
||||
DATA LOCATION CLK_EXP:B_0_10 // OUT
|
||||
DATA LOCATION CLK_OSZI:*_*_61 // Cin
|
||||
DATA LOCATION DSACK_0_:H_10_80 // OUT
|
||||
DATA LOCATION DSACK_1_:H_11_81 // IO {RN_DSACK_1_}
|
||||
DATA LOCATION DS_030:A_5_98 // IO {RN_DS_030}
|
||||
DATA LOCATION DSACK1:H_8_81 // IO {RN_DSACK1}
|
||||
DATA LOCATION DS_030:A_0_98 // IO {RN_DS_030}
|
||||
DATA LOCATION DTACK:D_0_30 // IO
|
||||
DATA LOCATION E:G_2_66 // IO {RN_E}
|
||||
DATA LOCATION FC_0_:F_*_57 // INP
|
||||
DATA LOCATION FC_1_:F_*_58 // INP
|
||||
DATA LOCATION FPU_CS:H_1_78 // IO {RN_FPU_CS}
|
||||
DATA LOCATION FPU_CS:H_2_78 // IO {RN_FPU_CS}
|
||||
DATA LOCATION IPL_030_0_:B_4_8 // IO {RN_IPL_030_0_}
|
||||
DATA LOCATION IPL_030_1_:B_6_7 // IO {RN_IPL_030_1_}
|
||||
DATA LOCATION IPL_030_2_:B_2_9 // IO {RN_IPL_030_2_}
|
||||
DATA LOCATION IPL_0_:G_*_67 // INP
|
||||
DATA LOCATION IPL_1_:F_*_56 // INP
|
||||
DATA LOCATION IPL_2_:G_*_68 // INP
|
||||
DATA LOCATION LDS_000:D_9_31 // IO {RN_LDS_000}
|
||||
DATA LOCATION LDS_000:D_8_31 // IO {RN_LDS_000}
|
||||
DATA LOCATION RESET:B_1_3 // OUT
|
||||
DATA LOCATION RN_AMIGA_BUS_ENABLE:D_7 // NOD {AMIGA_BUS_ENABLE}
|
||||
DATA LOCATION RN_AS_000:D_5 // NOD {AS_000}
|
||||
DATA LOCATION RN_AS_000:D_4 // NOD {AS_000}
|
||||
DATA LOCATION RN_AS_030:H_6 // NOD {AS_030}
|
||||
DATA LOCATION RN_BGACK_030:H_5 // NOD {BGACK_030}
|
||||
DATA LOCATION RN_BG_000:D_1 // NOD {BG_000}
|
||||
DATA LOCATION RN_DSACK_1_:H_11 // NOD {DSACK_1_}
|
||||
DATA LOCATION RN_DS_030:A_5 // NOD {DS_030}
|
||||
DATA LOCATION RN_AVEC_EXP:C_0 // NOD {AVEC_EXP}
|
||||
DATA LOCATION RN_BGACK_030:H_4 // NOD {BGACK_030}
|
||||
DATA LOCATION RN_BG_000:D_2 // NOD {BG_000}
|
||||
DATA LOCATION RN_DSACK1:H_8 // NOD {DSACK1}
|
||||
DATA LOCATION RN_DS_030:A_0 // NOD {DS_030}
|
||||
DATA LOCATION RN_E:G_2 // NOD {E}
|
||||
DATA LOCATION RN_FPU_CS:H_1 // NOD {FPU_CS}
|
||||
DATA LOCATION RN_FPU_CS:H_2 // NOD {FPU_CS}
|
||||
DATA LOCATION RN_IPL_030_0_:B_4 // NOD {IPL_030_0_}
|
||||
DATA LOCATION RN_IPL_030_1_:B_6 // NOD {IPL_030_1_}
|
||||
DATA LOCATION RN_IPL_030_2_:B_2 // NOD {IPL_030_2_}
|
||||
DATA LOCATION RN_LDS_000:D_9 // NOD {LDS_000}
|
||||
DATA LOCATION RN_LDS_000:D_8 // NOD {LDS_000}
|
||||
DATA LOCATION RN_UDS_000:D_6 // NOD {UDS_000}
|
||||
DATA LOCATION RN_VMA:D_3 // NOD {VMA}
|
||||
DATA LOCATION RN_VMA:D_1 // NOD {VMA}
|
||||
DATA LOCATION RST:*_*_86 // INP
|
||||
DATA LOCATION RW:G_*_71 // INP
|
||||
DATA LOCATION SIZE_0_:G_14_70 // IO
|
||||
DATA LOCATION RW:G_0_71 // IO
|
||||
DATA LOCATION RW_000:H_1_80 // IO
|
||||
DATA LOCATION SIZE_0_:G_1_70 // IO
|
||||
DATA LOCATION SIZE_1_:H_0_79 // IO
|
||||
DATA LOCATION SM_AMIGA_0_:B_5 // NOD
|
||||
DATA LOCATION SM_AMIGA_1_:B_10 // NOD
|
||||
DATA LOCATION SM_AMIGA_2_:G_6 // NOD
|
||||
DATA LOCATION SM_AMIGA_3_:G_7 // NOD
|
||||
DATA LOCATION SM_AMIGA_4_:B_7 // NOD
|
||||
DATA LOCATION SM_AMIGA_5_:D_2 // NOD
|
||||
DATA LOCATION SM_AMIGA_6_:H_7 // NOD
|
||||
DATA LOCATION SM_AMIGA_7_:A_11 // NOD
|
||||
DATA LOCATION SM_AMIGA_0_:A_1 // NOD
|
||||
DATA LOCATION SM_AMIGA_1_:H_7 // NOD
|
||||
DATA LOCATION SM_AMIGA_2_:G_5 // NOD
|
||||
DATA LOCATION SM_AMIGA_3_:G_6 // NOD
|
||||
DATA LOCATION SM_AMIGA_4_:D_9 // NOD
|
||||
DATA LOCATION SM_AMIGA_5_:B_8 // NOD
|
||||
DATA LOCATION SM_AMIGA_6_:B_9 // NOD
|
||||
DATA LOCATION SM_AMIGA_7_:B_5 // NOD
|
||||
DATA LOCATION UDS_000:D_6_32 // IO {RN_UDS_000}
|
||||
DATA LOCATION VMA:D_3_35 // IO {RN_VMA}
|
||||
DATA LOCATION VMA:D_1_35 // IO {RN_VMA}
|
||||
DATA LOCATION VPA:*_*_36 // INP
|
||||
DATA LOCATION cpu_est_0_:B_8 // NOD
|
||||
DATA LOCATION cpu_est_1_:G_4 // NOD
|
||||
DATA LOCATION cpu_est_2_:G_5 // NOD
|
||||
DATA LOCATION inst_AS_030_000_SYNC:H_9 // NOD
|
||||
DATA LOCATION cpu_est_0_:C_2 // NOD
|
||||
DATA LOCATION cpu_est_1_:B_3 // NOD
|
||||
DATA LOCATION cpu_est_2_:G_4 // NOD
|
||||
DATA LOCATION inst_AS_030_000_SYNC:C_3 // NOD
|
||||
DATA LOCATION inst_BGACK_030_INT_D:D_10 // NOD
|
||||
DATA LOCATION inst_CLK_000_D0:D_12 // NOD
|
||||
DATA LOCATION inst_CLK_000_D1:H_3 // NOD
|
||||
DATA LOCATION inst_CLK_000_D2:H_12 // NOD
|
||||
DATA LOCATION inst_CLK_000_D3:H_2 // NOD
|
||||
DATA LOCATION inst_CLK_000_D4:H_8 // NOD
|
||||
DATA LOCATION inst_CLK_030_H:A_0 // NOD
|
||||
DATA LOCATION inst_CLK_OUT_PRE_25:B_9 // NOD
|
||||
DATA LOCATION inst_CLK_OUT_PRE_50:G_1 // NOD
|
||||
DATA LOCATION inst_CLK_OUT_PRE_50_D:A_3 // NOD
|
||||
DATA LOCATION inst_DTACK_D0:A_1 // NOD
|
||||
DATA LOCATION inst_VPA_D:H_14 // NOD
|
||||
DATA LOCATION inst_CLK_000_D0:D_5 // NOD
|
||||
DATA LOCATION inst_CLK_000_D1:D_7 // NOD
|
||||
DATA LOCATION inst_CLK_000_D2:H_3 // NOD
|
||||
DATA LOCATION inst_CLK_000_D3:C_4 // NOD
|
||||
DATA LOCATION inst_CLK_030_H:H_5 // NOD
|
||||
DATA LOCATION inst_CLK_OUT_PRE_25:B_7 // NOD
|
||||
DATA LOCATION inst_CLK_OUT_PRE_50:H_9 // NOD
|
||||
DATA LOCATION inst_CLK_OUT_PRE_50_D:H_12 // NOD
|
||||
DATA LOCATION inst_DTACK_D0:H_11 // NOD
|
||||
DATA LOCATION inst_RW_000_INT:A_3 // NOD
|
||||
DATA LOCATION inst_VPA_D:H_10 // NOD
|
||||
DATA LOCATION nEXP_SPACE:*_*_14 // INP
|
||||
DATA IO_DIR A0:BI
|
||||
DATA IO_DIR AMIGA_BUS_DATA_DIR:OUT
|
||||
|
@ -136,8 +136,7 @@ DATA IO_DIR CLK_030:IN
|
|||
DATA IO_DIR CLK_DIV_OUT:OUT
|
||||
DATA IO_DIR CLK_EXP:OUT
|
||||
DATA IO_DIR CLK_OSZI:IN
|
||||
DATA IO_DIR DSACK_0_:OUT
|
||||
DATA IO_DIR DSACK_1_:BI
|
||||
DATA IO_DIR DSACK1:BI
|
||||
DATA IO_DIR DS_030:BI
|
||||
DATA IO_DIR DTACK:BI
|
||||
DATA IO_DIR E:OUT
|
||||
|
@ -153,7 +152,8 @@ DATA IO_DIR IPL_2_:IN
|
|||
DATA IO_DIR LDS_000:BI
|
||||
DATA IO_DIR RESET:OUT
|
||||
DATA IO_DIR RST:IN
|
||||
DATA IO_DIR RW:IN
|
||||
DATA IO_DIR RW:BI
|
||||
DATA IO_DIR RW_000:BI
|
||||
DATA IO_DIR SIZE_0_:BI
|
||||
DATA IO_DIR SIZE_1_:BI
|
||||
DATA IO_DIR UDS_000:BI
|
||||
|
@ -161,179 +161,179 @@ DATA IO_DIR VMA:OUT
|
|||
DATA IO_DIR VPA:IN
|
||||
DATA IO_DIR nEXP_SPACE:IN
|
||||
DATA GLB_CLOCK CLK_OSZI
|
||||
DATA PW_LEVEL A_21_:1
|
||||
DATA SLEW A_21_:1
|
||||
DATA PW_LEVEL A_20_:1
|
||||
DATA SLEW A_20_:1
|
||||
DATA PW_LEVEL A_19_:1
|
||||
DATA SLEW A_19_:1
|
||||
DATA PW_LEVEL A_18_:1
|
||||
DATA SLEW A_18_:1
|
||||
DATA PW_LEVEL A_31_:1
|
||||
DATA PW_LEVEL A_26_:0
|
||||
DATA SLEW A_26_:1
|
||||
DATA PW_LEVEL A_25_:0
|
||||
DATA SLEW A_25_:1
|
||||
DATA PW_LEVEL A_24_:0
|
||||
DATA SLEW A_24_:1
|
||||
DATA PW_LEVEL A_23_:0
|
||||
DATA SLEW A_23_:1
|
||||
DATA PW_LEVEL A_31_:0
|
||||
DATA SLEW A_31_:1
|
||||
DATA PW_LEVEL A_17_:1
|
||||
DATA SLEW A_17_:1
|
||||
DATA PW_LEVEL A_16_:1
|
||||
DATA SLEW A_16_:1
|
||||
DATA PW_LEVEL IPL_2_:1
|
||||
DATA PW_LEVEL A_22_:0
|
||||
DATA SLEW A_22_:1
|
||||
DATA PW_LEVEL A_21_:0
|
||||
DATA SLEW A_21_:1
|
||||
DATA PW_LEVEL A_20_:0
|
||||
DATA SLEW A_20_:1
|
||||
DATA PW_LEVEL A_19_:0
|
||||
DATA SLEW A_19_:1
|
||||
DATA PW_LEVEL IPL_2_:0
|
||||
DATA SLEW IPL_2_:1
|
||||
DATA PW_LEVEL IPL_1_:1
|
||||
DATA SLEW IPL_1_:1
|
||||
DATA PW_LEVEL IPL_0_:1
|
||||
DATA SLEW IPL_0_:1
|
||||
DATA PW_LEVEL DSACK_0_:1
|
||||
DATA SLEW DSACK_0_:1
|
||||
DATA PW_LEVEL FC_0_:1
|
||||
DATA SLEW FC_0_:1
|
||||
DATA PW_LEVEL FC_1_:1
|
||||
DATA PW_LEVEL A_18_:0
|
||||
DATA SLEW A_18_:1
|
||||
DATA PW_LEVEL A_17_:0
|
||||
DATA SLEW A_17_:1
|
||||
DATA PW_LEVEL FC_1_:0
|
||||
DATA SLEW FC_1_:1
|
||||
DATA PW_LEVEL A_16_:0
|
||||
DATA SLEW A_16_:1
|
||||
DATA PW_LEVEL RW_000:0
|
||||
DATA SLEW RW_000:1
|
||||
DATA PW_LEVEL IPL_1_:0
|
||||
DATA SLEW IPL_1_:1
|
||||
DATA PW_LEVEL IPL_0_:0
|
||||
DATA SLEW IPL_0_:1
|
||||
DATA PW_LEVEL FC_0_:0
|
||||
DATA SLEW FC_0_:1
|
||||
DATA SLEW nEXP_SPACE:1
|
||||
DATA PW_LEVEL BERR:1
|
||||
DATA PW_LEVEL BERR:0
|
||||
DATA SLEW BERR:1
|
||||
DATA PW_LEVEL BG_030:1
|
||||
DATA PW_LEVEL BG_030:0
|
||||
DATA SLEW BG_030:1
|
||||
DATA PW_LEVEL BGACK_000:1
|
||||
DATA PW_LEVEL BGACK_000:0
|
||||
DATA SLEW BGACK_000:1
|
||||
DATA SLEW CLK_030:1
|
||||
DATA SLEW CLK_000:1
|
||||
DATA SLEW CLK_OSZI:1
|
||||
DATA PW_LEVEL CLK_DIV_OUT:1
|
||||
DATA PW_LEVEL CLK_DIV_OUT:0
|
||||
DATA SLEW CLK_DIV_OUT:1
|
||||
DATA PW_LEVEL DTACK:1
|
||||
DATA PW_LEVEL DTACK:0
|
||||
DATA SLEW DTACK:1
|
||||
DATA PW_LEVEL AVEC:1
|
||||
DATA PW_LEVEL AVEC:0
|
||||
DATA SLEW AVEC:1
|
||||
DATA PW_LEVEL AVEC_EXP:1
|
||||
DATA PW_LEVEL AVEC_EXP:0
|
||||
DATA SLEW AVEC_EXP:1
|
||||
DATA SLEW VPA:1
|
||||
DATA SLEW RST:1
|
||||
DATA PW_LEVEL RW:1
|
||||
DATA PW_LEVEL RW:0
|
||||
DATA SLEW RW:1
|
||||
DATA PW_LEVEL AMIGA_BUS_DATA_DIR:1
|
||||
DATA SLEW AMIGA_BUS_DATA_DIR:1
|
||||
DATA PW_LEVEL AMIGA_BUS_ENABLE_LOW:1
|
||||
DATA SLEW AMIGA_BUS_ENABLE_LOW:1
|
||||
DATA PW_LEVEL CIIN:1
|
||||
DATA SLEW CIIN:1
|
||||
DATA PW_LEVEL A_30_:1
|
||||
DATA SLEW A_30_:1
|
||||
DATA PW_LEVEL A_29_:1
|
||||
DATA SLEW A_29_:1
|
||||
DATA PW_LEVEL A_28_:1
|
||||
DATA SLEW A_28_:1
|
||||
DATA PW_LEVEL A_27_:1
|
||||
DATA SLEW A_27_:1
|
||||
DATA PW_LEVEL A_26_:1
|
||||
DATA SLEW A_26_:1
|
||||
DATA PW_LEVEL A_25_:1
|
||||
DATA SLEW A_25_:1
|
||||
DATA PW_LEVEL A_24_:1
|
||||
DATA SLEW A_24_:1
|
||||
DATA PW_LEVEL A_23_:1
|
||||
DATA SLEW A_23_:1
|
||||
DATA PW_LEVEL A_22_:1
|
||||
DATA SLEW A_22_:1
|
||||
DATA PW_LEVEL SIZE_1_:1
|
||||
DATA SLEW SIZE_1_:1
|
||||
DATA PW_LEVEL IPL_030_2_:1
|
||||
DATA SLEW IPL_030_2_:1
|
||||
DATA PW_LEVEL IPL_030_1_:1
|
||||
DATA SLEW IPL_030_1_:1
|
||||
DATA PW_LEVEL IPL_030_0_:1
|
||||
DATA SLEW IPL_030_0_:1
|
||||
DATA PW_LEVEL DSACK_1_:1
|
||||
DATA SLEW DSACK_1_:1
|
||||
DATA PW_LEVEL AS_030:1
|
||||
DATA SLEW AS_030:1
|
||||
DATA PW_LEVEL AS_000:1
|
||||
DATA SLEW AS_000:1
|
||||
DATA PW_LEVEL DS_030:1
|
||||
DATA SLEW DS_030:1
|
||||
DATA PW_LEVEL UDS_000:1
|
||||
DATA SLEW UDS_000:1
|
||||
DATA PW_LEVEL LDS_000:1
|
||||
DATA SLEW LDS_000:1
|
||||
DATA PW_LEVEL A0:1
|
||||
DATA SLEW A0:1
|
||||
DATA PW_LEVEL BG_000:1
|
||||
DATA SLEW BG_000:1
|
||||
DATA PW_LEVEL BGACK_030:1
|
||||
DATA SLEW BGACK_030:1
|
||||
DATA PW_LEVEL CLK_EXP:1
|
||||
DATA SLEW CLK_EXP:1
|
||||
DATA PW_LEVEL FPU_CS:1
|
||||
DATA SLEW FPU_CS:1
|
||||
DATA PW_LEVEL E:1
|
||||
DATA SLEW E:1
|
||||
DATA PW_LEVEL VMA:1
|
||||
DATA SLEW VMA:1
|
||||
DATA PW_LEVEL RESET:1
|
||||
DATA SLEW RESET:1
|
||||
DATA PW_LEVEL AMIGA_BUS_ENABLE:1
|
||||
DATA PW_LEVEL AMIGA_BUS_ENABLE:0
|
||||
DATA SLEW AMIGA_BUS_ENABLE:1
|
||||
DATA PW_LEVEL SIZE_0_:1
|
||||
DATA PW_LEVEL AMIGA_BUS_DATA_DIR:0
|
||||
DATA SLEW AMIGA_BUS_DATA_DIR:1
|
||||
DATA PW_LEVEL AMIGA_BUS_ENABLE_LOW:0
|
||||
DATA SLEW AMIGA_BUS_ENABLE_LOW:1
|
||||
DATA PW_LEVEL CIIN:0
|
||||
DATA SLEW CIIN:1
|
||||
DATA PW_LEVEL A_30_:0
|
||||
DATA SLEW A_30_:1
|
||||
DATA PW_LEVEL A_29_:0
|
||||
DATA SLEW A_29_:1
|
||||
DATA PW_LEVEL A_28_:0
|
||||
DATA SLEW A_28_:1
|
||||
DATA PW_LEVEL A_27_:0
|
||||
DATA SLEW A_27_:1
|
||||
DATA PW_LEVEL SIZE_1_:0
|
||||
DATA SLEW SIZE_1_:1
|
||||
DATA PW_LEVEL IPL_030_2_:0
|
||||
DATA SLEW IPL_030_2_:1
|
||||
DATA PW_LEVEL AS_030:0
|
||||
DATA SLEW AS_030:1
|
||||
DATA PW_LEVEL IPL_030_1_:0
|
||||
DATA SLEW IPL_030_1_:1
|
||||
DATA PW_LEVEL AS_000:0
|
||||
DATA SLEW AS_000:1
|
||||
DATA PW_LEVEL IPL_030_0_:0
|
||||
DATA SLEW IPL_030_0_:1
|
||||
DATA PW_LEVEL DS_030:0
|
||||
DATA SLEW DS_030:1
|
||||
DATA PW_LEVEL UDS_000:0
|
||||
DATA SLEW UDS_000:1
|
||||
DATA PW_LEVEL LDS_000:0
|
||||
DATA SLEW LDS_000:1
|
||||
DATA PW_LEVEL A0:0
|
||||
DATA SLEW A0:1
|
||||
DATA PW_LEVEL BG_000:0
|
||||
DATA SLEW BG_000:1
|
||||
DATA PW_LEVEL BGACK_030:0
|
||||
DATA SLEW BGACK_030:1
|
||||
DATA PW_LEVEL CLK_EXP:0
|
||||
DATA SLEW CLK_EXP:1
|
||||
DATA PW_LEVEL FPU_CS:0
|
||||
DATA SLEW FPU_CS:1
|
||||
DATA PW_LEVEL DSACK1:0
|
||||
DATA SLEW DSACK1:1
|
||||
DATA PW_LEVEL E:0
|
||||
DATA SLEW E:1
|
||||
DATA PW_LEVEL VMA:0
|
||||
DATA SLEW VMA:1
|
||||
DATA PW_LEVEL RESET:0
|
||||
DATA SLEW RESET:1
|
||||
DATA PW_LEVEL SIZE_0_:0
|
||||
DATA SLEW SIZE_0_:1
|
||||
DATA PW_LEVEL inst_AS_030_000_SYNC:1
|
||||
DATA PW_LEVEL inst_AS_030_000_SYNC:0
|
||||
DATA SLEW inst_AS_030_000_SYNC:1
|
||||
DATA PW_LEVEL inst_BGACK_030_INT_D:1
|
||||
DATA PW_LEVEL inst_BGACK_030_INT_D:0
|
||||
DATA SLEW inst_BGACK_030_INT_D:1
|
||||
DATA PW_LEVEL inst_VPA_D:1
|
||||
DATA PW_LEVEL inst_VPA_D:0
|
||||
DATA SLEW inst_VPA_D:1
|
||||
DATA PW_LEVEL inst_CLK_OUT_PRE_50_D:1
|
||||
DATA PW_LEVEL inst_CLK_OUT_PRE_50_D:0
|
||||
DATA SLEW inst_CLK_OUT_PRE_50_D:1
|
||||
DATA PW_LEVEL inst_CLK_000_D0:1
|
||||
DATA PW_LEVEL inst_CLK_000_D0:0
|
||||
DATA SLEW inst_CLK_000_D0:1
|
||||
DATA PW_LEVEL inst_CLK_000_D1:1
|
||||
DATA PW_LEVEL inst_CLK_000_D1:0
|
||||
DATA SLEW inst_CLK_000_D1:1
|
||||
DATA PW_LEVEL inst_CLK_000_D2:1
|
||||
DATA PW_LEVEL inst_CLK_000_D2:0
|
||||
DATA SLEW inst_CLK_000_D2:1
|
||||
DATA PW_LEVEL inst_CLK_000_D4:1
|
||||
DATA SLEW inst_CLK_000_D4:1
|
||||
DATA PW_LEVEL inst_DTACK_D0:1
|
||||
DATA PW_LEVEL inst_DTACK_D0:0
|
||||
DATA SLEW inst_DTACK_D0:1
|
||||
DATA PW_LEVEL inst_CLK_OUT_PRE_50:1
|
||||
DATA PW_LEVEL inst_CLK_OUT_PRE_50:0
|
||||
DATA SLEW inst_CLK_OUT_PRE_50:1
|
||||
DATA PW_LEVEL inst_CLK_OUT_PRE_25:1
|
||||
DATA PW_LEVEL inst_CLK_OUT_PRE_25:0
|
||||
DATA SLEW inst_CLK_OUT_PRE_25:1
|
||||
DATA PW_LEVEL SM_AMIGA_1_:1
|
||||
DATA SLEW SM_AMIGA_1_:1
|
||||
DATA PW_LEVEL SM_AMIGA_0_:1
|
||||
DATA SLEW SM_AMIGA_0_:1
|
||||
DATA PW_LEVEL SM_AMIGA_6_:1
|
||||
DATA SLEW SM_AMIGA_6_:1
|
||||
DATA PW_LEVEL SM_AMIGA_5_:1
|
||||
DATA SLEW SM_AMIGA_5_:1
|
||||
DATA PW_LEVEL inst_CLK_000_D3:1
|
||||
DATA SLEW inst_CLK_000_D3:1
|
||||
DATA PW_LEVEL inst_CLK_030_H:1
|
||||
DATA SLEW inst_CLK_030_H:1
|
||||
DATA PW_LEVEL SM_AMIGA_7_:1
|
||||
DATA PW_LEVEL SM_AMIGA_7_:0
|
||||
DATA SLEW SM_AMIGA_7_:1
|
||||
DATA PW_LEVEL SM_AMIGA_4_:1
|
||||
DATA SLEW SM_AMIGA_4_:1
|
||||
DATA PW_LEVEL SM_AMIGA_3_:1
|
||||
DATA SLEW SM_AMIGA_3_:1
|
||||
DATA PW_LEVEL SM_AMIGA_2_:1
|
||||
DATA PW_LEVEL SM_AMIGA_6_:0
|
||||
DATA SLEW SM_AMIGA_6_:1
|
||||
DATA PW_LEVEL SM_AMIGA_0_:0
|
||||
DATA SLEW SM_AMIGA_0_:1
|
||||
DATA PW_LEVEL SM_AMIGA_5_:0
|
||||
DATA SLEW SM_AMIGA_5_:1
|
||||
DATA PW_LEVEL SM_AMIGA_2_:0
|
||||
DATA SLEW SM_AMIGA_2_:1
|
||||
DATA PW_LEVEL cpu_est_0_:1
|
||||
DATA PW_LEVEL inst_RW_000_INT:0
|
||||
DATA SLEW inst_RW_000_INT:1
|
||||
DATA PW_LEVEL inst_CLK_000_D3:0
|
||||
DATA SLEW inst_CLK_000_D3:1
|
||||
DATA PW_LEVEL inst_CLK_030_H:0
|
||||
DATA SLEW inst_CLK_030_H:1
|
||||
DATA PW_LEVEL SM_AMIGA_4_:0
|
||||
DATA SLEW SM_AMIGA_4_:1
|
||||
DATA PW_LEVEL SM_AMIGA_3_:0
|
||||
DATA SLEW SM_AMIGA_3_:1
|
||||
DATA PW_LEVEL SM_AMIGA_1_:0
|
||||
DATA SLEW SM_AMIGA_1_:1
|
||||
DATA PW_LEVEL cpu_est_0_:0
|
||||
DATA SLEW cpu_est_0_:1
|
||||
DATA PW_LEVEL cpu_est_1_:1
|
||||
DATA PW_LEVEL cpu_est_1_:0
|
||||
DATA SLEW cpu_est_1_:1
|
||||
DATA PW_LEVEL cpu_est_2_:1
|
||||
DATA PW_LEVEL cpu_est_2_:0
|
||||
DATA SLEW cpu_est_2_:1
|
||||
DATA PW_LEVEL RN_IPL_030_2_:1
|
||||
DATA PW_LEVEL RN_IPL_030_1_:1
|
||||
DATA PW_LEVEL RN_IPL_030_0_:1
|
||||
DATA PW_LEVEL RN_DSACK_1_:1
|
||||
DATA PW_LEVEL RN_AS_030:1
|
||||
DATA PW_LEVEL RN_AS_000:1
|
||||
DATA PW_LEVEL RN_DS_030:1
|
||||
DATA PW_LEVEL RN_UDS_000:1
|
||||
DATA PW_LEVEL RN_LDS_000:1
|
||||
DATA PW_LEVEL RN_BG_000:1
|
||||
DATA PW_LEVEL RN_BGACK_030:1
|
||||
DATA PW_LEVEL RN_FPU_CS:1
|
||||
DATA PW_LEVEL RN_E:1
|
||||
DATA PW_LEVEL RN_VMA:1
|
||||
DATA PW_LEVEL RN_AMIGA_BUS_ENABLE:1
|
||||
DATA PW_LEVEL RN_IPL_030_2_:0
|
||||
DATA PW_LEVEL RN_AS_030:0
|
||||
DATA PW_LEVEL RN_IPL_030_1_:0
|
||||
DATA PW_LEVEL RN_AS_000:0
|
||||
DATA PW_LEVEL RN_IPL_030_0_:0
|
||||
DATA PW_LEVEL RN_DS_030:0
|
||||
DATA PW_LEVEL RN_UDS_000:0
|
||||
DATA PW_LEVEL RN_LDS_000:0
|
||||
DATA PW_LEVEL RN_BG_000:0
|
||||
DATA PW_LEVEL RN_BGACK_030:0
|
||||
DATA PW_LEVEL RN_FPU_CS:0
|
||||
DATA PW_LEVEL RN_DSACK1:0
|
||||
DATA PW_LEVEL RN_E:0
|
||||
DATA PW_LEVEL RN_VMA:0
|
||||
DATA PW_LEVEL RN_AVEC_EXP:0
|
||||
END
|
||||
|
|
|
@ -1,17 +1,16 @@
|
|||
|
||||
GROUP MACH_SEG_A DS_030 RN_DS_030 SM_AMIGA_7_ inst_DTACK_D0 inst_CLK_OUT_PRE_50_D
|
||||
AVEC inst_CLK_030_H
|
||||
GROUP MACH_SEG_A DS_030 RN_DS_030 inst_RW_000_INT SM_AMIGA_0_ AVEC
|
||||
GROUP MACH_SEG_B IPL_030_1_ RN_IPL_030_1_ IPL_030_0_ RN_IPL_030_0_ IPL_030_2_
|
||||
RN_IPL_030_2_ CLK_EXP RESET SM_AMIGA_0_ SM_AMIGA_1_ inst_CLK_OUT_PRE_25
|
||||
SM_AMIGA_4_ cpu_est_0_
|
||||
GROUP MACH_SEG_C AVEC_EXP AMIGA_BUS_ENABLE_LOW
|
||||
GROUP MACH_SEG_D LDS_000 RN_LDS_000 UDS_000 RN_UDS_000 AMIGA_BUS_ENABLE
|
||||
RN_AMIGA_BUS_ENABLE VMA RN_VMA BG_000 RN_BG_000 AS_000 RN_AS_000
|
||||
SM_AMIGA_5_ inst_CLK_000_D0 inst_BGACK_030_INT_D DTACK
|
||||
RN_IPL_030_2_ CLK_EXP RESET SM_AMIGA_7_ SM_AMIGA_6_ cpu_est_1_ inst_CLK_OUT_PRE_25
|
||||
SM_AMIGA_5_
|
||||
GROUP MACH_SEG_C AVEC_EXP RN_AVEC_EXP inst_AS_030_000_SYNC cpu_est_0_ inst_CLK_000_D3
|
||||
AMIGA_BUS_ENABLE_LOW
|
||||
GROUP MACH_SEG_D LDS_000 RN_LDS_000 UDS_000 RN_UDS_000 VMA RN_VMA BG_000
|
||||
RN_BG_000 AS_000 RN_AS_000 SM_AMIGA_4_ inst_CLK_000_D0 inst_BGACK_030_INT_D
|
||||
inst_CLK_000_D1 DTACK AMIGA_BUS_ENABLE
|
||||
GROUP MACH_SEG_E CIIN AMIGA_BUS_DATA_DIR BERR
|
||||
GROUP MACH_SEG_G E RN_E A0 SIZE_0_ CLK_DIV_OUT SM_AMIGA_2_ SM_AMIGA_3_
|
||||
cpu_est_1_ cpu_est_2_ inst_CLK_OUT_PRE_50
|
||||
GROUP MACH_SEG_H FPU_CS RN_FPU_CS AS_030 RN_AS_030 DSACK_1_ RN_DSACK_1_
|
||||
SIZE_1_ BGACK_030 RN_BGACK_030 inst_AS_030_000_SYNC SM_AMIGA_6_ inst_VPA_D
|
||||
inst_CLK_000_D3 inst_CLK_000_D4 inst_CLK_000_D2 inst_CLK_000_D1 DSACK_0_
|
||||
|
||||
cpu_est_2_ RW
|
||||
GROUP MACH_SEG_H FPU_CS RN_FPU_CS AS_030 RN_AS_030 SIZE_1_ DSACK1 RN_DSACK1
|
||||
BGACK_030 RN_BGACK_030 SM_AMIGA_1_ inst_VPA_D inst_DTACK_D0 inst_CLK_OUT_PRE_50_D
|
||||
inst_CLK_OUT_PRE_50 inst_CLK_000_D2 RW_000 inst_CLK_030_H
|
|
@ -1 +1 @@
|
|||
<LATTICE_ENCRYPTED_BLIF>77:0=67(zS'4<
|
||||
<LATTICE_ENCRYPTED_BLIF>4164307ðsl5{jgj
|
File diff suppressed because it is too large
Load Diff
|
@ -16,8 +16,8 @@ RCS = "$Revision: 1.2 $";
|
|||
Parent = m4a5.lci;
|
||||
SDS_File = m4a5.sds;
|
||||
Design = 68030_tk.tt4;
|
||||
DATE = 5/29/14;
|
||||
TIME = 22:04:32;
|
||||
DATE = 6/1/14;
|
||||
TIME = 01:03:29;
|
||||
Source_Format = Pure_VHDL;
|
||||
Type = TT2;
|
||||
Pre_Fit_Time = 1;
|
||||
|
@ -76,19 +76,24 @@ Usercode_Format = Hex;
|
|||
|
||||
[LOCATION ASSIGNMENTS]
|
||||
Layer = OFF;
|
||||
A_26_ = pin,17,-,C,-;
|
||||
A_25_ = pin,18,-,C,-;
|
||||
A_24_ = pin,19,-,C,-;
|
||||
A_23_ = pin,84,-,H,-;
|
||||
A_31_ = pin,4,-,B,-;
|
||||
A_22_ = pin,85,-,H,-;
|
||||
A_21_ = pin,94,-,A,-;
|
||||
A_20_ = pin,93,-,A,-;
|
||||
A_19_ = pin,97,-,A,-;
|
||||
A_18_ = pin,95,-,A,-;
|
||||
A_31_ = pin,4,-,B,-;
|
||||
A_17_ = pin,59,-,F,-;
|
||||
A_16_ = pin,96,-,A,-;
|
||||
IPL_2_ = pin,68,-,G,-;
|
||||
A_18_ = pin,95,-,A,-;
|
||||
A_17_ = pin,59,-,F,-;
|
||||
FC_1_ = pin,58,-,F,-;
|
||||
A_16_ = pin,96,-,A,-;
|
||||
RW_000 = pin,80,-,H,-;
|
||||
IPL_1_ = pin,56,-,F,-;
|
||||
IPL_0_ = pin,67,-,G,-;
|
||||
DSACK_0_ = pin,80,-,H,-;
|
||||
FC_0_ = pin,57,-,F,-;
|
||||
FC_1_ = pin,58,-,F,-;
|
||||
nEXP_SPACE = pin,14,-,-,-;
|
||||
BERR = pin,41,-,E,-;
|
||||
BG_030 = pin,21,-,C,-;
|
||||
|
@ -103,6 +108,7 @@ AVEC_EXP = pin,22,-,C,-;
|
|||
VPA = pin,36,-,-,-;
|
||||
RST = pin,86,-,-,-;
|
||||
RW = pin,71,-,G,-;
|
||||
AMIGA_BUS_ENABLE = pin,34,-,D,-;
|
||||
AMIGA_BUS_DATA_DIR = pin,48,-,E,-;
|
||||
AMIGA_BUS_ENABLE_LOW = pin,20,-,C,-;
|
||||
CIIN = pin,47,-,E,-;
|
||||
|
@ -110,18 +116,12 @@ A_30_ = pin,5,-,B,-;
|
|||
A_29_ = pin,6,-,B,-;
|
||||
A_28_ = pin,15,-,C,-;
|
||||
A_27_ = pin,16,-,C,-;
|
||||
A_26_ = pin,17,-,C,-;
|
||||
A_25_ = pin,18,-,C,-;
|
||||
A_24_ = pin,19,-,C,-;
|
||||
A_23_ = pin,84,-,H,-;
|
||||
A_22_ = pin,85,-,H,-;
|
||||
SIZE_1_ = pin,79,-,H,-;
|
||||
IPL_030_2_ = pin,9,-,B,-;
|
||||
IPL_030_1_ = pin,7,-,B,-;
|
||||
IPL_030_0_ = pin,8,-,B,-;
|
||||
DSACK_1_ = pin,81,-,H,-;
|
||||
AS_030 = pin,82,-,H,-;
|
||||
IPL_030_1_ = pin,7,-,B,-;
|
||||
AS_000 = pin,33,-,D,-;
|
||||
IPL_030_0_ = pin,8,-,B,-;
|
||||
DS_030 = pin,98,-,A,-;
|
||||
UDS_000 = pin,32,-,D,-;
|
||||
LDS_000 = pin,31,-,D,-;
|
||||
|
@ -130,35 +130,35 @@ BG_000 = pin,29,-,D,-;
|
|||
BGACK_030 = pin,83,-,H,-;
|
||||
CLK_EXP = pin,10,-,B,-;
|
||||
FPU_CS = pin,78,-,H,-;
|
||||
DSACK1 = pin,81,-,H,-;
|
||||
E = pin,66,-,G,-;
|
||||
VMA = pin,35,-,D,-;
|
||||
RESET = pin,3,-,B,-;
|
||||
AMIGA_BUS_ENABLE = pin,34,-,D,-;
|
||||
SIZE_0_ = pin,70,-,G,-;
|
||||
inst_AS_030_000_SYNC = node,-,-,H,9;
|
||||
inst_AS_030_000_SYNC = node,-,-,C,3;
|
||||
inst_BGACK_030_INT_D = node,-,-,D,10;
|
||||
inst_VPA_D = node,-,-,H,14;
|
||||
inst_CLK_OUT_PRE_50_D = node,-,-,A,3;
|
||||
inst_CLK_000_D0 = node,-,-,D,12;
|
||||
inst_CLK_000_D1 = node,-,-,H,3;
|
||||
inst_CLK_000_D2 = node,-,-,H,12;
|
||||
inst_CLK_000_D4 = node,-,-,H,8;
|
||||
inst_DTACK_D0 = node,-,-,A,1;
|
||||
inst_CLK_OUT_PRE_50 = node,-,-,G,1;
|
||||
inst_CLK_OUT_PRE_25 = node,-,-,B,9;
|
||||
SM_AMIGA_1_ = node,-,-,B,10;
|
||||
SM_AMIGA_0_ = node,-,-,B,5;
|
||||
SM_AMIGA_6_ = node,-,-,H,7;
|
||||
SM_AMIGA_5_ = node,-,-,D,2;
|
||||
inst_CLK_000_D3 = node,-,-,H,2;
|
||||
inst_CLK_030_H = node,-,-,A,0;
|
||||
SM_AMIGA_7_ = node,-,-,A,11;
|
||||
SM_AMIGA_4_ = node,-,-,B,7;
|
||||
SM_AMIGA_3_ = node,-,-,G,7;
|
||||
SM_AMIGA_2_ = node,-,-,G,6;
|
||||
cpu_est_0_ = node,-,-,B,8;
|
||||
cpu_est_1_ = node,-,-,G,4;
|
||||
cpu_est_2_ = node,-,-,G,5;
|
||||
inst_VPA_D = node,-,-,H,10;
|
||||
inst_CLK_OUT_PRE_50_D = node,-,-,H,12;
|
||||
inst_CLK_000_D0 = node,-,-,D,5;
|
||||
inst_CLK_000_D1 = node,-,-,D,7;
|
||||
inst_CLK_000_D2 = node,-,-,H,3;
|
||||
inst_DTACK_D0 = node,-,-,H,11;
|
||||
inst_CLK_OUT_PRE_50 = node,-,-,H,9;
|
||||
inst_CLK_OUT_PRE_25 = node,-,-,B,7;
|
||||
SM_AMIGA_7_ = node,-,-,B,5;
|
||||
SM_AMIGA_6_ = node,-,-,B,9;
|
||||
SM_AMIGA_0_ = node,-,-,A,1;
|
||||
SM_AMIGA_5_ = node,-,-,B,8;
|
||||
SM_AMIGA_2_ = node,-,-,G,5;
|
||||
inst_RW_000_INT = node,-,-,A,3;
|
||||
inst_CLK_000_D3 = node,-,-,C,4;
|
||||
inst_CLK_030_H = node,-,-,H,5;
|
||||
SM_AMIGA_4_ = node,-,-,D,9;
|
||||
SM_AMIGA_3_ = node,-,-,G,6;
|
||||
SM_AMIGA_1_ = node,-,-,H,7;
|
||||
cpu_est_0_ = node,-,-,C,2;
|
||||
cpu_est_1_ = node,-,-,B,3;
|
||||
cpu_est_2_ = node,-,-,G,4;
|
||||
|
||||
[GROUP ASSIGNMENTS]
|
||||
Layer = OFF;
|
||||
|
@ -195,7 +195,7 @@ Page_Break = Yes;
|
|||
|
||||
[POWER]
|
||||
Powerlevel = Low,High;
|
||||
Default = Low;
|
||||
Default = High;
|
||||
Type = GLB;
|
||||
|
||||
[SOURCE CONSTRAINT OPTION]
|
||||
|
|
5201
Logic/68030_tk.out
5201
Logic/68030_tk.out
File diff suppressed because it is too large
Load Diff
|
@ -8,22 +8,27 @@
|
|||
; Source file 68030_tk.tt4
|
||||
; FITTER-generated Placements.
|
||||
; DEVICE mach447a
|
||||
; DATE Thu May 29 22:04:32 2014
|
||||
; DATE Sun Jun 01 01:03:29 2014
|
||||
|
||||
|
||||
Pin 17 A_26_
|
||||
Pin 18 A_25_
|
||||
Pin 19 A_24_
|
||||
Pin 84 A_23_
|
||||
Pin 4 A_31_
|
||||
Pin 85 A_22_
|
||||
Pin 94 A_21_
|
||||
Pin 93 A_20_
|
||||
Pin 97 A_19_
|
||||
Pin 95 A_18_
|
||||
Pin 4 A_31_
|
||||
Pin 59 A_17_
|
||||
Pin 96 A_16_
|
||||
Pin 68 IPL_2_
|
||||
Pin 95 A_18_
|
||||
Pin 59 A_17_
|
||||
Pin 58 FC_1_
|
||||
Pin 96 A_16_
|
||||
Pin 80 RW_000 Comb ; S6=1 S9=1 Pair 271
|
||||
Pin 56 IPL_1_
|
||||
Pin 67 IPL_0_
|
||||
Pin 80 DSACK_0_ Comb ; S6=1 S9=1 Pair 284
|
||||
Pin 57 FC_0_
|
||||
Pin 58 FC_1_
|
||||
Pin 14 nEXP_SPACE
|
||||
Pin 41 BERR Comb ; S6=1 S9=1 Pair 200
|
||||
Pin 21 BG_030
|
||||
|
@ -31,13 +36,14 @@ Pin 28 BGACK_000
|
|||
Pin 64 CLK_030
|
||||
Pin 11 CLK_000
|
||||
Pin 61 CLK_OSZI
|
||||
Pin 65 CLK_DIV_OUT Reg ; S6=1 S9=1 Pair 245
|
||||
Pin 65 CLK_DIV_OUT Reg ; S6=1 S9=1 Pair 250
|
||||
Pin 30 DTACK Comb ; S6=1 S9=1 Pair 173
|
||||
Pin 92 AVEC Comb ; S6=1 S9=1 Pair 104
|
||||
Pin 22 AVEC_EXP Comb ; S6=1 S9=1 Pair 149
|
||||
Pin 22 AVEC_EXP Reg ; S6=1 S9=1 Pair 149
|
||||
Pin 36 VPA
|
||||
Pin 86 RST
|
||||
Pin 71 RW
|
||||
Pin 71 RW Comb ; S6=1 S9=1 Pair 245
|
||||
Pin 34 AMIGA_BUS_ENABLE Comb ; S6=1 S9=1 Pair 178
|
||||
Pin 48 AMIGA_BUS_DATA_DIR Comb ; S6=1 S9=1 Pair 197
|
||||
Pin 20 AMIGA_BUS_ENABLE_LOW Comb ; S6=1 S9=1 Pair 151
|
||||
Pin 47 CIIN Comb ; S6=1 S9=1 Pair 199
|
||||
|
@ -45,73 +51,69 @@ Pin 5 A_30_
|
|||
Pin 6 A_29_
|
||||
Pin 15 A_28_
|
||||
Pin 16 A_27_
|
||||
Pin 17 A_26_
|
||||
Pin 18 A_25_
|
||||
Pin 19 A_24_
|
||||
Pin 84 A_23_
|
||||
Pin 85 A_22_
|
||||
Pin 79 SIZE_1_ Reg ; S6=1 S9=1 Pair 269
|
||||
Pin 9 IPL_030_2_ Reg ; S6=1 S9=1 Pair 128
|
||||
Pin 7 IPL_030_1_ Reg ; S6=1 S9=1 Pair 134
|
||||
Pin 8 IPL_030_0_ Reg ; S6=1 S9=1 Pair 131
|
||||
Pin 81 DSACK_1_ Reg ; S6=1 S9=1 Pair 286
|
||||
Pin 82 AS_030 Reg ; S6=1 S9=1 Pair 278
|
||||
Pin 33 AS_000 Reg ; S6=1 S9=1 Pair 181
|
||||
Pin 98 DS_030 Reg ; S6=1 S9=1 Pair 109
|
||||
Pin 7 IPL_030_1_ Reg ; S6=1 S9=1 Pair 134
|
||||
Pin 33 AS_000 Reg ; S6=1 S9=1 Pair 179
|
||||
Pin 8 IPL_030_0_ Reg ; S6=1 S9=1 Pair 131
|
||||
Pin 98 DS_030 Reg ; S6=1 S9=1 Pair 101
|
||||
Pin 32 UDS_000 Reg ; S6=1 S9=1 Pair 182
|
||||
Pin 31 LDS_000 Reg ; S6=1 S9=1 Pair 187
|
||||
Pin 31 LDS_000 Reg ; S6=1 S9=1 Pair 185
|
||||
Pin 69 A0 Reg ; S6=0 S9=1 Pair 257
|
||||
Pin 29 BG_000 Reg ; S6=1 S9=1 Pair 175
|
||||
Pin 83 BGACK_030 Reg ; S6=1 S9=1 Pair 277
|
||||
Pin 29 BG_000 Reg ; S6=1 S9=1 Pair 176
|
||||
Pin 83 BGACK_030 Reg ; S6=1 S9=1 Pair 275
|
||||
Pin 10 CLK_EXP Reg ; S6=0 S9=1 Pair 125
|
||||
Pin 78 FPU_CS Reg ; S6=1 S9=1 Pair 271
|
||||
Pin 78 FPU_CS Reg ; S6=1 S9=1 Pair 272
|
||||
Pin 81 DSACK1 Reg ; S6=1 S9=1 Pair 281
|
||||
Pin 66 E Reg ; S6=1 S9=1 Pair 248
|
||||
Pin 35 VMA Reg ; S6=1 S9=1 Pair 178
|
||||
Pin 35 VMA Reg ; S6=1 S9=1 Pair 175
|
||||
Pin 3 RESET Reg ; S6=0 S9=1 Pair 127
|
||||
Pin 34 AMIGA_BUS_ENABLE Reg ; S6=1 S9=1 Pair 184
|
||||
Pin 70 SIZE_0_ Reg ; S6=0 S9=1 Pair 266
|
||||
Pin 70 SIZE_0_ Reg ; S6=0 S9=1 Pair 247
|
||||
Node 271 RN_RW_000 Comb ; S6=1 S9=1
|
||||
Node 173 RN_DTACK Comb ; S6=1 S9=1
|
||||
Node 149 RN_AVEC_EXP Reg ; S6=1 S9=1
|
||||
Node 245 RN_RW Comb ; S6=1 S9=1
|
||||
Node 269 RN_SIZE_1_ Reg ; S6=1 S9=1
|
||||
Node 128 RN_IPL_030_2_ Reg ; S6=1 S9=1
|
||||
Node 134 RN_IPL_030_1_ Reg ; S6=1 S9=1
|
||||
Node 131 RN_IPL_030_0_ Reg ; S6=1 S9=1
|
||||
Node 286 RN_DSACK_1_ Reg ; S6=1 S9=1
|
||||
Node 278 RN_AS_030 Reg ; S6=1 S9=1
|
||||
Node 181 RN_AS_000 Reg ; S6=1 S9=1
|
||||
Node 109 RN_DS_030 Reg ; S6=1 S9=1
|
||||
Node 134 RN_IPL_030_1_ Reg ; S6=1 S9=1
|
||||
Node 179 RN_AS_000 Reg ; S6=1 S9=1
|
||||
Node 131 RN_IPL_030_0_ Reg ; S6=1 S9=1
|
||||
Node 101 RN_DS_030 Reg ; S6=1 S9=1
|
||||
Node 182 RN_UDS_000 Reg ; S6=1 S9=1
|
||||
Node 187 RN_LDS_000 Reg ; S6=1 S9=1
|
||||
Node 185 RN_LDS_000 Reg ; S6=1 S9=1
|
||||
Node 257 RN_A0 Reg ; S6=0 S9=1
|
||||
Node 175 RN_BG_000 Reg ; S6=1 S9=1
|
||||
Node 277 RN_BGACK_030 Reg ; S6=1 S9=1
|
||||
Node 271 RN_FPU_CS Reg ; S6=1 S9=1
|
||||
Node 176 RN_BG_000 Reg ; S6=1 S9=1
|
||||
Node 275 RN_BGACK_030 Reg ; S6=1 S9=1
|
||||
Node 272 RN_FPU_CS Reg ; S6=1 S9=1
|
||||
Node 281 RN_DSACK1 Reg ; S6=1 S9=1
|
||||
Node 248 RN_E Reg ; S6=1 S9=1
|
||||
Node 178 RN_VMA Reg ; S6=1 S9=1
|
||||
Node 184 RN_AMIGA_BUS_ENABLE Reg ; S6=1 S9=1
|
||||
Node 266 RN_SIZE_0_ Reg ; S6=0 S9=1
|
||||
Node 283 inst_AS_030_000_SYNC Reg ; S6=1 S9=1
|
||||
Node 175 RN_VMA Reg ; S6=1 S9=1
|
||||
Node 247 RN_SIZE_0_ Reg ; S6=0 S9=1
|
||||
Node 154 inst_AS_030_000_SYNC Reg ; S6=1 S9=1
|
||||
Node 188 inst_BGACK_030_INT_D Reg ; S6=1 S9=1
|
||||
Node 290 inst_VPA_D Reg ; S6=1 S9=1
|
||||
Node 106 inst_CLK_OUT_PRE_50_D Reg ; S6=0 S9=1
|
||||
Node 191 inst_CLK_000_D0 Reg ; S6=1 S9=1
|
||||
Node 274 inst_CLK_000_D1 Reg ; S6=1 S9=1
|
||||
Node 287 inst_CLK_000_D2 Reg ; S6=1 S9=1
|
||||
Node 281 inst_CLK_000_D4 Reg ; S6=1 S9=1
|
||||
Node 103 inst_DTACK_D0 Reg ; S6=1 S9=1
|
||||
Node 247 inst_CLK_OUT_PRE_50 Reg ; S6=1 S9=1
|
||||
Node 139 inst_CLK_OUT_PRE_25 Reg ; S6=0 S9=1
|
||||
Node 140 SM_AMIGA_1_ Reg ; S6=0 S9=1
|
||||
Node 133 SM_AMIGA_0_ Reg ; S6=0 S9=1
|
||||
Node 280 SM_AMIGA_6_ Reg ; S6=0 S9=1
|
||||
Node 176 SM_AMIGA_5_ Reg ; S6=0 S9=1
|
||||
Node 272 inst_CLK_000_D3 Reg ; S6=1 S9=1
|
||||
Node 101 inst_CLK_030_H Reg ; S6=1 S9=1
|
||||
Node 118 SM_AMIGA_7_ Reg ; S6=1 S9=1
|
||||
Node 136 SM_AMIGA_4_ Reg ; S6=0 S9=1
|
||||
Node 256 SM_AMIGA_3_ Reg ; S6=1 S9=1
|
||||
Node 254 SM_AMIGA_2_ Reg ; S6=1 S9=1
|
||||
Node 137 cpu_est_0_ Reg ; S6=0 S9=1
|
||||
Node 251 cpu_est_1_ Reg ; S6=1 S9=1
|
||||
Node 253 cpu_est_2_ Reg ; S6=1 S9=1
|
||||
Node 284 inst_VPA_D Reg ; S6=1 S9=1
|
||||
Node 287 inst_CLK_OUT_PRE_50_D Reg ; S6=0 S9=1
|
||||
Node 181 inst_CLK_000_D0 Reg ; S6=1 S9=1
|
||||
Node 184 inst_CLK_000_D1 Reg ; S6=1 S9=1
|
||||
Node 274 inst_CLK_000_D2 Reg ; S6=1 S9=1
|
||||
Node 286 inst_DTACK_D0 Reg ; S6=1 S9=1
|
||||
Node 283 inst_CLK_OUT_PRE_50 Reg ; S6=0 S9=1
|
||||
Node 136 inst_CLK_OUT_PRE_25 Reg ; S6=0 S9=1
|
||||
Node 133 SM_AMIGA_7_ Reg ; S6=1 S9=1
|
||||
Node 139 SM_AMIGA_6_ Reg ; S6=0 S9=1
|
||||
Node 103 SM_AMIGA_0_ Reg ; S6=0 S9=1
|
||||
Node 137 SM_AMIGA_5_ Reg ; S6=0 S9=1
|
||||
Node 253 SM_AMIGA_2_ Reg ; S6=1 S9=1
|
||||
Node 106 inst_RW_000_INT Reg ; S6=1 S9=1
|
||||
Node 155 inst_CLK_000_D3 Reg ; S6=1 S9=1
|
||||
Node 277 inst_CLK_030_H Reg ; S6=1 S9=1
|
||||
Node 187 SM_AMIGA_4_ Reg ; S6=0 S9=1
|
||||
Node 254 SM_AMIGA_3_ Reg ; S6=1 S9=1
|
||||
Node 280 SM_AMIGA_1_ Reg ; S6=0 S9=1
|
||||
Node 152 cpu_est_0_ Reg ; S6=0 S9=1
|
||||
Node 130 cpu_est_1_ Reg ; S6=0 S9=1
|
||||
Node 251 cpu_est_2_ Reg ; S6=1 S9=1
|
||||
; Unused Pins & Nodes
|
||||
; -> None Found.
|
||||
|
|
File diff suppressed because it is too large
Load Diff
File diff suppressed because it is too large
Load Diff
|
@ -33,22 +33,23 @@ TCR, Clocked Output-to-Register Time,
|
|||
#passes #passes #passes #passes
|
||||
SIGNAL NAME min max min max min max min max
|
||||
inst_DTACK_D0 1 2 .. .. .. .. 1 1
|
||||
inst_RW_000_INT 1 1 1 2 .. .. 2 2
|
||||
DTACK .. .. .. .. 1 1 .. ..
|
||||
AVEC_EXP 1 1 0 1 .. .. 1 1
|
||||
RN_AVEC_EXP 1 1 0 1 .. .. 1 1
|
||||
AMIGA_BUS_DATA_DIR .. .. .. .. 1 1 .. ..
|
||||
CIIN .. .. .. .. 1 1 .. ..
|
||||
SIZE_1_ 1 1 0 0 .. .. .. ..
|
||||
IPL_030_2_ 1 1 0 0 .. .. 1 1
|
||||
RN_IPL_030_2_ 1 1 0 0 .. .. 1 1
|
||||
IPL_030_1_ 1 1 0 0 .. .. 1 1
|
||||
RN_IPL_030_1_ 1 1 0 0 .. .. 1 1
|
||||
IPL_030_0_ 1 1 0 0 .. .. 1 1
|
||||
RN_IPL_030_0_ 1 1 0 0 .. .. 1 1
|
||||
DSACK_1_ 1 1 0 0 .. .. 1 1
|
||||
RN_DSACK_1_ 1 1 0 0 .. .. 1 1
|
||||
AS_030 1 1 0 0 .. .. 1 1
|
||||
RN_AS_030 1 1 0 0 .. .. 1 1
|
||||
IPL_030_1_ 1 1 0 0 .. .. 1 1
|
||||
RN_IPL_030_1_ 1 1 0 0 .. .. 1 1
|
||||
AS_000 1 1 0 0 .. .. 1 1
|
||||
RN_AS_000 1 1 0 0 .. .. 1 1
|
||||
IPL_030_0_ 1 1 0 0 .. .. 1 1
|
||||
RN_IPL_030_0_ 1 1 0 0 .. .. 1 1
|
||||
DS_030 1 1 0 0 .. .. 1 1
|
||||
RN_DS_030 1 1 0 0 .. .. 1 1
|
||||
UDS_000 1 1 0 0 .. .. 1 1
|
||||
|
@ -62,12 +63,12 @@ AMIGA_BUS_DATA_DIR .. .. .. .. 1 1 .. ..
|
|||
RN_BGACK_030 1 1 0 1 .. .. 1 1
|
||||
FPU_CS 1 1 0 0 .. .. 1 1
|
||||
RN_FPU_CS 1 1 0 0 .. .. 1 1
|
||||
DSACK1 1 1 0 0 .. .. 1 1
|
||||
RN_DSACK1 1 1 0 0 .. .. 1 1
|
||||
E .. .. 0 0 .. .. 1 1
|
||||
RN_E .. .. 0 0 .. .. 1 1
|
||||
VMA .. .. 0 0 .. .. 1 1
|
||||
RN_VMA .. .. 0 0 .. .. 1 1
|
||||
AMIGA_BUS_ENABLE 1 1 0 0 .. .. 1 1
|
||||
RN_AMIGA_BUS_ENABLE 1 1 0 0 .. .. 1 1
|
||||
SIZE_0_ 1 1 0 0 .. .. .. ..
|
||||
inst_AS_030_000_SYNC 1 1 .. .. .. .. 1 1
|
||||
inst_BGACK_030_INT_D .. .. .. .. .. .. 1 1
|
||||
|
@ -76,19 +77,18 @@ inst_CLK_OUT_PRE_50_D .. .. .. .. .. .. 1 1
|
|||
inst_CLK_000_D0 1 1 .. .. .. .. 1 1
|
||||
inst_CLK_000_D1 .. .. .. .. .. .. 1 1
|
||||
inst_CLK_000_D2 .. .. .. .. .. .. 1 1
|
||||
inst_CLK_000_D4 .. .. .. .. .. .. 1 1
|
||||
inst_CLK_OUT_PRE_50 .. .. .. .. .. .. 1 1
|
||||
inst_CLK_OUT_PRE_25 .. .. .. .. .. .. 1 1
|
||||
SM_AMIGA_1_ .. .. .. .. .. .. 1 1
|
||||
SM_AMIGA_0_ .. .. .. .. .. .. 1 1
|
||||
SM_AMIGA_7_ 1 1 .. .. .. .. 1 1
|
||||
SM_AMIGA_6_ 1 1 .. .. .. .. 1 1
|
||||
SM_AMIGA_0_ .. .. .. .. .. .. 1 1
|
||||
SM_AMIGA_5_ .. .. .. .. .. .. 1 1
|
||||
SM_AMIGA_2_ .. .. .. .. .. .. 1 1
|
||||
inst_CLK_000_D3 .. .. .. .. .. .. 1 1
|
||||
inst_CLK_030_H 1 1 .. .. .. .. 1 1
|
||||
SM_AMIGA_7_ 1 1 .. .. .. .. 1 1
|
||||
SM_AMIGA_4_ .. .. .. .. .. .. 1 1
|
||||
SM_AMIGA_3_ .. .. .. .. .. .. 1 1
|
||||
SM_AMIGA_2_ .. .. .. .. .. .. 1 1
|
||||
SM_AMIGA_1_ .. .. .. .. .. .. 1 1
|
||||
cpu_est_0_ .. .. .. .. .. .. 1 1
|
||||
cpu_est_1_ .. .. .. .. .. .. 1 1
|
||||
cpu_est_2_ .. .. .. .. .. .. 1 1
|
|
@ -1,365 +1,375 @@
|
|||
#$ TOOL ispLEVER Classic 1.7.00.05.28.13
|
||||
#$ DATE Thu May 29 22:04:27 2014
|
||||
#$ DATE Sun Jun 01 01:03:24 2014
|
||||
#$ MODULE 68030_tk
|
||||
#$ PINS 59 A_21_ A_20_ A_19_ A_18_ A_31_ A_17_ A_16_ IPL_2_ IPL_1_ IPL_0_ DSACK_0_ FC_0_ FC_1_ nEXP_SPACE BERR BG_030 BGACK_000 CLK_030 CLK_000 CLK_OSZI CLK_DIV_OUT DTACK AVEC AVEC_EXP VPA RST RW AMIGA_BUS_DATA_DIR AMIGA_BUS_ENABLE_LOW CIIN A_30_ A_29_ A_28_ A_27_ A_26_ A_25_ A_24_ A_23_ A_22_ SIZE_1_ IPL_030_2_ IPL_030_1_ IPL_030_0_ DSACK_1_ AS_030 AS_000 DS_030 UDS_000 LDS_000 A0 BG_000 BGACK_030 CLK_EXP FPU_CS E VMA RESET AMIGA_BUS_ENABLE SIZE_0_
|
||||
#$ NODES 24 inst_AS_030_000_SYNC inst_BGACK_030_INT_D inst_VPA_D inst_CLK_OUT_PRE_50_D inst_CLK_000_D0 inst_CLK_000_D1 inst_CLK_000_D2 inst_CLK_000_D4 inst_DTACK_D0 inst_CLK_OUT_PRE_50 inst_CLK_OUT_PRE_25 SM_AMIGA_1_ SM_AMIGA_0_ SM_AMIGA_6_ SM_AMIGA_5_ inst_CLK_000_D3 inst_CLK_030_H SM_AMIGA_7_ SM_AMIGA_4_ SM_AMIGA_3_ SM_AMIGA_2_ cpu_est_0_ cpu_est_1_ cpu_est_2_
|
||||
#$ PINS 59 A_26_ A_25_ A_24_ A_23_ A_31_ A_22_ A_21_ A_20_ A_19_ IPL_2_ A_18_ A_17_ FC_1_ A_16_ RW_000 IPL_1_ IPL_0_ FC_0_ nEXP_SPACE BERR BG_030 BGACK_000 CLK_030 CLK_000 CLK_OSZI CLK_DIV_OUT DTACK AVEC AVEC_EXP VPA RST RW AMIGA_BUS_ENABLE AMIGA_BUS_DATA_DIR AMIGA_BUS_ENABLE_LOW CIIN A_30_ A_29_ A_28_ A_27_ SIZE_1_ IPL_030_2_ AS_030 IPL_030_1_ AS_000 IPL_030_0_ DS_030 UDS_000 LDS_000 A0 BG_000 BGACK_030 CLK_EXP FPU_CS DSACK1 E VMA RESET SIZE_0_
|
||||
#$ NODES 25 inst_avec_expreg inst_AS_030_000_SYNC inst_BGACK_030_INT_D inst_VPA_D inst_CLK_OUT_PRE_50_D inst_CLK_000_D0 inst_CLK_000_D1 inst_CLK_000_D2 inst_DTACK_D0 inst_CLK_OUT_PRE_50 inst_CLK_OUT_PRE_25 SM_AMIGA_7_ SM_AMIGA_6_ SM_AMIGA_0_ SM_AMIGA_5_ SM_AMIGA_2_ inst_RW_000_INT inst_CLK_000_D3 inst_CLK_030_H SM_AMIGA_4_ SM_AMIGA_3_ SM_AMIGA_1_ cpu_est_0_ cpu_est_1_ cpu_est_2_
|
||||
.type fr
|
||||
.i 79
|
||||
.o 156
|
||||
.ilb A_31_ IPL_2_ FC_1_ nEXP_SPACE BG_030 BGACK_000 CLK_030 CLK_000 CLK_OSZI VPA RST RW A_30_ A_29_ A_28_ A_27_ A_26_ A_25_ A_24_ A_23_ A_22_ A_21_ A_20_ A_19_ A_18_ A_17_ A_16_ IPL_1_ IPL_0_ FC_0_ BGACK_030.Q FPU_CS.Q VMA.Q inst_AS_030_000_SYNC.Q IPL_030_0_.Q inst_BGACK_030_INT_D.Q AS_030.Q IPL_030_1_.Q inst_VPA_D.Q inst_CLK_OUT_PRE_50_D.Q IPL_030_2_.Q inst_CLK_000_D0.Q inst_CLK_000_D1.Q inst_CLK_000_D2.Q inst_CLK_000_D4.Q inst_DTACK_D0.Q inst_CLK_OUT_PRE_50.Q inst_CLK_OUT_PRE_25.Q AS_000.Q SM_AMIGA_1_.Q SM_AMIGA_0_.Q SM_AMIGA_6_.Q SM_AMIGA_5_.Q UDS_000.Q LDS_000.Q DSACK_1_.Q inst_CLK_000_D3.Q inst_CLK_030_H.Q DS_030.Q SM_AMIGA_7_.Q AMIGA_BUS_ENABLE.Q SM_AMIGA_4_.Q SM_AMIGA_3_.Q SM_AMIGA_2_.Q cpu_est_0_.Q cpu_est_1_.Q cpu_est_2_.Q E.Q BG_000.Q AS_030.PIN AS_000.PIN DS_030.PIN UDS_000.PIN LDS_000.PIN SIZE_0_.PIN SIZE_1_.PIN A0.PIN DSACK_1_.PIN DTACK.PIN
|
||||
.ob BERR AVEC AVEC_EXP AMIGA_BUS_DATA_DIR AMIGA_BUS_ENABLE_LOW CIIN cpu_est_0_.C cpu_est_0_.AR cpu_est_1_.C cpu_est_1_.AR cpu_est_2_.C cpu_est_2_.AR E.C E.AR SM_AMIGA_0_.C SM_AMIGA_0_.AR SIZE_1_.C SIZE_1_.AP IPL_030_0_.C IPL_030_0_.AP IPL_030_1_.C IPL_030_1_.AP IPL_030_2_.C IPL_030_2_.AP SM_AMIGA_7_.C SM_AMIGA_7_.AP SM_AMIGA_6_.C SM_AMIGA_6_.AR SM_AMIGA_5_.C SM_AMIGA_5_.AR SM_AMIGA_4_.C SM_AMIGA_4_.AR SM_AMIGA_3_.C SM_AMIGA_3_.AR SM_AMIGA_2_.C SM_AMIGA_2_.AR SM_AMIGA_1_.C SM_AMIGA_1_.AR DSACK_1_.C DSACK_1_.AP VMA.C VMA.AP BGACK_030.C BGACK_030.AP inst_CLK_OUT_PRE_25.C inst_CLK_OUT_PRE_25.AR SIZE_0_.C SIZE_0_.AP UDS_000.C UDS_000.AP LDS_000.C LDS_000.AP FPU_CS.C FPU_CS.AP BG_000.C BG_000.AP DS_030.C DS_030.AP AS_030.C AS_030.AP AS_000.C AS_000.AP AMIGA_BUS_ENABLE.C AMIGA_BUS_ENABLE.AP inst_AS_030_000_SYNC.C inst_AS_030_000_SYNC.AP inst_CLK_030_H.C A0.C A0.AP inst_CLK_000_D4.C inst_CLK_000_D4.AP inst_DTACK_D0.C inst_DTACK_D0.AP inst_CLK_000_D3.C inst_CLK_000_D3.AP inst_CLK_000_D2.C inst_CLK_000_D2.AP CLK_EXP.C CLK_EXP.AR inst_CLK_000_D1.C inst_CLK_000_D1.AP inst_BGACK_030_INT_D.C inst_BGACK_030_INT_D.AP inst_CLK_OUT_PRE_50_D.C inst_CLK_OUT_PRE_50_D.AR inst_CLK_000_D0.C inst_CLK_000_D0.AP inst_VPA_D.C inst_VPA_D.AP inst_CLK_OUT_PRE_50.C inst_CLK_OUT_PRE_50.AR RESET.C RESET.AR DTACK DSACK_0_ AS_030.OE AS_000.OE DS_030.OE UDS_000.OE LDS_000.OE SIZE_0_.OE SIZE_1_.OE A0.OE DSACK_1_.OE DTACK.OE BERR.OE DSACK_0_.OE AVEC_EXP.OE CIIN.OE CLK_DIV_OUT.AR CLK_DIV_OUT.C CLK_DIV_OUT.D BGACK_030.D CLK_EXP.D FPU_CS.D VMA.D inst_AS_030_000_SYNC.D IPL_030_0_.D inst_BGACK_030_INT_D.D AS_030.D IPL_030_1_.D inst_VPA_D.D inst_CLK_OUT_PRE_50_D.D IPL_030_2_.D inst_CLK_000_D0.D inst_CLK_000_D1.D inst_CLK_000_D2.D inst_CLK_000_D4.D inst_DTACK_D0.D inst_CLK_OUT_PRE_50.D inst_CLK_OUT_PRE_25.D AS_000.D SM_AMIGA_1_.D SM_AMIGA_0_.D SM_AMIGA_6_.D SM_AMIGA_5_.D UDS_000.D LDS_000.D DSACK_1_.D inst_CLK_000_D3.D inst_CLK_030_H.D RESET.D DS_030.D SIZE_0_.D SIZE_1_.D A0.D SM_AMIGA_7_.D AMIGA_BUS_ENABLE.D SM_AMIGA_4_.D SM_AMIGA_3_.D SM_AMIGA_2_.D cpu_est_0_.D cpu_est_1_.T cpu_est_2_.D E.D BG_000.D
|
||||
.p 353
|
||||
------------------------------------------------------------------------------- ~1~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~
|
||||
---1--------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
----1-------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1
|
||||
-------1----------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
--------1---------------------------------------------------------------------- ~~~~~~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~11~1~1~1~1~1~1~1~1~1~1~1~1~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---------1--------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
----------0-------------------------------------------------------------------- ~~~~~~~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~~1~1~1~1~1~1~1~1~1~1~1~1~1~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
0-----------0000000------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-------------------1111-------------------------------------------------------- ~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
------------------------------1------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~11~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~~~~~~~~~~~~111~~~~~~~~~~~
|
||||
-----1------------------------1------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-----------0------------------1------------------------------------------------ ~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
--0----------------------------1----------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-----0-------------------------1----------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
------0------------------------1----------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-----------------------1-------1----------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
------------------------1------1----------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-------------------------0-----1----------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
--------------------------1----1----------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-----------------------------0-1----------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-------------------------------0----------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---0-----------------------------1--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
------0--------------------------1--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
--1--1-----------------0010--1---1--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
------------------------------0--1--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
------------------------------1----0------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~
|
||||
------1-----------------------------1------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-----------1------------------------1------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~
|
||||
---0--------------------------0-----0------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~1~~111~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
--------------------------------1-----1---------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-----------------------------------------1------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
--------------------------------1--------1------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
----------------------------------1------0------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-------------------------------------1---0------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
----------------------------------------10------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
------------------------------------------1------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
----------------------------------1-------1------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-------------------------------------1----1------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
----------------------------------------1-1------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-1---------------------------------------10------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-----1-----------------------------------10------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---------------------------1-------------10------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
----------------------------1------------10------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-------------------------------------------1----------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~
|
||||
----------------------------------------------1-------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
----------------------------------------------0-------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-----------------------------------------------1------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---------------------------------------1-------1------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
----------------------------------------------01------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---------------------------------------0------10------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
--------------------------------------------0---1------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-----------------------------------------1-------1----------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-----------------------------------------0--1----1----------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~
|
||||
-----------------------------------------0--------1---------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~
|
||||
-----------------------------------------1------1-1---------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~
|
||||
------------------------------------------------0-1---------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~
|
||||
---0-----------------------------------------------1--------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-----------------------------------------1---------1--------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~
|
||||
---0-------------------------------------0---------1--------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~
|
||||
---1--------------------------1-------------1------1--------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~
|
||||
-----------------------------------------1----------1-------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~
|
||||
-----------------------------------------0----------1-------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~
|
||||
------------------------------------------------1---0-------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-----------0-----------------------------0-----------1------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~
|
||||
-----------1--------------------------------0--------1------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~
|
||||
-----------1----------------------------------------01------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~
|
||||
-----------0-----------------------------0------------1------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~
|
||||
-----------1--------------------------------0---------1------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~
|
||||
-----------1----------------------------------------0-1------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~
|
||||
--------------------------------------------1----------1----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~
|
||||
-------------------------------------------------0-----1----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~
|
||||
--------------------------------------------------------1---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
--------------------------------------------0----1------1---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-----------------------------------------0-------1------0---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~
|
||||
-------------------------------------------------------10---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~
|
||||
----------0----------------------------------------------1--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~
|
||||
------0-----------------------------1--------------------1--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~
|
||||
------1----0----------------------------------------------1-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~
|
||||
-----------0---------------------------------------------01-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~
|
||||
---------------------------------1-------------------------1------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~
|
||||
-------------------------------------------1---------------1------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~
|
||||
---------------------------------0---------0------------1--1------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~
|
||||
--------------------------------------------------------0--1------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~
|
||||
---------------------------------1-------------------------0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---1-------------------------------------0---------1-------0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~
|
||||
---0--------------------------1-----------------------------1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~
|
||||
------------------------------1--------------------0--------1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~
|
||||
-----------------------------------------1-------------------1----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~
|
||||
-----------------------------------------0-------------------1----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~
|
||||
-----------0-----------------------------------------1-------0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~
|
||||
-----------0------------------------------------------1------0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~
|
||||
--------------------------------1-----0-----------------------1---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~
|
||||
-----------------------------------------1--------------------1---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~
|
||||
------------------------------------------0-------------------1---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~
|
||||
--------------------------------------1------1----------------1---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~
|
||||
--------------------------------------1--01--0----------------1---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~
|
||||
-----------------------------------------1---------------------1--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-----------------------------------------0---------------------1--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~
|
||||
-----------------------------------------0----------------------1-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~
|
||||
------------------------------------------1---------------------1-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~
|
||||
--------------------------------1-------------------------------0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-----------------------------------------10---------------------0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~
|
||||
--------------------------------1--------------------------------1------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
--------------------------------------0-----------------------1--1------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~
|
||||
-----------------------------------------10---------------------00------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~
|
||||
-----------------------------------------0------------------------1------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~
|
||||
------------------------------------------1-----------------------1------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~
|
||||
-----------------------------------------------------------------11------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~
|
||||
-----------------------------------------10---------------------1-0------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~
|
||||
-----------------------------------------10----------------------00------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~
|
||||
-----------------------------------------0-------------------------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~
|
||||
------------------------------------------1------------------------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~
|
||||
-----------------------------------------10---------------------1--1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~
|
||||
-----------------------------------------------------------------0-1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~
|
||||
--------------------------------0-----0--01-------------------1--0-1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~
|
||||
-----------------------------------------10----------------------011----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~
|
||||
------------------------------------------------------------------01----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~
|
||||
-----------------------------------------10---------------------1-01----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~
|
||||
--------------------------------------0-----------------------1----0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~
|
||||
-----------------------------------------10---------------------1-10----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~
|
||||
-----------------------------------------1------1---------------0110----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-----------------------------------------10----------------------000----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~
|
||||
---0----------------------------------------------------------------1---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1
|
||||
-------0------------------------------------------------------------1---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1
|
||||
-----------------------------------------------------------0--------1---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1
|
||||
---------------------------------------------------------------------1--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-----------0-----------------------------0---------------------------1--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~~~~~~~~
|
||||
--------------------------------------------1------------------------1--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~
|
||||
--------------------------------------------0------------------------1--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-----------1--------------------------------0------------------------1--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~~~~~~~~
|
||||
---0--------------------------1------------------1-------------------1--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~
|
||||
-------------------------------------------------0-------------------1--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~
|
||||
---0--------------------------1-------------------1------------------1--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~
|
||||
------------------------------1------------------1-0-----------------1--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~
|
||||
------------------------------1-------------------10-----------------1--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~
|
||||
----------------------------------------------------0----------------1--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-----------1----------------------------------------0----------------1--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~~~~~~~~
|
||||
--------------------------------------------------------0------------1--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~
|
||||
-----------0-------------------------------------------------0-------1--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~~~~~~~~
|
||||
--------------------------------------------------------------------10--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1
|
||||
----------------------------------------------------------------------1-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~111~~~~~~~~~~~
|
||||
---0-------1------------------0---------------------------------------0-------- ~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-----------------------------------------------------1-----------------1------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~
|
||||
------------------------------------------------------1----------------1------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~
|
||||
---------------------------------------------------------------------1-1------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~~~~~~~~
|
||||
------------------------------------------------------------------------1------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~
|
||||
------1---1-------------------0-----0---------------------------------0-0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~
|
||||
------------------------------0--------------------------1------------0-0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~
|
||||
-------------------------------------------------------------------------1----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~
|
||||
------------------------------------------------------------------------11----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~1~1~~~~~~~~~~~
|
||||
------1---1-------------------0-----0---------------------------------0--0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~
|
||||
------------------------------0--------------------------1------------0--0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~
|
||||
------------------------------0---------------------------------------0-10----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~
|
||||
------------------------------------------------------------------------00----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~
|
||||
-----------1--------------------------------1-------1------------------0----1-- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~
|
||||
-----------0-----------------------------1-------------------1---------0----1-- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~
|
||||
-----------1--------------------------------1-------1------------------0--100-- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~
|
||||
-----------0-----------------------------1-------------------1---------0--100-- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~
|
||||
-----------------------------------------------------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
------------------------------------------------------------------------------1 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
------------------------------------------------------------------------------- 0~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
1------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---1--------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~0~~000~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---0--------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-----0------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-------0----------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
--------0---------------------------------------------------------------------- ~~~~~~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~00~0~0~0~0~0~0~0~0~0~0~0~0~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---------0--------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
----------1-------------------------------------------------------------------- ~~~~~~~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~~0~0~0~0~0~0~0~0~0~0~0~0~0~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
------------1------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-------------1----------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
--------------1---------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---------------1--------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
----------------1-------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-----------------1------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
------------------1------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-------------------0----------------------------------------------------------- ~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
--------------------0---------------------------------------------------------- ~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---------------------0--------------------------------------------------------- ~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
----------------------0-------------------------------------------------------- ~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
------------------------------1------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~0~~000~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~
|
||||
----------1-------------------1------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~
|
||||
-----------1------------------1------------------------------------------------ ~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
------------------------------0------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~00~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~
|
||||
---1--------------------------0------------------------------------------------ ~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-----------0------------------0------------------------------------------------ ~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-------------------------------1----------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
------------------------------------1------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~0~~000~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-----------------------------------------1------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~0~~~~~
|
||||
-----------------------------------------0------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~0~~~~~~~~~~~~~~~~0~~~
|
||||
------------------------------0----------0------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
--------------------------------0--------0------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
----------------------------------0------0------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-------------------------------------0---0------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
----------------------------------------00------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
------------------------------------------1------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~
|
||||
------------------------------0-----------1------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
----------------------------------0-------1------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-------------------------------------0----1------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
----------------------------------------0-1------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
------------------------------------------0------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-0---------------------------------------10------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---------------------------0-------------10------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
----------------------------0------------10------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-------------------------------------------0----------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~
|
||||
-----------------------------------------0--1---------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~
|
||||
--------------------------------------1--01--0--------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~
|
||||
----------------------------------------------1-------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
----------------------------------------------0-------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---------------------------------------0------11------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-----------------------------------------------0------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---------------------------------------1-------0------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
----------------------------------------------00------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-----------------------------------------1------1------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~
|
||||
--------------------------------0---------------0------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-----------------------------------------0-------0----------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-----------------------------------------1--------0---------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~
|
||||
-------------------------------------------------00---------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~
|
||||
---1-------------------------------1--------0------1--------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~
|
||||
--------------------------------------------1-------1-------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---------------------------------------------------00-------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~
|
||||
---1-----------------------------0-------0-0------------1---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~
|
||||
---------------------------------0-------1-0----0-------1---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~
|
||||
--------------------------------------------0----1------1---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~
|
||||
---------------------------------0-------1-0------0-----1---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~
|
||||
--------------------------------------------0-----0-----1---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~
|
||||
---------------------------------0-------0-0-------0----1---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~
|
||||
--------------------------------------------------------0---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-----------------------------------------0--------------0---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~
|
||||
------0--------------------------------------------------0--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~
|
||||
----------0----------------------------------------------0--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~
|
||||
------------------------------------1--------------------0--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~
|
||||
---------------------------------1-------------------------1------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~
|
||||
-------------------------------------------1---------------1------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~
|
||||
--------------------------------------------------------0--1------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~
|
||||
---0-------------------------------------------------------0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~
|
||||
-----------------------------------------1-----------------0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~
|
||||
---1-------------------------------------0-----------------0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~
|
||||
-----------------------------------------1------0----------0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~
|
||||
-----------------------------------------1--------0--------0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~
|
||||
---------------------------------------------------0-------0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~
|
||||
-----------------------------------------0---------0-------0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~
|
||||
---0-------------------------------1-------------00---------0------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~
|
||||
-----------------------------------1-------------000--------0------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~
|
||||
----------------------------------------------------0--------0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~
|
||||
-----------------------------------------0--------------------0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~
|
||||
-------------------------------------------------------------00---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~
|
||||
--------------------------------1-----0------------------------0--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~
|
||||
------------------------------------------0--------------------0--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~
|
||||
--------------------------------------1------1-----------------0--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~
|
||||
-------------------------------------------------0-------------0--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~
|
||||
--------------------------------------------------------------00--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~
|
||||
--------------------------------0-------------------------------1-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-----------------------------------------10---------------------1-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~
|
||||
-----------------------------------------0----------------------0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~
|
||||
------------------------------------------1---------------------0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~
|
||||
--------------------------------------0------------------------0-1------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~
|
||||
--------------------------------0--------------------------------0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
--------------------------------------0--0----------------------10------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-----------------------------------------10----------------------11------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~
|
||||
--------------------------------0---------------------------------0------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-----------------------------------------0------------------------0------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~
|
||||
------------------------------------------1-----------------------0------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~
|
||||
----------------------------------------------------------------010------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~
|
||||
--------------------------------0----------------------------------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
--------------------------------0-----0--01----------------------0-1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~
|
||||
-----------------------------------------------------------------111----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~
|
||||
----------------------------------------------------------------0-01----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~
|
||||
-----------------------------------------0-------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~
|
||||
------------------------------------------1------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~
|
||||
--------------------------------------0------------------------0---0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~
|
||||
----------------------------------------------------------------01-0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~
|
||||
-----------------------------------------10---------------------10-0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~
|
||||
------------------------------------------------------------------10----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~
|
||||
----------------------------------------------------------------0-10----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~
|
||||
----------------------------------------------------------------1-00----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~
|
||||
-----------------------------------------------------------------100----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~
|
||||
----0---------------------------------------------------------------0---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0
|
||||
---10--1---------------------------------------------------1---------1--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0
|
||||
--1--11----------------0010--1---------------------------------------0--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-------------------------------0-------------------------------------0--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---1-----------------------------0----------1------------------------0--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
------------------------------------------------0--------------------0--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---1-----------------------------0---------------0-------------------0--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---------------------------------0----------1------0-----------------0--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---------------------------------0---------------0-0-----------------0--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-----------0-----------------------------0-----------0---------------0--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~
|
||||
-----------1--------------------------------0--------0---------------0--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~
|
||||
-----------1----------------------------------------00---------------0--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~
|
||||
-----------0-----------------------------0------------0--------------0--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~
|
||||
-----------1--------------------------------0---------0--------------0--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~
|
||||
-----------1----------------------------------------0-0--------------0--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~
|
||||
-------------------------------------------------------0-------------0--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~
|
||||
---1-----------------------------0----------------------0------------0--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---------------------------------0-----------------0----0------------0--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
--01--1-----------------------1-------------1--------------1---------0--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---1-01-----------------------1-------------1--------------1---------0--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---1--1----------------1------1-------------1--------------1---------0--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---1--1-----------------1-----1-------------1--------------1---------0--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---1--1------------------0----1-------------1--------------1---------0--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---1--1-------------------1---1-------------1--------------1---------0--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---1--1----------------------01-------------1--------------1---------0--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
--01--1-----------------------1------------------0---------1---------0--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---1-01-----------------------1------------------0---------1---------0--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---1--1----------------1------1------------------0---------1---------0--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---1--1-----------------1-----1------------------0---------1---------0--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---1--1------------------0----1------------------0---------1---------0--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---1--1-------------------1---1------------------0---------1---------0--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---1--1----------------------01------------------0---------1---------0--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
--01--1-----------------------1-------------------------0--1---------0--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---1-01-----------------------1-------------------------0--1---------0--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---1--1----------------1------1-------------------------0--1---------0--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---1--1-----------------1-----1-------------------------0--1---------0--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---1--1------------------0----1-------------------------0--1---------0--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---1--1-------------------1---1-------------------------0--1---------0--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---1--1----------------------01-------------------------0--1---------0--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---0-------------------------------1------------------------0--------0--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~
|
||||
-----------------------------------1---------------0--------0--------0--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~
|
||||
-----------0-----------------------------------------0-------0-------0--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~
|
||||
-----------0------------------------------------------0------0-------0--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~
|
||||
----------------------------------------------------------------------1-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~
|
||||
----------1-----------------------------------------------------------1-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~
|
||||
------------------------------0---------------------------------------1-------- ~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-----------------------------------------------------0---------------0-1------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~
|
||||
------------------------------------------------------0--------------0-1------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~
|
||||
------------------------------------------------------------------------0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~
|
||||
------0-----------------------0---------------------------------------0-0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
------------------------------0-----0---------------------------------0-0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-----------1------------------0-----0---------------------------------0-0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~
|
||||
------0-----------------------0-----0--------------------1------------0-0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~
|
||||
------1----0------------------0---------------------------0-----------0-0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~
|
||||
-----------0------------------0--------------------------00-----------0-0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~
|
||||
-------------------------------------------------------------------------1----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~
|
||||
----------1-------------------------------------------------------------11----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~
|
||||
------------------------------0---------------------------------------0-01----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~
|
||||
------0-----------------------0---------------------------------------0--0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
------------------------------0-----0---------------------------------0--0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-----------1------------------0-----0---------------------------------0--0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~
|
||||
------0-----------------------0-----0--------------------1------------0--0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~
|
||||
------1----0------------------0---------------------------0-----------0--0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~
|
||||
-----------0------------------0--------------------------00-----------0--0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~
|
||||
------------------------------0---------------------------------------0-10----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~
|
||||
------------------------------0---------------------------------------0-00----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~
|
||||
-----------1--------------------------------1-------1------------------0--0---- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~
|
||||
-----------0-----------------------------1-------------------1---------0--0---- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~
|
||||
-----------1--------------------------------1-------1------------------0---1--- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~
|
||||
-----------0-----------------------------1-------------------1---------0---1--- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~
|
||||
-----------1--------------------------------1-------1------------------0----1-- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~
|
||||
-----------0-----------------------------1-------------------1---------0----1-- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~
|
||||
-----------1--------------------------------1-------1------------------0----0-- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~
|
||||
-----------0-----------------------------1-------------------1---------0----0-- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~
|
||||
-----------------------------------------------------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
------------------------------------------------------------------------------0 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
.i 80
|
||||
.o 158
|
||||
.ilb A_31_ IPL_2_ FC_1_ nEXP_SPACE BG_030 BGACK_000 CLK_030 CLK_000 CLK_OSZI VPA RST A_30_ A_29_ A_28_ A_27_ A_26_ A_25_ A_24_ A_23_ A_22_ A_21_ A_20_ A_19_ A_18_ A_17_ A_16_ IPL_1_ IPL_0_ FC_0_ BG_000.Q BGACK_030.Q FPU_CS.Q inst_avec_expreg.Q VMA.Q inst_AS_030_000_SYNC.Q inst_BGACK_030_INT_D.Q AS_030.Q inst_VPA_D.Q inst_CLK_OUT_PRE_50_D.Q inst_CLK_000_D0.Q inst_CLK_000_D1.Q inst_CLK_000_D2.Q inst_DTACK_D0.Q IPL_030_0_.Q inst_CLK_OUT_PRE_50.Q inst_CLK_OUT_PRE_25.Q IPL_030_1_.Q SM_AMIGA_7_.Q IPL_030_2_.Q AS_000.Q SM_AMIGA_6_.Q SM_AMIGA_0_.Q SM_AMIGA_5_.Q SM_AMIGA_2_.Q inst_RW_000_INT.Q UDS_000.Q LDS_000.Q DSACK1.Q inst_CLK_000_D3.Q inst_CLK_030_H.Q DS_030.Q SM_AMIGA_4_.Q SM_AMIGA_3_.Q SM_AMIGA_1_.Q cpu_est_0_.Q cpu_est_1_.Q cpu_est_2_.Q E.Q AS_030.PIN AS_000.PIN RW_000.PIN DS_030.PIN UDS_000.PIN LDS_000.PIN SIZE_0_.PIN SIZE_1_.PIN A0.PIN DSACK1.PIN DTACK.PIN RW.PIN
|
||||
.ob BERR AVEC AVEC_EXP AMIGA_BUS_ENABLE AMIGA_BUS_DATA_DIR AMIGA_BUS_ENABLE_LOW CIIN cpu_est_0_.C cpu_est_0_.AR cpu_est_1_.C cpu_est_1_.AR cpu_est_2_.C cpu_est_2_.AR E.C E.AR SM_AMIGA_0_.C SM_AMIGA_0_.AR SIZE_1_.C SIZE_1_.AP IPL_030_0_.C IPL_030_0_.AP IPL_030_1_.C IPL_030_1_.AP IPL_030_2_.C IPL_030_2_.AP SM_AMIGA_7_.C SM_AMIGA_7_.AP SM_AMIGA_6_.C SM_AMIGA_6_.AR SM_AMIGA_5_.C SM_AMIGA_5_.AR SM_AMIGA_4_.C SM_AMIGA_4_.AR SM_AMIGA_3_.C SM_AMIGA_3_.AR SM_AMIGA_2_.C SM_AMIGA_2_.AR SM_AMIGA_1_.C SM_AMIGA_1_.AR DSACK1.C DSACK1.AP VMA.C VMA.AP BGACK_030.C BGACK_030.AP inst_CLK_OUT_PRE_25.C inst_CLK_OUT_PRE_25.AR SIZE_0_.C SIZE_0_.AP LDS_000.C LDS_000.AP FPU_CS.C FPU_CS.AP inst_avec_expreg.C inst_avec_expreg.AP BG_000.C BG_000.AP DS_030.C DS_030.AP AS_030.C AS_030.AP AS_000.C AS_000.AP inst_RW_000_INT.C inst_RW_000_INT.AP inst_AS_030_000_SYNC.C inst_AS_030_000_SYNC.AP inst_CLK_030_H.C UDS_000.C UDS_000.AP A0.C A0.AP inst_DTACK_D0.C inst_DTACK_D0.AP inst_CLK_000_D2.C inst_CLK_000_D2.AP CLK_EXP.C CLK_EXP.AR inst_CLK_000_D3.C inst_CLK_000_D3.AP inst_CLK_000_D1.C inst_CLK_000_D1.AP inst_BGACK_030_INT_D.C inst_BGACK_030_INT_D.AP inst_CLK_OUT_PRE_50_D.C inst_CLK_OUT_PRE_50_D.AR inst_CLK_000_D0.C inst_CLK_000_D0.AP inst_VPA_D.C inst_VPA_D.AP inst_CLK_OUT_PRE_50.C inst_CLK_OUT_PRE_50.AR RESET.C RESET.AR RW_000 DTACK RW AS_030.OE AS_000.OE RW_000.OE DS_030.OE UDS_000.OE LDS_000.OE SIZE_0_.OE SIZE_1_.OE A0.OE DSACK1.OE DTACK.OE RW.OE BERR.OE CIIN.OE CLK_DIV_OUT.AR CLK_DIV_OUT.C CLK_DIV_OUT.D BG_000.D BGACK_030.D FPU_CS.D inst_avec_expreg.D VMA.D inst_AS_030_000_SYNC.D inst_BGACK_030_INT_D.D AS_030.D inst_VPA_D.D inst_CLK_OUT_PRE_50_D.D inst_CLK_000_D0.D CLK_EXP.D inst_CLK_000_D1.D inst_CLK_000_D2.D inst_DTACK_D0.D IPL_030_0_.D inst_CLK_OUT_PRE_50.D inst_CLK_OUT_PRE_25.D IPL_030_1_.D SM_AMIGA_7_.D IPL_030_2_.D AS_000.D SM_AMIGA_6_.D SM_AMIGA_0_.D SM_AMIGA_5_.D SM_AMIGA_2_.D inst_RW_000_INT.D UDS_000.D LDS_000.D DSACK1.D inst_CLK_000_D3.D inst_CLK_030_H.D DS_030.D SIZE_0_.D SIZE_1_.D A0.D RESET.D SM_AMIGA_4_.D SM_AMIGA_3_.D SM_AMIGA_1_.D cpu_est_0_.D cpu_est_1_.T cpu_est_2_.D E.D
|
||||
.p 363
|
||||
-------------------------------------------------------------------------------- ~1~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~
|
||||
---1---------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
----1--------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-------1------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
--------1----------------------------------------------------------------------- ~~~~~~~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~11~1~1~1~1~1~1~1~1~1~1~1~1~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---------1---------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
----------0--------------------------------------------------------------------- ~~~~~~~~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~~1~1~1~1~1~1~1~1~1~1~1~1~1~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
0----------0000000-------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
------------------1111---------------------------------------------------------- ~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---0-------------------------1-------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-------0---------------------1-------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
------------------------------1------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~11~~~~~~~~~~~~~~~~~11~~~~~~~~~~~~~~~~~~~~~~~~111~~~~~~~~~
|
||||
-----1------------------------1------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
------------------------------0------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
--0----------------------------1------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-----0-------------------------1------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
------0------------------------1------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
----------------------1--------1------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-----------------------1-------1------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
------------------------0------1------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-------------------------1-----1------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
----------------------------0--1------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-------------------------------0------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
--------------------------------1----------------------------------------------- ~~11~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---0------------------------------1--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
------0---------------------------1--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
--1--1----------------0010--1-----1--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
------------------------------0---1--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
------------------------------1----0-------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
------1-----------------------------1------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---0--------------------------0-----0------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~1~~111~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---------------------------------1---1------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---------------------------------------1---------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---------------------------------1-----1---------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
----------------------------------------1--------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-1-------------------------------------10--------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-----1---------------------------------10--------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
--------------------------1------------10--------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---------------------------1-----------10--------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-----------------------------------------1-------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~
|
||||
---------------------------------------0---1------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
----------------------------------------1--1------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
--------------------------------------------1----------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
--------------------------------------------0----------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---------------------------------------------1---------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
--------------------------------------1------1---------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
--------------------------------------------01---------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
--------------------------------------0-----10---------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---------------------------------------0------1--------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
----------------------------------------1-----1--------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---0-------------------------------------------1-------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---0--------------------------1----------------1-------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
----------------------------------1------------1-------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
------------------------------1---1------------1-------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-----------------------------------------1-----1-------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
------------------------------1----------1-----1-------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-----------------------------1-----------------0-------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
------------------------------1-1--------------0-------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
----------------------------------1------------0-------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---------------------------------------0--------1------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~
|
||||
----------------------------------------1-------1------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-----------------------------------------0-------1------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~
|
||||
---------------------------------------1----------1----------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~
|
||||
---------------------------------------0-------0--1----------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~
|
||||
---------------------------------------1-----------1---------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---------------------------------------0-----------1---------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~
|
||||
------1--------------------------------1----------01---------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~
|
||||
------------------------------1--------1----------01---------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~
|
||||
---------------------------------------1------------1--------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~
|
||||
---------------------------------------0------------1--------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~
|
||||
-------------------------------------------------1--0--------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~
|
||||
---------------------------------------1-------------1-------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~
|
||||
---------------------------------------0-------------1-------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~
|
||||
------------------------------------------------------1------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
------1--------------------------------0--------------1------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~
|
||||
------------------------------1--------0--------------1------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~
|
||||
------1-------------------------------------------0---1------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~
|
||||
------------------------------1-------------------0---1------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~
|
||||
---------------------------------------0-----------------1---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~
|
||||
-----------------------------------------------------0---1---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~
|
||||
---0-------------------------------------0-----1----------1--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---1------------------------------0------0-----1----------1--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~
|
||||
-----------------------------------------------1----------0--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
------------------------------1----------------1----------0--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
----------0------------------------------------------------1-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~
|
||||
------0-----------------------------1----------------------1-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~
|
||||
---------------------------------------1---------------------1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~
|
||||
---------------------------------------0---------------------1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~
|
||||
---------------------------------1---0------------------------1----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~
|
||||
---------------------------------------1----------------------1----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~
|
||||
----------------------------------------0---------------------1----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~
|
||||
-------------------------------------1----1-------------------1----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~
|
||||
-------------------------------------1-01-0-------------------1----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~
|
||||
---------------------------------------1-----------------------1---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~
|
||||
---------------------------------------0-----------------------1---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~
|
||||
---------------------------------------0------------------------1--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~
|
||||
----------------------------------------1-----------------------1--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~
|
||||
---------------------------------1------------------------------0--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---------------------------------------10-----------------------0--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~
|
||||
---------------------------------1-------------------------------1-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-------------------------------------0------------------------1--1-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~
|
||||
---------------------------------------10-----------------------00-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~
|
||||
---------------------------------------0--------------------------1------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~
|
||||
----------------------------------------1-------------------------1------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~
|
||||
-----------------------------------------------------------------11------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~
|
||||
---------------------------------------10-----------------------1-0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1
|
||||
---------------------------------------10------------------------00------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1
|
||||
---------------------------------------0---------------------------1------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1
|
||||
----------------------------------------1--------------------------1------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1
|
||||
---------------------------------------10-----------------------1--1------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~
|
||||
-----------------------------------------------------------------0-1------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1
|
||||
---------------------------------0---0-01---------------------1--0-1------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~
|
||||
---------------------------------------10------------------------011------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~
|
||||
------------------------------------------------------------------01------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1
|
||||
---------------------------------------10-----------------------1-01------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~
|
||||
-------------------------------------0------------------------1----0------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~
|
||||
---------------------------------------10-----------------------1-10------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~
|
||||
---------------------------------------1---------1--------------0110------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---------------------------------------10------------------------000------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~
|
||||
--------------------------------------------------------------------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---------------------------------------0----------------------------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~
|
||||
-----------------------------------------0--------------------------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~
|
||||
----------------------------------------------------0---------------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~
|
||||
-----------------------------------------------------0--------------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~
|
||||
-----------------------------1--------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---------------------------------------------------------------------1---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~111~~~~~~~~~
|
||||
---------------------------------------1----------01-----------------1---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~
|
||||
---------------------------------------0--------------1--------------1---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~
|
||||
--------------------------------------------------0---1--------------1---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~
|
||||
------------------------------------1---------------------------------1--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~
|
||||
------1-----------------------------------------------------1---------0--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~
|
||||
-----------------------------------------------------------01---------0--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~
|
||||
-------------------------------------------------------1---------------1-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~
|
||||
--------------------------------------------------------1--------------1-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~
|
||||
--------------------------------------------------------------------1--1-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~~~~~
|
||||
------------------------------------------------------------------------1------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~
|
||||
------1---1-------------------0-----0--------------------------------0--0------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~
|
||||
------------------------------0----------------------------1---------0--0------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~
|
||||
------0-----------------------0--------------------------------------01-0------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~
|
||||
-------------------------------------------------------------------------1------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~
|
||||
------------------------------------------------------------------------11------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~1~1~~~~~~~~~
|
||||
---------------------------------------1----------01--------------------11------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~
|
||||
---------------------------------------0--------------1-----------------11------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~
|
||||
--------------------------------------------------0---1-----------------11------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~
|
||||
------1---1-------------------0-----0--------------------------------0---0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~
|
||||
------------------------------0----------------------------1---------0---0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~
|
||||
------0-----------------------0--------------------------------------01--0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~
|
||||
------------------------------0--------------------------------------0--10------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~
|
||||
------------------------------------------------------------------------00------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~
|
||||
-----------------------------------------------------------------------------1-- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
------------------------------------------------------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
------1--------------------------------1----------1----------------------------1 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~
|
||||
------------------------------1--------1----------1----------------------------1 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~
|
||||
-----------------------------------------0-------------1-----------------------1 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~
|
||||
----------------------------------------------------0--1-----------------------1 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~
|
||||
-----------------------------------------0--------------1----------------------1 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~
|
||||
----------------------------------------------------0---1----------------------1 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~
|
||||
-----------------------------------------0--------------------------1----------1 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~~~~~
|
||||
----------------------------------------------------0---------------1----------1 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~~~~~
|
||||
---------------------------------------1----------1------------------1---------1 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~
|
||||
---0--------------------------0--------------------------------------0---------1 ~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---------------------------------------1----------1---------------------11-----1 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~
|
||||
-----------------------------------------1----------1------------------0----1--1 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~
|
||||
-----------------------------------------1----------1------------------0--100--1 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~
|
||||
------------------------------1------------------------------------------------0 ~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---------------------------------------0---------------1-----------------------0 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~
|
||||
---------------------------------------0----------------1----------------------0 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~
|
||||
-------------------------------------------------------1-----0-----------------0 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~
|
||||
--------------------------------------------------------1----0-----------------0 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~
|
||||
---------------------------------------0----------------------------1----------0 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~~~~~
|
||||
-------------------------------------------------------------0------1----------0 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~~~~~
|
||||
---------------------------------------1---------------------1---------0----1--0 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~
|
||||
---------------------------------------1---------------------1---------0--100--0 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~
|
||||
-------------------------------------------------------------------------------- 0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
1------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---1---------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~0~~000~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---0---------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-----0-------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-------0------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
--------0----------------------------------------------------------------------- ~~~~~~~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~00~0~0~0~0~0~0~0~0~0~0~0~0~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---------0---------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
----------1--------------------------------------------------------------------- ~~~~~~~~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~~0~0~0~0~0~0~0~0~0~0~0~0~0~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-----------1-------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
------------1------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-------------1------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
--------------1----------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---------------1---------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
----------------1--------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-----------------1-------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
------------------0------------------------------------------------------------- ~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-------------------0------------------------------------------------------------ ~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
--------------------0----------------------------------------------------------- ~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---------------------0---------------------------------------------------------- ~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
----0------------------------0-------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
------------------------------1------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~0~~000~00~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~
|
||||
----------1-------------------1------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~
|
||||
------------------------------0------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~00~00~~~~~~~~~~~~~~0~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-------------------------------1------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
--------------------------------0----------------------------------------------- ~~00~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
------------------------------------1------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~0~~000~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---------------------------------------1---------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~0~~~~~~~~~~~0~~~~~~
|
||||
---------------------------------------0---------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~0~~~~~~~~~~~~~~0~0~~
|
||||
------------------------------0--------0---------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---------------------------------0-----0---------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
----------------------------------------1--------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~
|
||||
------------------------------0---------1--------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
----------------------------------------0--------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-0-------------------------------------10--------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~
|
||||
--------------------------0------------10--------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---------------------------0-----------10--------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-----------------------------------------0-------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~
|
||||
-------------------------------------1-01-0------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~
|
||||
---------------------------------------0---0------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
----------------------------------------1--0------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
--------------------------------------------1----------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
--------------------------------------------0----------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
--------------------------------------0-----11---------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---------------------------------------------0---------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
--------------------------------------1------0---------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
--------------------------------------------00---------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---------------------------------------0------0--------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
----------------------------------------1-----0--------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---0-------------------------------------------1-------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~
|
||||
----------------------------------1------------1-------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~
|
||||
-----------------------------------------1-----1-------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~
|
||||
--------------------------------0--1-----------0-------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---------------------------------------1-------0-------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~
|
||||
---------------------------------------0-------0-------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---------------------------------------0--------0------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~
|
||||
----------------------------------------1-------0------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---------------------------------0---------------0------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-----------------------------------------------0--0----------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~
|
||||
-----------------------------------------------0---0---------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-----------------------------------------1----------1--------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~
|
||||
--------------------------------------------------0-0--------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~
|
||||
---------------------------------------1-------------1-------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~
|
||||
---------------------------------1---0---------------0-------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~
|
||||
----------------------------------------0------------0-------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~
|
||||
-------------------------------------1----1----------0-------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~
|
||||
------------------------------------------------------0------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
------1--------------------------------0--------------0------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~
|
||||
------------------------------1--------0--------------0------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~
|
||||
------1-------------------------------------------00--0------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~
|
||||
------------------------------1-------------------00--0------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~
|
||||
---1------------------------------0----0-0----------------1--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---1------------------------------01-----0-----1----------1--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---1------------------------------0------0---------0------1--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-----------------------------------------------1----------0--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~
|
||||
------0----------------------------------------------------0-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~
|
||||
----------0------------------------------------------------0-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~
|
||||
------------------------------------1----------------------0-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~
|
||||
----------------------------------------------------0--------0------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~
|
||||
---------------------------------------0----------------------0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~
|
||||
-----------------------------------------------------0--------0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~
|
||||
-------------------------------------------------------------00----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~
|
||||
---------------------------------------------------0-----------0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~
|
||||
-----------------------------------------------------0---------0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~
|
||||
---------------------------------0------------------------------1--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---------------------------------------10-----------------------1--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~
|
||||
---------------------------------------0------------------------0--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~
|
||||
----------------------------------------1-----------------------0--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~
|
||||
-------------------------------------0---------------0-----------1-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~
|
||||
---------------------------------0-------------------------------0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-------------------------------------0-0------------------------10-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---------------------------------------10------------------------11------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0
|
||||
---------------------------------0--------------------------------0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---------------------------------------0--------------------------0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~
|
||||
----------------------------------------1-------------------------0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~
|
||||
----------------------------------------------------------------010------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~
|
||||
---------------------------------0---------------------------------1------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---------------------------------0---0-01------------------------0-1------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~
|
||||
-----------------------------------------------------------------111------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~
|
||||
----------------------------------------------------------------0-01------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~
|
||||
---------------------------------------0---------------------------0------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0
|
||||
----------------------------------------1--------------------------0------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0
|
||||
-------------------------------------0---------------0-------------0------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~
|
||||
----------------------------------------------------------------01-0------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0
|
||||
---------------------------------------10-----------------------10-0------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~
|
||||
------------------------------------------------------------------10------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0
|
||||
----------------------------------------------------------------0-10------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~
|
||||
----------------------------------------------------------------1-00------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~
|
||||
-----------------------------------------------------------------100------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~
|
||||
---10--1---------------------------------------1--------------------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
--1--11---------------0010--1---------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-------------------------------0------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---1------------------------------0----0----------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
----------------------------------0----0-1--------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
--01--1-----------------------1--------0-------1--------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---1-01-----------------------1--------0-------1--------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---1--1---------------1-------1--------0-------1--------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---1--1----------------1------1--------0-------1--------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---1--1-----------------0-----1--------0-------1--------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---1--1------------------1----1--------0-------1--------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---1--1---------------------0-1--------0-------1--------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
----------------------------------0----0-------0--------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-------------------------------------------------0------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~
|
||||
---1------------------------------0------------------0--------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
----------------------------------0------1-----------0--------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
--01--1-----------------------1----------------1-----0--------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---1-01-----------------------1----------------1-----0--------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---1--1---------------1-------1----------------1-----0--------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---1--1----------------1------1----------------1-----0--------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---1--1-----------------0-----1----------------1-----0--------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---1--1------------------1----1----------------1-----0--------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---1--1---------------------0-1----------------1-----0--------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
----------------------------------0------------0-----0--------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---------------------------------------------------------0----------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~
|
||||
----------------------------------0----0------------------0---------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
----------------------------------0------------------0----0---------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---------------------------------------------------------------------1---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~
|
||||
----------1----------------------------------------------------------1---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~
|
||||
---------------------------------------0--------------0--------------1---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~
|
||||
--------------------------------------------------00--0--------------1---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~
|
||||
-------------------------------------------------------0------------0--1-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~
|
||||
--------------------------------------------------------0-----------0--1-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~
|
||||
------------------------------------------------------------------------0------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~
|
||||
------0-----------------------0--------------------------------------0--0------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
------------------------------0-----0--------------------------------0--0------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
------0-----------------------0-----0----------------------1---------0--0------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~
|
||||
------------------------------0-----0--------------------------------01-0------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~
|
||||
------0-----------------------0--------------------------------------00-0------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~
|
||||
------1-----------------------0-----------------------------0--------00-0------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~
|
||||
------------------------------0----------------------------00--------00-0------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~
|
||||
-------------------------------------------------------------------------1------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~
|
||||
----------1-------------------------------------------------------------11------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~
|
||||
---------------------------------------0--------------0-----------------11------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~
|
||||
--------------------------------------------------00--0-----------------11------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~
|
||||
------------------------------0--------------------------------------0--01------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~
|
||||
------0-----------------------0--------------------------------------0---0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
------------------------------0-----0--------------------------------0---0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
------0-----------------------0-----0----------------------1---------0---0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~
|
||||
------------------------------0-----0--------------------------------01--0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~
|
||||
------0-----------------------0--------------------------------------00--0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~
|
||||
------1-----------------------0-----------------------------0--------00--0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~
|
||||
------------------------------0----------------------------00--------00--0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~
|
||||
------------------------------0--------------------------------------0--10------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~
|
||||
------------------------------0--------------------------------------0--00------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~
|
||||
-----------------------------------------------------------------------------0-- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
------------------------------------------------------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---1---------------------------------------------------------------------------1 ~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
------------------------------1------------------------------------------------1 ~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-----------------------------------------0-------------0------------0----------1 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~
|
||||
----------------------------------------------------0--0------------0----------1 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~
|
||||
-----------------------------------------0--------------0-----------0----------1 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~
|
||||
----------------------------------------------------0---0-----------0----------1 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~
|
||||
---------------------------------------------------------------------1---------1 ~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-----------------------------------------1----------1------------------0--0----1 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~
|
||||
-----------------------------------------1----------1------------------0---1---1 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~
|
||||
-----------------------------------------1----------1------------------0----1--1 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~
|
||||
-----------------------------------------1----------1------------------0----0--1 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~
|
||||
------------------------------0------------------------------------------------0 ~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
------1--------------------------------1----------1----------------------------0 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~
|
||||
------------------------------1--------1----------1----------------------------0 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~
|
||||
---------------------------------------0---------------0------------0----------0 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~
|
||||
---------------------------------------0----------------0-----------0----------0 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~
|
||||
-------------------------------------------------------0-----0------0----------0 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~
|
||||
--------------------------------------------------------0----0------0----------0 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~
|
||||
---------------------------------------1----------1------------------1---------0 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~
|
||||
---------------------------------------1----------1---------------------11-----0 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~
|
||||
---------------------------------------1---------------------1---------0--0----0 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~
|
||||
---------------------------------------1---------------------1---------0---1---0 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~
|
||||
---------------------------------------1---------------------1---------0----1--0 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~
|
||||
---------------------------------------1---------------------1---------0----0--0 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~
|
||||
.end
|
||||
|
|
|
@ -1,365 +1,375 @@
|
|||
#$ TOOL ispLEVER Classic 1.7.00.05.28.13
|
||||
#$ DATE Thu May 29 22:04:27 2014
|
||||
#$ DATE Sun Jun 01 01:03:24 2014
|
||||
#$ MODULE 68030_tk
|
||||
#$ PINS 59 A_21_ A_20_ A_19_ A_18_ A_31_ A_17_ A_16_ IPL_2_ IPL_1_ IPL_0_ DSACK_0_ FC_0_ FC_1_ nEXP_SPACE BERR BG_030 BGACK_000 CLK_030 CLK_000 CLK_OSZI CLK_DIV_OUT DTACK AVEC AVEC_EXP VPA RST RW AMIGA_BUS_DATA_DIR AMIGA_BUS_ENABLE_LOW CIIN A_30_ A_29_ A_28_ A_27_ A_26_ A_25_ A_24_ A_23_ A_22_ SIZE_1_ IPL_030_2_ IPL_030_1_ IPL_030_0_ DSACK_1_ AS_030 AS_000 DS_030 UDS_000 LDS_000 A0 BG_000 BGACK_030 CLK_EXP FPU_CS E VMA RESET AMIGA_BUS_ENABLE SIZE_0_
|
||||
#$ NODES 24 inst_AS_030_000_SYNC inst_BGACK_030_INT_D inst_VPA_D inst_CLK_OUT_PRE_50_D inst_CLK_000_D0 inst_CLK_000_D1 inst_CLK_000_D2 inst_CLK_000_D4 inst_DTACK_D0 inst_CLK_OUT_PRE_50 inst_CLK_OUT_PRE_25 SM_AMIGA_1_ SM_AMIGA_0_ SM_AMIGA_6_ SM_AMIGA_5_ inst_CLK_000_D3 inst_CLK_030_H SM_AMIGA_7_ SM_AMIGA_4_ SM_AMIGA_3_ SM_AMIGA_2_ cpu_est_0_ cpu_est_1_ cpu_est_2_
|
||||
#$ PINS 59 A_26_ A_25_ A_24_ A_23_ A_31_ A_22_ A_21_ A_20_ A_19_ IPL_2_ A_18_ A_17_ FC_1_ A_16_ RW_000 IPL_1_ IPL_0_ FC_0_ nEXP_SPACE BERR BG_030 BGACK_000 CLK_030 CLK_000 CLK_OSZI CLK_DIV_OUT DTACK AVEC AVEC_EXP VPA RST RW AMIGA_BUS_ENABLE AMIGA_BUS_DATA_DIR AMIGA_BUS_ENABLE_LOW CIIN A_30_ A_29_ A_28_ A_27_ SIZE_1_ IPL_030_2_ AS_030 IPL_030_1_ AS_000 IPL_030_0_ DS_030 UDS_000 LDS_000 A0 BG_000 BGACK_030 CLK_EXP FPU_CS DSACK1 E VMA RESET SIZE_0_
|
||||
#$ NODES 25 inst_avec_expreg inst_AS_030_000_SYNC inst_BGACK_030_INT_D inst_VPA_D inst_CLK_OUT_PRE_50_D inst_CLK_000_D0 inst_CLK_000_D1 inst_CLK_000_D2 inst_DTACK_D0 inst_CLK_OUT_PRE_50 inst_CLK_OUT_PRE_25 SM_AMIGA_7_ SM_AMIGA_6_ SM_AMIGA_0_ SM_AMIGA_5_ SM_AMIGA_2_ inst_RW_000_INT inst_CLK_000_D3 inst_CLK_030_H SM_AMIGA_4_ SM_AMIGA_3_ SM_AMIGA_1_ cpu_est_0_ cpu_est_1_ cpu_est_2_
|
||||
.type fr
|
||||
.i 79
|
||||
.o 156
|
||||
.ilb A_31_ IPL_2_ FC_1_ nEXP_SPACE BG_030 BGACK_000 CLK_030 CLK_000 CLK_OSZI VPA RST RW A_30_ A_29_ A_28_ A_27_ A_26_ A_25_ A_24_ A_23_ A_22_ A_21_ A_20_ A_19_ A_18_ A_17_ A_16_ IPL_1_ IPL_0_ FC_0_ BGACK_030.Q FPU_CS.Q VMA.Q inst_AS_030_000_SYNC.Q IPL_030_0_.Q inst_BGACK_030_INT_D.Q AS_030.Q IPL_030_1_.Q inst_VPA_D.Q inst_CLK_OUT_PRE_50_D.Q IPL_030_2_.Q inst_CLK_000_D0.Q inst_CLK_000_D1.Q inst_CLK_000_D2.Q inst_CLK_000_D4.Q inst_DTACK_D0.Q inst_CLK_OUT_PRE_50.Q inst_CLK_OUT_PRE_25.Q AS_000.Q SM_AMIGA_1_.Q SM_AMIGA_0_.Q SM_AMIGA_6_.Q SM_AMIGA_5_.Q UDS_000.Q LDS_000.Q DSACK_1_.Q inst_CLK_000_D3.Q inst_CLK_030_H.Q DS_030.Q SM_AMIGA_7_.Q AMIGA_BUS_ENABLE.Q SM_AMIGA_4_.Q SM_AMIGA_3_.Q SM_AMIGA_2_.Q cpu_est_0_.Q cpu_est_1_.Q cpu_est_2_.Q E.Q BG_000.Q AS_030.PIN AS_000.PIN DS_030.PIN UDS_000.PIN LDS_000.PIN SIZE_0_.PIN SIZE_1_.PIN A0.PIN DSACK_1_.PIN DTACK.PIN
|
||||
.ob BERR AVEC AVEC_EXP AMIGA_BUS_DATA_DIR AMIGA_BUS_ENABLE_LOW CIIN cpu_est_0_.C cpu_est_0_.AR cpu_est_1_.C cpu_est_1_.AR cpu_est_2_.C cpu_est_2_.AR E.C E.AR SM_AMIGA_0_.C SM_AMIGA_0_.AR SIZE_1_.C SIZE_1_.AP IPL_030_0_.C IPL_030_0_.AP IPL_030_1_.C IPL_030_1_.AP IPL_030_2_.C IPL_030_2_.AP SM_AMIGA_7_.C SM_AMIGA_7_.AP SM_AMIGA_6_.C SM_AMIGA_6_.AR SM_AMIGA_5_.C SM_AMIGA_5_.AR SM_AMIGA_4_.C SM_AMIGA_4_.AR SM_AMIGA_3_.C SM_AMIGA_3_.AR SM_AMIGA_2_.C SM_AMIGA_2_.AR SM_AMIGA_1_.C SM_AMIGA_1_.AR DSACK_1_.C DSACK_1_.AP VMA.C VMA.AP BGACK_030.C BGACK_030.AP inst_CLK_OUT_PRE_25.C inst_CLK_OUT_PRE_25.AR SIZE_0_.C SIZE_0_.AP UDS_000.C UDS_000.AP LDS_000.C LDS_000.AP FPU_CS.C FPU_CS.AP BG_000.C BG_000.AP DS_030.C DS_030.AP AS_030.C AS_030.AP AS_000.C AS_000.AP AMIGA_BUS_ENABLE.C AMIGA_BUS_ENABLE.AP inst_AS_030_000_SYNC.C inst_AS_030_000_SYNC.AP inst_CLK_030_H.C A0.C A0.AP inst_CLK_000_D4.C inst_CLK_000_D4.AP inst_DTACK_D0.C inst_DTACK_D0.AP inst_CLK_000_D3.C inst_CLK_000_D3.AP inst_CLK_000_D2.C inst_CLK_000_D2.AP CLK_EXP.C CLK_EXP.AR inst_CLK_000_D1.C inst_CLK_000_D1.AP inst_BGACK_030_INT_D.C inst_BGACK_030_INT_D.AP inst_CLK_OUT_PRE_50_D.C inst_CLK_OUT_PRE_50_D.AR inst_CLK_000_D0.C inst_CLK_000_D0.AP inst_VPA_D.C inst_VPA_D.AP inst_CLK_OUT_PRE_50.C inst_CLK_OUT_PRE_50.AR RESET.C RESET.AR DTACK DSACK_0_ AS_030.OE AS_000.OE DS_030.OE UDS_000.OE LDS_000.OE SIZE_0_.OE SIZE_1_.OE A0.OE DSACK_1_.OE DTACK.OE BERR.OE DSACK_0_.OE AVEC_EXP.OE CIIN.OE CLK_DIV_OUT.AR CLK_DIV_OUT.C CLK_DIV_OUT.D BGACK_030.D CLK_EXP.D FPU_CS.D VMA.D inst_AS_030_000_SYNC.D IPL_030_0_.D inst_BGACK_030_INT_D.D AS_030.D IPL_030_1_.D inst_VPA_D.D inst_CLK_OUT_PRE_50_D.D IPL_030_2_.D inst_CLK_000_D0.D inst_CLK_000_D1.D inst_CLK_000_D2.D inst_CLK_000_D4.D inst_DTACK_D0.D inst_CLK_OUT_PRE_50.D inst_CLK_OUT_PRE_25.D AS_000.D SM_AMIGA_1_.D SM_AMIGA_0_.D SM_AMIGA_6_.D SM_AMIGA_5_.D UDS_000.D LDS_000.D DSACK_1_.D inst_CLK_000_D3.D inst_CLK_030_H.D RESET.D DS_030.D SIZE_0_.D SIZE_1_.D A0.D SM_AMIGA_7_.D AMIGA_BUS_ENABLE.D SM_AMIGA_4_.D SM_AMIGA_3_.D SM_AMIGA_2_.D cpu_est_0_.D cpu_est_1_.T cpu_est_2_.D E.D BG_000.D
|
||||
.p 353
|
||||
------------------------------------------------------------------------------- ~1~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~
|
||||
---1--------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
----1-------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1
|
||||
-------1----------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
--------1---------------------------------------------------------------------- ~~~~~~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~11~1~1~1~1~1~1~1~1~1~1~1~1~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---------1--------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
----------0-------------------------------------------------------------------- ~~~~~~~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~~1~1~1~1~1~1~1~1~1~1~1~1~1~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
0-----------0000000------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-------------------1111-------------------------------------------------------- ~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
------------------------------1------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~11~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~~~~~~~~~~~~111~~~~~~~~~~~
|
||||
-----1------------------------1------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-----------0------------------1------------------------------------------------ ~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
--0----------------------------1----------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-----0-------------------------1----------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
------0------------------------1----------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-----------------------1-------1----------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
------------------------1------1----------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-------------------------0-----1----------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
--------------------------1----1----------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-----------------------------0-1----------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-------------------------------0----------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---0-----------------------------1--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
------0--------------------------1--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
--1--1-----------------0010--1---1--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
------------------------------0--1--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
------------------------------1----0------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~
|
||||
------1-----------------------------1------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-----------1------------------------1------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~
|
||||
---0--------------------------0-----0------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~1~~111~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
--------------------------------1-----1---------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-----------------------------------------1------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
--------------------------------1--------1------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
----------------------------------1------0------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-------------------------------------1---0------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
----------------------------------------10------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
------------------------------------------1------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
----------------------------------1-------1------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-------------------------------------1----1------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
----------------------------------------1-1------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-1---------------------------------------10------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-----1-----------------------------------10------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---------------------------1-------------10------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
----------------------------1------------10------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-------------------------------------------1----------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~
|
||||
----------------------------------------------1-------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
----------------------------------------------0-------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-----------------------------------------------1------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---------------------------------------1-------1------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
----------------------------------------------01------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---------------------------------------0------10------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
--------------------------------------------0---1------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-----------------------------------------1-------1----------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-----------------------------------------0--1----1----------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~
|
||||
-----------------------------------------0--------1---------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~
|
||||
-----------------------------------------1------1-1---------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~
|
||||
------------------------------------------------0-1---------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~
|
||||
---0-----------------------------------------------1--------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-----------------------------------------1---------1--------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~
|
||||
---0-------------------------------------0---------1--------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~
|
||||
---1--------------------------1-------------1------1--------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~
|
||||
-----------------------------------------1----------1-------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~
|
||||
-----------------------------------------0----------1-------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~
|
||||
------------------------------------------------1---0-------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-----------0-----------------------------0-----------1------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~
|
||||
-----------1--------------------------------0--------1------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~
|
||||
-----------1----------------------------------------01------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~
|
||||
-----------0-----------------------------0------------1------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~
|
||||
-----------1--------------------------------0---------1------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~
|
||||
-----------1----------------------------------------0-1------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~
|
||||
--------------------------------------------1----------1----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~
|
||||
-------------------------------------------------0-----1----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~
|
||||
--------------------------------------------------------1---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
--------------------------------------------0----1------1---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-----------------------------------------0-------1------0---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~
|
||||
-------------------------------------------------------10---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~
|
||||
----------0----------------------------------------------1--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~
|
||||
------0-----------------------------1--------------------1--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~
|
||||
------1----0----------------------------------------------1-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~
|
||||
-----------0---------------------------------------------01-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~
|
||||
---------------------------------1-------------------------1------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~
|
||||
-------------------------------------------1---------------1------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~
|
||||
---------------------------------0---------0------------1--1------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~
|
||||
--------------------------------------------------------0--1------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~
|
||||
---------------------------------1-------------------------0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---1-------------------------------------0---------1-------0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~
|
||||
---0--------------------------1-----------------------------1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~
|
||||
------------------------------1--------------------0--------1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~
|
||||
-----------------------------------------1-------------------1----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~
|
||||
-----------------------------------------0-------------------1----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~
|
||||
-----------0-----------------------------------------1-------0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~
|
||||
-----------0------------------------------------------1------0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~
|
||||
--------------------------------1-----0-----------------------1---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~
|
||||
-----------------------------------------1--------------------1---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~
|
||||
------------------------------------------0-------------------1---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~
|
||||
--------------------------------------1------1----------------1---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~
|
||||
--------------------------------------1--01--0----------------1---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~
|
||||
-----------------------------------------1---------------------1--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-----------------------------------------0---------------------1--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~
|
||||
-----------------------------------------0----------------------1-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~
|
||||
------------------------------------------1---------------------1-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~
|
||||
--------------------------------1-------------------------------0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-----------------------------------------10---------------------0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~
|
||||
--------------------------------1--------------------------------1------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
--------------------------------------0-----------------------1--1------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~
|
||||
-----------------------------------------10---------------------00------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~
|
||||
-----------------------------------------0------------------------1------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~
|
||||
------------------------------------------1-----------------------1------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~
|
||||
-----------------------------------------------------------------11------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~
|
||||
-----------------------------------------10---------------------1-0------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~
|
||||
-----------------------------------------10----------------------00------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~
|
||||
-----------------------------------------0-------------------------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~
|
||||
------------------------------------------1------------------------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~
|
||||
-----------------------------------------10---------------------1--1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~
|
||||
-----------------------------------------------------------------0-1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~
|
||||
--------------------------------0-----0--01-------------------1--0-1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~
|
||||
-----------------------------------------10----------------------011----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~
|
||||
------------------------------------------------------------------01----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~
|
||||
-----------------------------------------10---------------------1-01----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~
|
||||
--------------------------------------0-----------------------1----0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~
|
||||
-----------------------------------------10---------------------1-10----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~
|
||||
-----------------------------------------1------1---------------0110----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-----------------------------------------10----------------------000----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~
|
||||
---0----------------------------------------------------------------1---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1
|
||||
-------0------------------------------------------------------------1---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1
|
||||
-----------------------------------------------------------0--------1---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1
|
||||
---------------------------------------------------------------------1--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-----------0-----------------------------0---------------------------1--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~~~~~~~~
|
||||
--------------------------------------------1------------------------1--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~
|
||||
--------------------------------------------0------------------------1--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-----------1--------------------------------0------------------------1--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~~~~~~~~
|
||||
---0--------------------------1------------------1-------------------1--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~
|
||||
-------------------------------------------------0-------------------1--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~
|
||||
---0--------------------------1-------------------1------------------1--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~
|
||||
------------------------------1------------------1-0-----------------1--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~
|
||||
------------------------------1-------------------10-----------------1--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~
|
||||
----------------------------------------------------0----------------1--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-----------1----------------------------------------0----------------1--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~~~~~~~~
|
||||
--------------------------------------------------------0------------1--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~
|
||||
-----------0-------------------------------------------------0-------1--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~~~~~~~~
|
||||
--------------------------------------------------------------------10--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1
|
||||
----------------------------------------------------------------------1-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~111~~~~~~~~~~~
|
||||
---0-------1------------------0---------------------------------------0-------- ~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-----------------------------------------------------1-----------------1------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~
|
||||
------------------------------------------------------1----------------1------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~
|
||||
---------------------------------------------------------------------1-1------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~~~~~~~~
|
||||
------------------------------------------------------------------------1------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~
|
||||
------1---1-------------------0-----0---------------------------------0-0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~
|
||||
------------------------------0--------------------------1------------0-0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~
|
||||
-------------------------------------------------------------------------1----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~
|
||||
------------------------------------------------------------------------11----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~1~1~~~~~~~~~~~
|
||||
------1---1-------------------0-----0---------------------------------0--0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~
|
||||
------------------------------0--------------------------1------------0--0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~
|
||||
------------------------------0---------------------------------------0-10----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~
|
||||
------------------------------------------------------------------------00----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~
|
||||
-----------1--------------------------------1-------1------------------0----1-- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~
|
||||
-----------0-----------------------------1-------------------1---------0----1-- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~
|
||||
-----------1--------------------------------1-------1------------------0--100-- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~
|
||||
-----------0-----------------------------1-------------------1---------0--100-- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~
|
||||
-----------------------------------------------------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
------------------------------------------------------------------------------1 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
------------------------------------------------------------------------------- 0~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
1------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---1--------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~0~~000~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---0--------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-----0------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-------0----------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
--------0---------------------------------------------------------------------- ~~~~~~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~00~0~0~0~0~0~0~0~0~0~0~0~0~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---------0--------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
----------1-------------------------------------------------------------------- ~~~~~~~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~~0~0~0~0~0~0~0~0~0~0~0~0~0~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
------------1------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-------------1----------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
--------------1---------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---------------1--------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
----------------1-------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-----------------1------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
------------------1------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-------------------0----------------------------------------------------------- ~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
--------------------0---------------------------------------------------------- ~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---------------------0--------------------------------------------------------- ~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
----------------------0-------------------------------------------------------- ~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
------------------------------1------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~0~~000~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~
|
||||
----------1-------------------1------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~
|
||||
-----------1------------------1------------------------------------------------ ~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
------------------------------0------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~00~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~
|
||||
---1--------------------------0------------------------------------------------ ~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-----------0------------------0------------------------------------------------ ~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-------------------------------1----------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
------------------------------------1------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~0~~000~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-----------------------------------------1------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~0~~~~~
|
||||
-----------------------------------------0------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~0~~~~~~~~~~~~~~~~0~~~
|
||||
------------------------------0----------0------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
--------------------------------0--------0------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
----------------------------------0------0------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-------------------------------------0---0------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
----------------------------------------00------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
------------------------------------------1------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~
|
||||
------------------------------0-----------1------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
----------------------------------0-------1------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-------------------------------------0----1------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
----------------------------------------0-1------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
------------------------------------------0------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-0---------------------------------------10------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---------------------------0-------------10------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
----------------------------0------------10------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-------------------------------------------0----------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~
|
||||
-----------------------------------------0--1---------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~
|
||||
--------------------------------------1--01--0--------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~
|
||||
----------------------------------------------1-------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
----------------------------------------------0-------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---------------------------------------0------11------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-----------------------------------------------0------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---------------------------------------1-------0------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
----------------------------------------------00------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-----------------------------------------1------1------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~
|
||||
--------------------------------0---------------0------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-----------------------------------------0-------0----------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-----------------------------------------1--------0---------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~
|
||||
-------------------------------------------------00---------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~
|
||||
---1-------------------------------1--------0------1--------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~
|
||||
--------------------------------------------1-------1-------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---------------------------------------------------00-------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~
|
||||
---1-----------------------------0-------0-0------------1---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~
|
||||
---------------------------------0-------1-0----0-------1---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~
|
||||
--------------------------------------------0----1------1---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~
|
||||
---------------------------------0-------1-0------0-----1---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~
|
||||
--------------------------------------------0-----0-----1---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~
|
||||
---------------------------------0-------0-0-------0----1---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~
|
||||
--------------------------------------------------------0---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-----------------------------------------0--------------0---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~
|
||||
------0--------------------------------------------------0--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~
|
||||
----------0----------------------------------------------0--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~
|
||||
------------------------------------1--------------------0--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~
|
||||
---------------------------------1-------------------------1------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~
|
||||
-------------------------------------------1---------------1------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~
|
||||
--------------------------------------------------------0--1------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~
|
||||
---0-------------------------------------------------------0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~
|
||||
-----------------------------------------1-----------------0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~
|
||||
---1-------------------------------------0-----------------0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~
|
||||
-----------------------------------------1------0----------0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~
|
||||
-----------------------------------------1--------0--------0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~
|
||||
---------------------------------------------------0-------0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~
|
||||
-----------------------------------------0---------0-------0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~
|
||||
---0-------------------------------1-------------00---------0------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~
|
||||
-----------------------------------1-------------000--------0------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~
|
||||
----------------------------------------------------0--------0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~
|
||||
-----------------------------------------0--------------------0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~
|
||||
-------------------------------------------------------------00---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~
|
||||
--------------------------------1-----0------------------------0--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~
|
||||
------------------------------------------0--------------------0--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~
|
||||
--------------------------------------1------1-----------------0--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~
|
||||
-------------------------------------------------0-------------0--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~
|
||||
--------------------------------------------------------------00--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~
|
||||
--------------------------------0-------------------------------1-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-----------------------------------------10---------------------1-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~
|
||||
-----------------------------------------0----------------------0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~
|
||||
------------------------------------------1---------------------0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~
|
||||
--------------------------------------0------------------------0-1------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~
|
||||
--------------------------------0--------------------------------0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
--------------------------------------0--0----------------------10------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-----------------------------------------10----------------------11------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~
|
||||
--------------------------------0---------------------------------0------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-----------------------------------------0------------------------0------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~
|
||||
------------------------------------------1-----------------------0------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~
|
||||
----------------------------------------------------------------010------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~
|
||||
--------------------------------0----------------------------------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
--------------------------------0-----0--01----------------------0-1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~
|
||||
-----------------------------------------------------------------111----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~
|
||||
----------------------------------------------------------------0-01----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~
|
||||
-----------------------------------------0-------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~
|
||||
------------------------------------------1------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~
|
||||
--------------------------------------0------------------------0---0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~
|
||||
----------------------------------------------------------------01-0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~
|
||||
-----------------------------------------10---------------------10-0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~
|
||||
------------------------------------------------------------------10----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~
|
||||
----------------------------------------------------------------0-10----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~
|
||||
----------------------------------------------------------------1-00----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~
|
||||
-----------------------------------------------------------------100----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~
|
||||
----0---------------------------------------------------------------0---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0
|
||||
---10--1---------------------------------------------------1---------1--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0
|
||||
--1--11----------------0010--1---------------------------------------0--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-------------------------------0-------------------------------------0--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---1-----------------------------0----------1------------------------0--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
------------------------------------------------0--------------------0--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---1-----------------------------0---------------0-------------------0--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---------------------------------0----------1------0-----------------0--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---------------------------------0---------------0-0-----------------0--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-----------0-----------------------------0-----------0---------------0--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~
|
||||
-----------1--------------------------------0--------0---------------0--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~
|
||||
-----------1----------------------------------------00---------------0--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~
|
||||
-----------0-----------------------------0------------0--------------0--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~
|
||||
-----------1--------------------------------0---------0--------------0--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~
|
||||
-----------1----------------------------------------0-0--------------0--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~
|
||||
-------------------------------------------------------0-------------0--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~
|
||||
---1-----------------------------0----------------------0------------0--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---------------------------------0-----------------0----0------------0--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
--01--1-----------------------1-------------1--------------1---------0--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---1-01-----------------------1-------------1--------------1---------0--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---1--1----------------1------1-------------1--------------1---------0--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---1--1-----------------1-----1-------------1--------------1---------0--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---1--1------------------0----1-------------1--------------1---------0--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---1--1-------------------1---1-------------1--------------1---------0--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---1--1----------------------01-------------1--------------1---------0--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
--01--1-----------------------1------------------0---------1---------0--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---1-01-----------------------1------------------0---------1---------0--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---1--1----------------1------1------------------0---------1---------0--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---1--1-----------------1-----1------------------0---------1---------0--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---1--1------------------0----1------------------0---------1---------0--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---1--1-------------------1---1------------------0---------1---------0--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---1--1----------------------01------------------0---------1---------0--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
--01--1-----------------------1-------------------------0--1---------0--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---1-01-----------------------1-------------------------0--1---------0--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---1--1----------------1------1-------------------------0--1---------0--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---1--1-----------------1-----1-------------------------0--1---------0--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---1--1------------------0----1-------------------------0--1---------0--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---1--1-------------------1---1-------------------------0--1---------0--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---1--1----------------------01-------------------------0--1---------0--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---0-------------------------------1------------------------0--------0--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~
|
||||
-----------------------------------1---------------0--------0--------0--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~
|
||||
-----------0-----------------------------------------0-------0-------0--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~
|
||||
-----------0------------------------------------------0------0-------0--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~
|
||||
----------------------------------------------------------------------1-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~
|
||||
----------1-----------------------------------------------------------1-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~
|
||||
------------------------------0---------------------------------------1-------- ~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-----------------------------------------------------0---------------0-1------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~
|
||||
------------------------------------------------------0--------------0-1------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~
|
||||
------------------------------------------------------------------------0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~
|
||||
------0-----------------------0---------------------------------------0-0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
------------------------------0-----0---------------------------------0-0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-----------1------------------0-----0---------------------------------0-0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~
|
||||
------0-----------------------0-----0--------------------1------------0-0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~
|
||||
------1----0------------------0---------------------------0-----------0-0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~
|
||||
-----------0------------------0--------------------------00-----------0-0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~
|
||||
-------------------------------------------------------------------------1----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~
|
||||
----------1-------------------------------------------------------------11----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~
|
||||
------------------------------0---------------------------------------0-01----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~
|
||||
------0-----------------------0---------------------------------------0--0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
------------------------------0-----0---------------------------------0--0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-----------1------------------0-----0---------------------------------0--0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~
|
||||
------0-----------------------0-----0--------------------1------------0--0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~
|
||||
------1----0------------------0---------------------------0-----------0--0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~
|
||||
-----------0------------------0--------------------------00-----------0--0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~
|
||||
------------------------------0---------------------------------------0-10----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~
|
||||
------------------------------0---------------------------------------0-00----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~
|
||||
-----------1--------------------------------1-------1------------------0--0---- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~
|
||||
-----------0-----------------------------1-------------------1---------0--0---- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~
|
||||
-----------1--------------------------------1-------1------------------0---1--- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~
|
||||
-----------0-----------------------------1-------------------1---------0---1--- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~
|
||||
-----------1--------------------------------1-------1------------------0----1-- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~
|
||||
-----------0-----------------------------1-------------------1---------0----1-- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~
|
||||
-----------1--------------------------------1-------1------------------0----0-- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~
|
||||
-----------0-----------------------------1-------------------1---------0----0-- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~
|
||||
-----------------------------------------------------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
------------------------------------------------------------------------------0 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
.i 80
|
||||
.o 158
|
||||
.ilb A_31_ IPL_2_ FC_1_ nEXP_SPACE BG_030 BGACK_000 CLK_030 CLK_000 CLK_OSZI VPA RST A_30_ A_29_ A_28_ A_27_ A_26_ A_25_ A_24_ A_23_ A_22_ A_21_ A_20_ A_19_ A_18_ A_17_ A_16_ IPL_1_ IPL_0_ FC_0_ BG_000.Q BGACK_030.Q FPU_CS.Q inst_avec_expreg.Q VMA.Q inst_AS_030_000_SYNC.Q inst_BGACK_030_INT_D.Q AS_030.Q inst_VPA_D.Q inst_CLK_OUT_PRE_50_D.Q inst_CLK_000_D0.Q inst_CLK_000_D1.Q inst_CLK_000_D2.Q inst_DTACK_D0.Q IPL_030_0_.Q inst_CLK_OUT_PRE_50.Q inst_CLK_OUT_PRE_25.Q IPL_030_1_.Q SM_AMIGA_7_.Q IPL_030_2_.Q AS_000.Q SM_AMIGA_6_.Q SM_AMIGA_0_.Q SM_AMIGA_5_.Q SM_AMIGA_2_.Q inst_RW_000_INT.Q UDS_000.Q LDS_000.Q DSACK1.Q inst_CLK_000_D3.Q inst_CLK_030_H.Q DS_030.Q SM_AMIGA_4_.Q SM_AMIGA_3_.Q SM_AMIGA_1_.Q cpu_est_0_.Q cpu_est_1_.Q cpu_est_2_.Q E.Q AS_030.PIN AS_000.PIN RW_000.PIN DS_030.PIN UDS_000.PIN LDS_000.PIN SIZE_0_.PIN SIZE_1_.PIN A0.PIN DSACK1.PIN DTACK.PIN RW.PIN
|
||||
.ob BERR AVEC AVEC_EXP AMIGA_BUS_ENABLE AMIGA_BUS_DATA_DIR AMIGA_BUS_ENABLE_LOW CIIN cpu_est_0_.C cpu_est_0_.AR cpu_est_1_.C cpu_est_1_.AR cpu_est_2_.C cpu_est_2_.AR E.C E.AR SM_AMIGA_0_.C SM_AMIGA_0_.AR SIZE_1_.C SIZE_1_.AP IPL_030_0_.C IPL_030_0_.AP IPL_030_1_.C IPL_030_1_.AP IPL_030_2_.C IPL_030_2_.AP SM_AMIGA_7_.C SM_AMIGA_7_.AP SM_AMIGA_6_.C SM_AMIGA_6_.AR SM_AMIGA_5_.C SM_AMIGA_5_.AR SM_AMIGA_4_.C SM_AMIGA_4_.AR SM_AMIGA_3_.C SM_AMIGA_3_.AR SM_AMIGA_2_.C SM_AMIGA_2_.AR SM_AMIGA_1_.C SM_AMIGA_1_.AR DSACK1.C DSACK1.AP VMA.C VMA.AP BGACK_030.C BGACK_030.AP inst_CLK_OUT_PRE_25.C inst_CLK_OUT_PRE_25.AR SIZE_0_.C SIZE_0_.AP LDS_000.C LDS_000.AP FPU_CS.C FPU_CS.AP inst_avec_expreg.C inst_avec_expreg.AP BG_000.C BG_000.AP DS_030.C DS_030.AP AS_030.C AS_030.AP AS_000.C AS_000.AP inst_RW_000_INT.C inst_RW_000_INT.AP inst_AS_030_000_SYNC.C inst_AS_030_000_SYNC.AP inst_CLK_030_H.C UDS_000.C UDS_000.AP A0.C A0.AP inst_DTACK_D0.C inst_DTACK_D0.AP inst_CLK_000_D2.C inst_CLK_000_D2.AP CLK_EXP.C CLK_EXP.AR inst_CLK_000_D3.C inst_CLK_000_D3.AP inst_CLK_000_D1.C inst_CLK_000_D1.AP inst_BGACK_030_INT_D.C inst_BGACK_030_INT_D.AP inst_CLK_OUT_PRE_50_D.C inst_CLK_OUT_PRE_50_D.AR inst_CLK_000_D0.C inst_CLK_000_D0.AP inst_VPA_D.C inst_VPA_D.AP inst_CLK_OUT_PRE_50.C inst_CLK_OUT_PRE_50.AR RESET.C RESET.AR RW_000 DTACK RW AS_030.OE AS_000.OE RW_000.OE DS_030.OE UDS_000.OE LDS_000.OE SIZE_0_.OE SIZE_1_.OE A0.OE DSACK1.OE DTACK.OE RW.OE BERR.OE CIIN.OE CLK_DIV_OUT.AR CLK_DIV_OUT.C CLK_DIV_OUT.D BG_000.D BGACK_030.D FPU_CS.D inst_avec_expreg.D VMA.D inst_AS_030_000_SYNC.D inst_BGACK_030_INT_D.D AS_030.D inst_VPA_D.D inst_CLK_OUT_PRE_50_D.D inst_CLK_000_D0.D CLK_EXP.D inst_CLK_000_D1.D inst_CLK_000_D2.D inst_DTACK_D0.D IPL_030_0_.D inst_CLK_OUT_PRE_50.D inst_CLK_OUT_PRE_25.D IPL_030_1_.D SM_AMIGA_7_.D IPL_030_2_.D AS_000.D SM_AMIGA_6_.D SM_AMIGA_0_.D SM_AMIGA_5_.D SM_AMIGA_2_.D inst_RW_000_INT.D UDS_000.D LDS_000.D DSACK1.D inst_CLK_000_D3.D inst_CLK_030_H.D DS_030.D SIZE_0_.D SIZE_1_.D A0.D RESET.D SM_AMIGA_4_.D SM_AMIGA_3_.D SM_AMIGA_1_.D cpu_est_0_.D cpu_est_1_.T cpu_est_2_.D E.D
|
||||
.p 363
|
||||
-------------------------------------------------------------------------------- ~1~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~
|
||||
---1---------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
----1--------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-------1------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
--------1----------------------------------------------------------------------- ~~~~~~~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~11~1~1~1~1~1~1~1~1~1~1~1~1~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---------1---------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
----------0--------------------------------------------------------------------- ~~~~~~~~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~~1~1~1~1~1~1~1~1~1~1~1~1~1~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
0----------0000000-------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
------------------1111---------------------------------------------------------- ~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---0-------------------------1-------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-------0---------------------1-------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
------------------------------1------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~11~~~~~~~~~~~~~~~~~11~~~~~~~~~~~~~~~~~~~~~~~~111~~~~~~~~~
|
||||
-----1------------------------1------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
------------------------------0------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
--0----------------------------1------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-----0-------------------------1------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
------0------------------------1------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
----------------------1--------1------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-----------------------1-------1------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
------------------------0------1------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-------------------------1-----1------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
----------------------------0--1------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-------------------------------0------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
--------------------------------1----------------------------------------------- ~~11~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---0------------------------------1--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
------0---------------------------1--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
--1--1----------------0010--1-----1--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
------------------------------0---1--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
------------------------------1----0-------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
------1-----------------------------1------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---0--------------------------0-----0------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~1~~111~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---------------------------------1---1------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---------------------------------------1---------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---------------------------------1-----1---------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
----------------------------------------1--------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-1-------------------------------------10--------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-----1---------------------------------10--------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
--------------------------1------------10--------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---------------------------1-----------10--------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-----------------------------------------1-------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~
|
||||
---------------------------------------0---1------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
----------------------------------------1--1------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
--------------------------------------------1----------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
--------------------------------------------0----------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---------------------------------------------1---------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
--------------------------------------1------1---------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
--------------------------------------------01---------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
--------------------------------------0-----10---------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---------------------------------------0------1--------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
----------------------------------------1-----1--------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---0-------------------------------------------1-------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---0--------------------------1----------------1-------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
----------------------------------1------------1-------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
------------------------------1---1------------1-------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-----------------------------------------1-----1-------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
------------------------------1----------1-----1-------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-----------------------------1-----------------0-------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
------------------------------1-1--------------0-------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
----------------------------------1------------0-------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---------------------------------------0--------1------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~
|
||||
----------------------------------------1-------1------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-----------------------------------------0-------1------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~
|
||||
---------------------------------------1----------1----------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~
|
||||
---------------------------------------0-------0--1----------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~
|
||||
---------------------------------------1-----------1---------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---------------------------------------0-----------1---------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~
|
||||
------1--------------------------------1----------01---------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~
|
||||
------------------------------1--------1----------01---------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~
|
||||
---------------------------------------1------------1--------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~
|
||||
---------------------------------------0------------1--------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~
|
||||
-------------------------------------------------1--0--------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~
|
||||
---------------------------------------1-------------1-------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~
|
||||
---------------------------------------0-------------1-------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~
|
||||
------------------------------------------------------1------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
------1--------------------------------0--------------1------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~
|
||||
------------------------------1--------0--------------1------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~
|
||||
------1-------------------------------------------0---1------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~
|
||||
------------------------------1-------------------0---1------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~
|
||||
---------------------------------------0-----------------1---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~
|
||||
-----------------------------------------------------0---1---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~
|
||||
---0-------------------------------------0-----1----------1--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---1------------------------------0------0-----1----------1--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~
|
||||
-----------------------------------------------1----------0--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
------------------------------1----------------1----------0--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
----------0------------------------------------------------1-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~
|
||||
------0-----------------------------1----------------------1-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~
|
||||
---------------------------------------1---------------------1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~
|
||||
---------------------------------------0---------------------1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~
|
||||
---------------------------------1---0------------------------1----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~
|
||||
---------------------------------------1----------------------1----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~
|
||||
----------------------------------------0---------------------1----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~
|
||||
-------------------------------------1----1-------------------1----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~
|
||||
-------------------------------------1-01-0-------------------1----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~
|
||||
---------------------------------------1-----------------------1---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~
|
||||
---------------------------------------0-----------------------1---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~
|
||||
---------------------------------------0------------------------1--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~
|
||||
----------------------------------------1-----------------------1--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~
|
||||
---------------------------------1------------------------------0--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---------------------------------------10-----------------------0--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~
|
||||
---------------------------------1-------------------------------1-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-------------------------------------0------------------------1--1-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~
|
||||
---------------------------------------10-----------------------00-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~
|
||||
---------------------------------------0--------------------------1------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~
|
||||
----------------------------------------1-------------------------1------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~
|
||||
-----------------------------------------------------------------11------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~
|
||||
---------------------------------------10-----------------------1-0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1
|
||||
---------------------------------------10------------------------00------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1
|
||||
---------------------------------------0---------------------------1------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1
|
||||
----------------------------------------1--------------------------1------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1
|
||||
---------------------------------------10-----------------------1--1------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~
|
||||
-----------------------------------------------------------------0-1------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1
|
||||
---------------------------------0---0-01---------------------1--0-1------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~
|
||||
---------------------------------------10------------------------011------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~
|
||||
------------------------------------------------------------------01------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1
|
||||
---------------------------------------10-----------------------1-01------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~
|
||||
-------------------------------------0------------------------1----0------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~
|
||||
---------------------------------------10-----------------------1-10------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~
|
||||
---------------------------------------1---------1--------------0110------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---------------------------------------10------------------------000------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~
|
||||
--------------------------------------------------------------------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---------------------------------------0----------------------------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~
|
||||
-----------------------------------------0--------------------------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~
|
||||
----------------------------------------------------0---------------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~
|
||||
-----------------------------------------------------0--------------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~
|
||||
-----------------------------1--------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---------------------------------------------------------------------1---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~111~~~~~~~~~
|
||||
---------------------------------------1----------01-----------------1---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~
|
||||
---------------------------------------0--------------1--------------1---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~
|
||||
--------------------------------------------------0---1--------------1---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~
|
||||
------------------------------------1---------------------------------1--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~
|
||||
------1-----------------------------------------------------1---------0--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~
|
||||
-----------------------------------------------------------01---------0--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~
|
||||
-------------------------------------------------------1---------------1-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~
|
||||
--------------------------------------------------------1--------------1-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~
|
||||
--------------------------------------------------------------------1--1-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~~~~~
|
||||
------------------------------------------------------------------------1------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~
|
||||
------1---1-------------------0-----0--------------------------------0--0------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~
|
||||
------------------------------0----------------------------1---------0--0------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~
|
||||
------0-----------------------0--------------------------------------01-0------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~
|
||||
-------------------------------------------------------------------------1------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~
|
||||
------------------------------------------------------------------------11------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~1~1~~~~~~~~~
|
||||
---------------------------------------1----------01--------------------11------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~
|
||||
---------------------------------------0--------------1-----------------11------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~
|
||||
--------------------------------------------------0---1-----------------11------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~
|
||||
------1---1-------------------0-----0--------------------------------0---0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~
|
||||
------------------------------0----------------------------1---------0---0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~
|
||||
------0-----------------------0--------------------------------------01--0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~
|
||||
------------------------------0--------------------------------------0--10------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~
|
||||
------------------------------------------------------------------------00------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~
|
||||
-----------------------------------------------------------------------------1-- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
------------------------------------------------------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
------1--------------------------------1----------1----------------------------1 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~
|
||||
------------------------------1--------1----------1----------------------------1 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~
|
||||
-----------------------------------------0-------------1-----------------------1 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~
|
||||
----------------------------------------------------0--1-----------------------1 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~
|
||||
-----------------------------------------0--------------1----------------------1 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~
|
||||
----------------------------------------------------0---1----------------------1 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~
|
||||
-----------------------------------------0--------------------------1----------1 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~~~~~
|
||||
----------------------------------------------------0---------------1----------1 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~~~~~
|
||||
---------------------------------------1----------1------------------1---------1 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~
|
||||
---0--------------------------0--------------------------------------0---------1 ~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---------------------------------------1----------1---------------------11-----1 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~
|
||||
-----------------------------------------1----------1------------------0----1--1 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~
|
||||
-----------------------------------------1----------1------------------0--100--1 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~
|
||||
------------------------------1------------------------------------------------0 ~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---------------------------------------0---------------1-----------------------0 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~
|
||||
---------------------------------------0----------------1----------------------0 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~
|
||||
-------------------------------------------------------1-----0-----------------0 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~
|
||||
--------------------------------------------------------1----0-----------------0 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~
|
||||
---------------------------------------0----------------------------1----------0 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~~~~~
|
||||
-------------------------------------------------------------0------1----------0 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~~~~~
|
||||
---------------------------------------1---------------------1---------0----1--0 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~
|
||||
---------------------------------------1---------------------1---------0--100--0 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~
|
||||
-------------------------------------------------------------------------------- 0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
1------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---1---------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~0~~000~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---0---------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-----0-------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-------0------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
--------0----------------------------------------------------------------------- ~~~~~~~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~00~0~0~0~0~0~0~0~0~0~0~0~0~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---------0---------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
----------1--------------------------------------------------------------------- ~~~~~~~~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~~0~0~0~0~0~0~0~0~0~0~0~0~0~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-----------1-------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
------------1------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-------------1------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
--------------1----------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---------------1---------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
----------------1--------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-----------------1-------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
------------------0------------------------------------------------------------- ~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-------------------0------------------------------------------------------------ ~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
--------------------0----------------------------------------------------------- ~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---------------------0---------------------------------------------------------- ~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
----0------------------------0-------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
------------------------------1------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~0~~000~00~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~
|
||||
----------1-------------------1------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~
|
||||
------------------------------0------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~00~00~~~~~~~~~~~~~~0~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-------------------------------1------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
--------------------------------0----------------------------------------------- ~~00~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
------------------------------------1------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~0~~000~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---------------------------------------1---------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~0~~~~~~~~~~~0~~~~~~
|
||||
---------------------------------------0---------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~0~~~~~~~~~~~~~~0~0~~
|
||||
------------------------------0--------0---------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---------------------------------0-----0---------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
----------------------------------------1--------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~
|
||||
------------------------------0---------1--------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
----------------------------------------0--------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-0-------------------------------------10--------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~
|
||||
--------------------------0------------10--------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---------------------------0-----------10--------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-----------------------------------------0-------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~
|
||||
-------------------------------------1-01-0------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~
|
||||
---------------------------------------0---0------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
----------------------------------------1--0------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
--------------------------------------------1----------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
--------------------------------------------0----------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
--------------------------------------0-----11---------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---------------------------------------------0---------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
--------------------------------------1------0---------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
--------------------------------------------00---------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---------------------------------------0------0--------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
----------------------------------------1-----0--------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---0-------------------------------------------1-------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~
|
||||
----------------------------------1------------1-------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~
|
||||
-----------------------------------------1-----1-------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~
|
||||
--------------------------------0--1-----------0-------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---------------------------------------1-------0-------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~
|
||||
---------------------------------------0-------0-------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---------------------------------------0--------0------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~
|
||||
----------------------------------------1-------0------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---------------------------------0---------------0------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-----------------------------------------------0--0----------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~
|
||||
-----------------------------------------------0---0---------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-----------------------------------------1----------1--------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~
|
||||
--------------------------------------------------0-0--------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~
|
||||
---------------------------------------1-------------1-------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~
|
||||
---------------------------------1---0---------------0-------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~
|
||||
----------------------------------------0------------0-------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~
|
||||
-------------------------------------1----1----------0-------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~
|
||||
------------------------------------------------------0------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
------1--------------------------------0--------------0------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~
|
||||
------------------------------1--------0--------------0------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~
|
||||
------1-------------------------------------------00--0------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~
|
||||
------------------------------1-------------------00--0------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~
|
||||
---1------------------------------0----0-0----------------1--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---1------------------------------01-----0-----1----------1--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---1------------------------------0------0---------0------1--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-----------------------------------------------1----------0--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~
|
||||
------0----------------------------------------------------0-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~
|
||||
----------0------------------------------------------------0-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~
|
||||
------------------------------------1----------------------0-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~
|
||||
----------------------------------------------------0--------0------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~
|
||||
---------------------------------------0----------------------0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~
|
||||
-----------------------------------------------------0--------0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~
|
||||
-------------------------------------------------------------00----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~
|
||||
---------------------------------------------------0-----------0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~
|
||||
-----------------------------------------------------0---------0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~
|
||||
---------------------------------0------------------------------1--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---------------------------------------10-----------------------1--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~
|
||||
---------------------------------------0------------------------0--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~
|
||||
----------------------------------------1-----------------------0--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~
|
||||
-------------------------------------0---------------0-----------1-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~
|
||||
---------------------------------0-------------------------------0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-------------------------------------0-0------------------------10-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---------------------------------------10------------------------11------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0
|
||||
---------------------------------0--------------------------------0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---------------------------------------0--------------------------0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~
|
||||
----------------------------------------1-------------------------0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~
|
||||
----------------------------------------------------------------010------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~
|
||||
---------------------------------0---------------------------------1------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---------------------------------0---0-01------------------------0-1------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~
|
||||
-----------------------------------------------------------------111------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~
|
||||
----------------------------------------------------------------0-01------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~
|
||||
---------------------------------------0---------------------------0------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0
|
||||
----------------------------------------1--------------------------0------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0
|
||||
-------------------------------------0---------------0-------------0------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~
|
||||
----------------------------------------------------------------01-0------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0
|
||||
---------------------------------------10-----------------------10-0------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~
|
||||
------------------------------------------------------------------10------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0
|
||||
----------------------------------------------------------------0-10------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~
|
||||
----------------------------------------------------------------1-00------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~
|
||||
-----------------------------------------------------------------100------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~
|
||||
---10--1---------------------------------------1--------------------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
--1--11---------------0010--1---------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-------------------------------0------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---1------------------------------0----0----------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
----------------------------------0----0-1--------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
--01--1-----------------------1--------0-------1--------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---1-01-----------------------1--------0-------1--------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---1--1---------------1-------1--------0-------1--------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---1--1----------------1------1--------0-------1--------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---1--1-----------------0-----1--------0-------1--------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---1--1------------------1----1--------0-------1--------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---1--1---------------------0-1--------0-------1--------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
----------------------------------0----0-------0--------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-------------------------------------------------0------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~
|
||||
---1------------------------------0------------------0--------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
----------------------------------0------1-----------0--------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
--01--1-----------------------1----------------1-----0--------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---1-01-----------------------1----------------1-----0--------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---1--1---------------1-------1----------------1-----0--------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---1--1----------------1------1----------------1-----0--------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---1--1-----------------0-----1----------------1-----0--------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---1--1------------------1----1----------------1-----0--------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---1--1---------------------0-1----------------1-----0--------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
----------------------------------0------------0-----0--------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---------------------------------------------------------0----------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~
|
||||
----------------------------------0----0------------------0---------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
----------------------------------0------------------0----0---------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---------------------------------------------------------------------1---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~
|
||||
----------1----------------------------------------------------------1---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~
|
||||
---------------------------------------0--------------0--------------1---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~
|
||||
--------------------------------------------------00--0--------------1---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~
|
||||
-------------------------------------------------------0------------0--1-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~
|
||||
--------------------------------------------------------0-----------0--1-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~
|
||||
------------------------------------------------------------------------0------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~
|
||||
------0-----------------------0--------------------------------------0--0------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
------------------------------0-----0--------------------------------0--0------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
------0-----------------------0-----0----------------------1---------0--0------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~
|
||||
------------------------------0-----0--------------------------------01-0------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~
|
||||
------0-----------------------0--------------------------------------00-0------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~
|
||||
------1-----------------------0-----------------------------0--------00-0------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~
|
||||
------------------------------0----------------------------00--------00-0------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~
|
||||
-------------------------------------------------------------------------1------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~
|
||||
----------1-------------------------------------------------------------11------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~
|
||||
---------------------------------------0--------------0-----------------11------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~
|
||||
--------------------------------------------------00--0-----------------11------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~
|
||||
------------------------------0--------------------------------------0--01------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~
|
||||
------0-----------------------0--------------------------------------0---0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
------------------------------0-----0--------------------------------0---0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
------0-----------------------0-----0----------------------1---------0---0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~
|
||||
------------------------------0-----0--------------------------------01--0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~
|
||||
------0-----------------------0--------------------------------------00--0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~
|
||||
------1-----------------------0-----------------------------0--------00--0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~
|
||||
------------------------------0----------------------------00--------00--0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~
|
||||
------------------------------0--------------------------------------0--10------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~
|
||||
------------------------------0--------------------------------------0--00------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~
|
||||
-----------------------------------------------------------------------------0-- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
------------------------------------------------------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
---1---------------------------------------------------------------------------1 ~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
------------------------------1------------------------------------------------1 ~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-----------------------------------------0-------------0------------0----------1 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~
|
||||
----------------------------------------------------0--0------------0----------1 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~
|
||||
-----------------------------------------0--------------0-----------0----------1 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~
|
||||
----------------------------------------------------0---0-----------0----------1 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~
|
||||
---------------------------------------------------------------------1---------1 ~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
-----------------------------------------1----------1------------------0--0----1 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~
|
||||
-----------------------------------------1----------1------------------0---1---1 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~
|
||||
-----------------------------------------1----------1------------------0----1--1 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~
|
||||
-----------------------------------------1----------1------------------0----0--1 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~
|
||||
------------------------------0------------------------------------------------0 ~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
------1--------------------------------1----------1----------------------------0 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~
|
||||
------------------------------1--------1----------1----------------------------0 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~
|
||||
---------------------------------------0---------------0------------0----------0 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~
|
||||
---------------------------------------0----------------0-----------0----------0 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~
|
||||
-------------------------------------------------------0-----0------0----------0 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~
|
||||
--------------------------------------------------------0----0------0----------0 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~
|
||||
---------------------------------------1----------1------------------1---------0 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~
|
||||
---------------------------------------1----------1---------------------11-----0 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~
|
||||
---------------------------------------1---------------------1---------0--0----0 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~
|
||||
---------------------------------------1---------------------1---------0---1---0 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~
|
||||
---------------------------------------1---------------------1---------0----1--0 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~
|
||||
---------------------------------------1---------------------1---------0----0--0 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~
|
||||
.end
|
||||
|
|
|
@ -1,191 +1,200 @@
|
|||
#$ TOOL ispLEVER Classic 1.7.00.05.28.13
|
||||
#$ DATE Thu May 29 22:04:27 2014
|
||||
#$ DATE Sun Jun 01 01:03:24 2014
|
||||
#$ MODULE BUS68030
|
||||
#$ PINS 59 A_21_ A_20_ A_19_ A_18_ A_31_ A_17_ A_16_ IPL_2_ IPL_1_ IPL_0_
|
||||
DSACK_0_ FC_0_ FC_1_ nEXP_SPACE BERR BG_030 BGACK_000 CLK_030 CLK_000 CLK_OSZI
|
||||
CLK_DIV_OUT DTACK AVEC AVEC_EXP VPA RST RW AMIGA_BUS_DATA_DIR
|
||||
AMIGA_BUS_ENABLE_LOW CIIN A_30_ A_29_ A_28_ A_27_ A_26_ A_25_ A_24_ A_23_ A_22_
|
||||
SIZE_1_ IPL_030_2_ IPL_030_1_ IPL_030_0_ DSACK_1_ AS_030 AS_000 DS_030 UDS_000
|
||||
LDS_000 A0 BG_000 BGACK_030 CLK_EXP FPU_CS E VMA RESET AMIGA_BUS_ENABLE SIZE_0_
|
||||
#$ PINS 59 A_26_ A_25_ A_24_ A_23_ A_31_ A_22_ A_21_ A_20_ A_19_ IPL_2_ A_18_
|
||||
A_17_ FC_1_ A_16_ RW_000 IPL_1_ IPL_0_ FC_0_ nEXP_SPACE BERR BG_030 BGACK_000
|
||||
CLK_030 CLK_000 CLK_OSZI CLK_DIV_OUT DTACK AVEC AVEC_EXP VPA RST RW
|
||||
AMIGA_BUS_ENABLE AMIGA_BUS_DATA_DIR AMIGA_BUS_ENABLE_LOW CIIN A_30_ A_29_ A_28_
|
||||
A_27_ SIZE_1_ IPL_030_2_ AS_030 IPL_030_1_ AS_000 IPL_030_0_ DS_030 UDS_000
|
||||
LDS_000 A0 BG_000 BGACK_030 CLK_EXP FPU_CS DSACK1 E VMA RESET SIZE_0_
|
||||
#$ NODES 24 inst_AS_030_000_SYNC inst_BGACK_030_INT_D inst_VPA_D
|
||||
inst_CLK_OUT_PRE_50_D inst_CLK_000_D0 inst_CLK_000_D1 inst_CLK_000_D2
|
||||
inst_CLK_000_D4 inst_DTACK_D0 inst_CLK_OUT_PRE_50 inst_CLK_OUT_PRE_25
|
||||
SM_AMIGA_1_ SM_AMIGA_0_ SM_AMIGA_6_ SM_AMIGA_5_ inst_CLK_000_D3 inst_CLK_030_H
|
||||
SM_AMIGA_7_ SM_AMIGA_4_ SM_AMIGA_3_ SM_AMIGA_2_ cpu_est_0_ cpu_est_1_ cpu_est_2_
|
||||
inst_DTACK_D0 inst_CLK_OUT_PRE_50 inst_CLK_OUT_PRE_25 SM_AMIGA_7_ SM_AMIGA_6_
|
||||
SM_AMIGA_0_ SM_AMIGA_5_ SM_AMIGA_2_ inst_RW_000_INT inst_CLK_000_D3
|
||||
inst_CLK_030_H SM_AMIGA_4_ SM_AMIGA_3_ SM_AMIGA_1_ cpu_est_0_ cpu_est_1_
|
||||
cpu_est_2_
|
||||
.type f
|
||||
.i 79
|
||||
.o 159
|
||||
.i 80
|
||||
.o 160
|
||||
.ilb A_31_ IPL_2_ FC_1_ nEXP_SPACE BG_030 BGACK_000 CLK_030 CLK_000 CLK_OSZI VPA
|
||||
RST RW A_30_ A_29_ A_28_ A_27_ A_26_ A_25_ A_24_ A_23_ A_22_ A_21_ A_20_ A_19_
|
||||
A_18_ A_17_ A_16_ IPL_1_ IPL_0_ FC_0_ BGACK_030.Q FPU_CS.Q VMA.Q
|
||||
inst_AS_030_000_SYNC.Q IPL_030_0_.Q inst_BGACK_030_INT_D.Q AS_030.Q IPL_030_1_.Q
|
||||
inst_VPA_D.Q inst_CLK_OUT_PRE_50_D.Q IPL_030_2_.Q inst_CLK_000_D0.Q
|
||||
inst_CLK_000_D1.Q inst_CLK_000_D2.Q inst_CLK_000_D4.Q inst_DTACK_D0.Q
|
||||
inst_CLK_OUT_PRE_50.Q inst_CLK_OUT_PRE_25.Q AS_000.Q SM_AMIGA_1_.Q SM_AMIGA_0_.Q
|
||||
SM_AMIGA_6_.Q SM_AMIGA_5_.Q UDS_000.Q LDS_000.Q DSACK_1_.Q inst_CLK_000_D3.Q
|
||||
inst_CLK_030_H.Q DS_030.Q SM_AMIGA_7_.Q AMIGA_BUS_ENABLE.Q SM_AMIGA_4_.Q
|
||||
SM_AMIGA_3_.Q SM_AMIGA_2_.Q cpu_est_0_.Q cpu_est_1_.Q cpu_est_2_.Q E.Q BG_000.Q
|
||||
AS_030.PIN AS_000.PIN DS_030.PIN UDS_000.PIN LDS_000.PIN SIZE_0_.PIN SIZE_1_.PIN
|
||||
A0.PIN DSACK_1_.PIN DTACK.PIN
|
||||
.ob DSACK_0_ DSACK_0_.OE BERR BERR.OE CLK_DIV_OUT.D CLK_DIV_OUT.C CLK_DIV_OUT.AR
|
||||
DTACK DTACK.OE AVEC AVEC_EXP AVEC_EXP.OE AMIGA_BUS_DATA_DIR AMIGA_BUS_ENABLE_LOW
|
||||
CIIN CIIN.OE SIZE_1_.D% SIZE_1_.C SIZE_1_.AP SIZE_1_.OE IPL_030_2_.D
|
||||
IPL_030_2_.C IPL_030_2_.AP IPL_030_1_.D IPL_030_1_.C IPL_030_1_.AP IPL_030_0_.D
|
||||
IPL_030_0_.C IPL_030_0_.AP DSACK_1_.D% DSACK_1_.C DSACK_1_.AP DSACK_1_.OE
|
||||
AS_030.D AS_030.C AS_030.AP AS_030.OE AS_000.D% AS_000.C AS_000.AP AS_000.OE
|
||||
DS_030.D DS_030.C DS_030.AP DS_030.OE UDS_000.D% UDS_000.C UDS_000.AP UDS_000.OE
|
||||
LDS_000.D% LDS_000.C LDS_000.AP LDS_000.OE A0.D A0.C A0.AP A0.OE BG_000.D%
|
||||
BG_000.C BG_000.AP BGACK_030.D BGACK_030.C BGACK_030.AP CLK_EXP.D CLK_EXP.C
|
||||
CLK_EXP.AR FPU_CS.D% FPU_CS.C FPU_CS.AP E.D.X1 E.D.X2 E.C E.AR VMA.D.X1 VMA.D.X2
|
||||
VMA.C VMA.AP RESET.D RESET.C RESET.AR AMIGA_BUS_ENABLE.D% AMIGA_BUS_ENABLE.C
|
||||
AMIGA_BUS_ENABLE.AP SIZE_0_.D% SIZE_0_.C SIZE_0_.AP SIZE_0_.OE
|
||||
inst_AS_030_000_SYNC.D inst_AS_030_000_SYNC.C inst_AS_030_000_SYNC.AP
|
||||
inst_BGACK_030_INT_D.D inst_BGACK_030_INT_D.C inst_BGACK_030_INT_D.AP
|
||||
inst_VPA_D.D inst_VPA_D.C inst_VPA_D.AP inst_CLK_OUT_PRE_50_D.D
|
||||
inst_CLK_OUT_PRE_50_D.C inst_CLK_OUT_PRE_50_D.AR inst_CLK_000_D0.D
|
||||
inst_CLK_000_D0.C inst_CLK_000_D0.AP inst_CLK_000_D1.D inst_CLK_000_D1.C
|
||||
inst_CLK_000_D1.AP inst_CLK_000_D2.D inst_CLK_000_D2.C inst_CLK_000_D2.AP
|
||||
inst_CLK_000_D4.D inst_CLK_000_D4.C inst_CLK_000_D4.AP inst_DTACK_D0.D
|
||||
inst_DTACK_D0.C inst_DTACK_D0.AP inst_CLK_OUT_PRE_50.D inst_CLK_OUT_PRE_50.C
|
||||
RST A_30_ A_29_ A_28_ A_27_ A_26_ A_25_ A_24_ A_23_ A_22_ A_21_ A_20_ A_19_
|
||||
A_18_ A_17_ A_16_ IPL_1_ IPL_0_ FC_0_ BG_000.Q BGACK_030.Q FPU_CS.Q VMA.Q
|
||||
inst_AS_030_000_SYNC.Q inst_BGACK_030_INT_D.Q AS_030.Q inst_VPA_D.Q
|
||||
inst_CLK_OUT_PRE_50_D.Q inst_CLK_000_D0.Q inst_CLK_000_D1.Q inst_CLK_000_D2.Q
|
||||
inst_DTACK_D0.Q IPL_030_0_.Q inst_CLK_OUT_PRE_50.Q inst_CLK_OUT_PRE_25.Q
|
||||
IPL_030_1_.Q SM_AMIGA_7_.Q IPL_030_2_.Q AS_000.Q SM_AMIGA_6_.Q SM_AMIGA_0_.Q
|
||||
SM_AMIGA_5_.Q SM_AMIGA_2_.Q inst_RW_000_INT.Q UDS_000.Q LDS_000.Q DSACK1.Q
|
||||
inst_CLK_000_D3.Q inst_CLK_030_H.Q DS_030.Q SM_AMIGA_4_.Q SM_AMIGA_3_.Q
|
||||
SM_AMIGA_1_.Q cpu_est_0_.Q cpu_est_1_.Q cpu_est_2_.Q E.Q AS_030.PIN AS_000.PIN
|
||||
RW_000.PIN DS_030.PIN UDS_000.PIN LDS_000.PIN SIZE_0_.PIN SIZE_1_.PIN A0.PIN
|
||||
DSACK1.PIN DTACK.PIN RW.PIN AVEC_EXP
|
||||
.ob RW_000 RW_000.OE BERR BERR.OE CLK_DIV_OUT.D CLK_DIV_OUT.C CLK_DIV_OUT.AR
|
||||
DTACK DTACK.OE AVEC AVEC_EXP.D% AVEC_EXP.C AVEC_EXP.AP RW RW.OE AMIGA_BUS_ENABLE
|
||||
AMIGA_BUS_DATA_DIR AMIGA_BUS_ENABLE_LOW CIIN CIIN.OE SIZE_1_.D% SIZE_1_.C
|
||||
SIZE_1_.AP SIZE_1_.OE IPL_030_2_.D IPL_030_2_.C IPL_030_2_.AP AS_030.D AS_030.C
|
||||
AS_030.AP AS_030.OE IPL_030_1_.D IPL_030_1_.C IPL_030_1_.AP AS_000.D% AS_000.C
|
||||
AS_000.AP AS_000.OE IPL_030_0_.D IPL_030_0_.C IPL_030_0_.AP DS_030.D DS_030.C
|
||||
DS_030.AP DS_030.OE UDS_000.D% UDS_000.C UDS_000.AP UDS_000.OE LDS_000.D%
|
||||
LDS_000.C LDS_000.AP LDS_000.OE A0.D A0.C A0.AP A0.OE BG_000.D% BG_000.C
|
||||
BG_000.AP BGACK_030.D BGACK_030.C BGACK_030.AP CLK_EXP.D CLK_EXP.C CLK_EXP.AR
|
||||
FPU_CS.D% FPU_CS.C FPU_CS.AP DSACK1.D% DSACK1.C DSACK1.AP DSACK1.OE E.D.X1
|
||||
E.D.X2 E.C E.AR VMA.D.X1 VMA.D.X2 VMA.C VMA.AP RESET.D RESET.C RESET.AR
|
||||
SIZE_0_.D% SIZE_0_.C SIZE_0_.AP SIZE_0_.OE inst_AS_030_000_SYNC.D
|
||||
inst_AS_030_000_SYNC.C inst_AS_030_000_SYNC.AP inst_BGACK_030_INT_D.D
|
||||
inst_BGACK_030_INT_D.C inst_BGACK_030_INT_D.AP inst_VPA_D.D inst_VPA_D.C
|
||||
inst_VPA_D.AP inst_CLK_OUT_PRE_50_D.D inst_CLK_OUT_PRE_50_D.C
|
||||
inst_CLK_OUT_PRE_50_D.AR inst_CLK_000_D0.D inst_CLK_000_D0.C inst_CLK_000_D0.AP
|
||||
inst_CLK_000_D1.D inst_CLK_000_D1.C inst_CLK_000_D1.AP inst_CLK_000_D2.D
|
||||
inst_CLK_000_D2.C inst_CLK_000_D2.AP inst_DTACK_D0.D inst_DTACK_D0.C
|
||||
inst_DTACK_D0.AP inst_CLK_OUT_PRE_50.D inst_CLK_OUT_PRE_50.C
|
||||
inst_CLK_OUT_PRE_50.AR inst_CLK_OUT_PRE_25.D inst_CLK_OUT_PRE_25.C
|
||||
inst_CLK_OUT_PRE_25.AR SM_AMIGA_1_.D SM_AMIGA_1_.C SM_AMIGA_1_.AR SM_AMIGA_0_.D
|
||||
SM_AMIGA_0_.C SM_AMIGA_0_.AR SM_AMIGA_6_.D SM_AMIGA_6_.C SM_AMIGA_6_.AR
|
||||
SM_AMIGA_5_.D SM_AMIGA_5_.C SM_AMIGA_5_.AR inst_CLK_000_D3.D inst_CLK_000_D3.C
|
||||
inst_CLK_000_D3.AP inst_CLK_030_H.D inst_CLK_030_H.C SM_AMIGA_7_.D SM_AMIGA_7_.C
|
||||
SM_AMIGA_7_.AP SM_AMIGA_4_.D SM_AMIGA_4_.C SM_AMIGA_4_.AR SM_AMIGA_3_.D%
|
||||
SM_AMIGA_3_.C SM_AMIGA_3_.AR SM_AMIGA_2_.D SM_AMIGA_2_.C SM_AMIGA_2_.AR
|
||||
inst_CLK_OUT_PRE_25.AR SM_AMIGA_7_.D% SM_AMIGA_7_.C SM_AMIGA_7_.AP SM_AMIGA_6_.D
|
||||
SM_AMIGA_6_.C SM_AMIGA_6_.AR SM_AMIGA_0_.D SM_AMIGA_0_.C SM_AMIGA_0_.AR
|
||||
SM_AMIGA_5_.D SM_AMIGA_5_.C SM_AMIGA_5_.AR SM_AMIGA_2_.D SM_AMIGA_2_.C
|
||||
SM_AMIGA_2_.AR inst_RW_000_INT.D% inst_RW_000_INT.C inst_RW_000_INT.AP
|
||||
inst_CLK_000_D3.D inst_CLK_000_D3.C inst_CLK_000_D3.AP inst_CLK_030_H.D
|
||||
inst_CLK_030_H.C SM_AMIGA_4_.D SM_AMIGA_4_.C SM_AMIGA_4_.AR SM_AMIGA_3_.D%
|
||||
SM_AMIGA_3_.C SM_AMIGA_3_.AR SM_AMIGA_1_.D SM_AMIGA_1_.C SM_AMIGA_1_.AR
|
||||
cpu_est_0_.D cpu_est_0_.C cpu_est_0_.AR cpu_est_1_.T cpu_est_1_.C cpu_est_1_.AR
|
||||
cpu_est_2_.D.X1 cpu_est_2_.D.X2 cpu_est_2_.C cpu_est_2_.AR
|
||||
.phase 111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
|
||||
.p 130
|
||||
------------------------------------------------------------------------------- 100000000100010000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
---1--------------------------------------------------------------------------- 010000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
-------------------------------0----------------------------------------------- 000100000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
-----------------------------------------------1------------------------------- 000010000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
--------1---------------------------------------------------------------------- 000001000000000001000100100100100010001000100010001000100010010010010001000100100100100010010010010010010010010010010010010010010010010010100100100100100100010
|
||||
----------0-------------------------------------------------------------------- 000000100000000000100010010010010001000100010001000100010001001001001000100010010010010001001001001001001001001001001001001001001001001000010010010010010010001
|
||||
-----------------------------------------------------------------------------1- 000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
---0--------------------------0-----0------------------------------------------ 000000001000000000010000000000000000100000001000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
-----------0------------------1------------------------------------------------ 000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
---0-------1------------------0---------------------------------------0-------- 000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
-------------------1111-------------------------------------------------------- 000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
0-----------0000000------------------------------------------------------------ 000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
------------------------------0---------------------------------------0-01----- 000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
------------------------------0---------------------------------------0-10----- 000000000000000010000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
----------------------------------------10------------------------------------- 000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
----------------------------------------1-1------------------------------------ 000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
-1---------------------------------------10------------------------------------ 000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
-------------------------------------1---0------------------------------------- 000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
-------------------------------------1----1------------------------------------ 000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
---------------------------1-------------10------------------------------------ 000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
----------------------------------1------0------------------------------------- 000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
----------------------------------1-------1------------------------------------ 000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
----------------------------1------------10------------------------------------ 000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
--------------------------------------------0----1------1---------------------- 000000000000000000000000000001000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000100000000000000000000000000000000000000
|
||||
-------------------------------------------------------0-------------0--------- 000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
------------------------------1------------------------------------------------ 000000000000000000000000000000000100000011000000100010000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000
|
||||
------1-----------------------------1------------------------------------------ 000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
----------------------------------------------------------------------1-------- 000000000000000000000000000000000100000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
------------------------------------------------------------------------11----- 000000000000000000000000000000000100000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
--------------------------------------------1-------1-------------------------- 000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
------------------------------------------------0--------------------0--------- 000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
-----------1------------------------1------------------------------------------ 000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
------0-----------------------------1--------------------1--------------------- 000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
------1----0----------------------------------------------1-------------------- 000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
-----------0---------------------------------------------01-------------------- 000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
-----------0-----------------------------0-----------0---------------0--------- 000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
-----------1--------------------------------0--------0---------------0--------- 000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
-----------1----------------------------------------00---------------0--------- 000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
-----------0-----------------------------------------0-------0-------0--------- 000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
-----------------------------------------------------0---------------0-1------- 000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
-----------1--------------------------------1-------1------------------0----0-- 000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
-----------0-----------------------------1-------------------1---------0----0-- 000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
-----------0-----------------------------0------------0--------------0--------- 000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
-----------1--------------------------------0---------0--------------0--------- 000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
-----------1----------------------------------------0-0--------------0--------- 000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
-----------0------------------------------------------0------0-------0--------- 000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
------------------------------------------------------0--------------0-1------- 000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
-----------1--------------------------------1-------1------------------0--0---- 000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
-----------0-----------------------------1-------------------1---------0--0---- 000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
-----------1--------------------------------1-------1------------------0---1--- 000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
-----------0-----------------------------1-------------------1---------0---1--- 000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
-----------1--------------------------------1-------1------------------0----1-- 000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
-----------0-----------------------------1-------------------1---------0----1-- 000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
----0---------------------------------------------------------------0---------- 000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
---10--1---------------------------------------------------1---------1--------- 000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
-----1------------------------1------------------------------------------------ 000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
-----1-----------------------------------10------------------------------------ 000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
--1--11----------------0010--1---------------------------------------0--------- 000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
-------------------------------0-------------------------------------0--------- 000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
-----------------------------------------10----------------------111----------- 000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
-----------------------------------------10----------------------000----------- 000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000001000000
|
||||
-----------------------------------------10---------------------1-00----------- 000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
-------------------------------------------------------------------1----------- 000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
--------------------------------1---------------------------------------------- 000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
--------------------------------0--------1------1---------------0110----------- 000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
--------------------------------1-----0--0----------------------10------------- 000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
------------------------------0------------------------------------------------ 000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
---1-------------------------------1--------0------1--------------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
---0-------------------------------1-------------00---------0------------------ 000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
-----------------------------------1-------------000--------0------------------ 000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
---0-------------------------------1------------------------0--------0--------- 000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
-----------------------------------1---------------0--------0--------0--------- 000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
------------------------------0---------------------------------------0-00----- 000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
---0-----------------------------1--------------------------------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000
|
||||
------0--------------------------1--------------------------------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000
|
||||
--1--1-----------------0010--1---1--------------------------------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000
|
||||
------------------------------0--1--------------------------------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000
|
||||
---0-----------------------------------------------1--------------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000
|
||||
---------------------------------1-------------------------0------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000
|
||||
---------------------------------------------------------------------1--------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000
|
||||
---------1--------------------------------------------------------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000
|
||||
----------------------------------------------1-------------------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000
|
||||
-------1----------------------------------------------------------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000
|
||||
-----------------------------------------1------------------------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000
|
||||
------------------------------------------1------------------------------------ 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000
|
||||
--------------------------------------------------------1---------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000
|
||||
------------------------------------------------------------------------------1 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000
|
||||
----------------------------------------------0-------------------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000
|
||||
---------------------------------------1-------1------------------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000
|
||||
----------------------------------------------01------------------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000
|
||||
---------------------------------------0------10------------------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000
|
||||
-----------------------------------------1-------1----------------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000
|
||||
-----------------------------------------1---------------------1--------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000
|
||||
-----------------------------------------0--1----1----------------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000
|
||||
-----------------------------------------0--------1---------------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000
|
||||
------------------------------------------------0-1---------------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000
|
||||
-----------------------------------------0-------1------0---------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000
|
||||
---------------------------------0---------0------------1--1------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000
|
||||
---1-------------------------------------0---------1-------0------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000
|
||||
-----------------------------------------1---------1--------------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000
|
||||
-----------------------------------------1----------1-------------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000
|
||||
-------------------------------------------1----------------------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000
|
||||
----------0----------------------------------------------1--------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000
|
||||
------1---1-------------------0-----0---------------------------------0-0------ 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000
|
||||
------------------------------0--------------------------1------------0-0------ 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000
|
||||
------1---1-------------------0-----0---------------------------------0--0----- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000
|
||||
------------------------------0--------------------------1------------0--0----- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000
|
||||
-----------------------------------------1------1-1---------------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000
|
||||
---0-------------------------------------0---------1--------------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000
|
||||
---------------------------------1-------------------------1------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000
|
||||
-------------------------------------------1---------------1------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000
|
||||
--------------------------------------------------------0--1------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000
|
||||
-----------------------------------------0----------1-------------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000
|
||||
-----------------------------------------0-------------------1----------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000
|
||||
--------------------------------------1--01--0--------------------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000
|
||||
-----------------------------------------0--------------------0---------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000
|
||||
-------------------------------------------------------------00---------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000
|
||||
--------------------------------0-----0--01----------------------0-1----------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000
|
||||
--------------------------------------1--01--0----------------1---------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000
|
||||
-----------------------------------------0---------------------1--------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000
|
||||
--------------------------------0-----0--01-------------------1--0-1----------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000
|
||||
-----------------------------------------0----------------------1-------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000
|
||||
------------------------------------------1---------------------1-------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000
|
||||
-----------------------------------------10---------------------0-------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000
|
||||
-----------------------------------------10----------------------011----------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000
|
||||
-----------------------------------------10---------------------1-01----------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001000
|
||||
-----------------------------------------10---------------------1-10----------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000
|
||||
-----------------------------------------10---------------------000------------ 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000
|
||||
-----------------------------------------10---------------------1010----------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000
|
||||
------------------------------------------------------------------1------------ 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100
|
||||
.phase 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
|
||||
.p 138
|
||||
-----------------------------------------------------1-------------------------- 1000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
------------------------------1------------------------------------------------- 0100000000000000000000000001000000000100010000001000100000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000
|
||||
-------------------------------------------------------------------------------- 0000000001000000010000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
-------------------------------0------------------------------------------------ 0001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
--------------------------------------------1----------------------------------- 0000100000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
--------1----------------------------------------------------------------------- 0000010000010000000001000100100010010001001000100010001000100100100100100001000100100100010010010010010010010010010010010010010010010010010010100100100100100010
|
||||
----------0--------------------------------------------------------------------- 0000001000001000000000100010010001001000100100010001000100010010010010010000100010010010001001001001001001001001001001001001001001001001001000010010010010010001
|
||||
----------------------------------------------------------------------------1--- 0000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
---0--------------------------0----0-------------------------------------------- 0000000010000000000000010000001000000000000010000000000010000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
------------------------------0------------------------------------------------- 0000000000100010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
----------------------------------1-----------0--------------------------------0 0000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
---1-----------------------------01-----0-----1----------1---------------------- 0000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
-------------------------------------------------------------------------------1 0000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
---0--------------------------0-------------------------------------0---------1- 0000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
------------------------------1-----------------------------------------------0- 0000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
------------------1111---------------------------------------------------------- 0000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
0----------0000000-------------------------------------------------------------- 0000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
------------------------------0-------------------------------------0--01------- 0000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
------------------------------0-------------------------------------0--10------- 0000000000000000000010000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
-1------------------------------------10---------------------------------------- 0000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
--------------------------------------0--------1-------------------------------- 0000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
---------------------------------------1-------1-------------------------------- 0000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
------1----------------------------1-------------------------------------------- 0000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
--------------------------------------------------------------------1----------- 0000000000000000000000000001000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
-----------------------------------------------------------------------11------- 0000000000000000000000000001000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
--------------------------1-----------10---------------------------------------- 0000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
--------------------------------------0------1---------------------------------- 0000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
---------------------------------------1-----1---------------------------------- 0000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
----------------------------------------1----------1---------------------------- 0000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
------------------------------------------------0------------------0------------ 0000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
---------------------------1----------10---------------------------------------- 0000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
--------------------------------------0---1------------------------------------- 0000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
---------------------------------------1--1------------------------------------- 0000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
------0----------------------------1----------------------1--------------------- 0000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
-----------------------------------1---------------------------------1---------- 0000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
------1----------------------------------------------------1---------0---------- 0000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
----------------------------------------------------------01---------0---------- 0000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
------------------------------------------------------0------------0--1--------- 0000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
----------------------------------------0-------------0------------0----------1- 0000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
---------------------------------------------------0--0------------0----------1- 0000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
----------------------------------------1----------1------------------0----0--1- 0000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
--------------------------------------0---------------0------------0----------0- 0000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
------------------------------------------------------0-----0------0----------0- 0000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
--------------------------------------1---------------------1---------0----0--0- 0000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
-------------------------------------------------------0-----------0--1--------- 0000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
----------------------------------------0--------------0-----------0----------1- 0000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
---------------------------------------------------0---0-----------0----------1- 0000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
----------------------------------------1----------1------------------0--0----1- 0000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
----------------------------------------1----------1------------------0---1---1- 0000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
----------------------------------------1----------1------------------0----1--1- 0000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
--------------------------------------0----------------0-----------0----------0- 0000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
-------------------------------------------------------0----0------0----------0- 0000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
--------------------------------------1---------------------1---------0--0----0- 0000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
--------------------------------------1---------------------1---------0---1---0- 0000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
--------------------------------------1---------------------1---------0----1--0- 0000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
----0------------------------0-------------------------------------------------- 0000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
---10--1--------------------------------------1--------------------1------------ 0000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
-----1------------------------1------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
-----1--------------------------------10---------------------------------------- 0000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
--1--11---------------0010--1--------------------------------------0------------ 0000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
-------------------------------0-----------------------------------0------------ 0000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
--------------------------------------1-------------1--------------------------- 0000000000000000000000000000000000000000000000000000000000000000000001000000000000000000100000000000000000000000000000000000000000000000000000000001000000000000
|
||||
--------------------------------------------------------0----------0------------ 0000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
---1---------------------------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
--------------------------------------10------------------------111------------- 0000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
--------------------------------------10------------------------000------------- 0000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000001000000
|
||||
--------------------------------------10-----------------------1-00------------- 0000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
------------------------------------------------------------------1------------- 0000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
--------------------------------1----------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
--------------------------------0-----1---------1--------------0110------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
--------------------------------1---0-0------------------------10--------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
------------------------------0-------------------------------------0--00------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
---0-----------------------------1---------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000
|
||||
------0--------------------------1---------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000
|
||||
--1--1----------------0010--1----1---------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000
|
||||
------------------------------0--1---------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000
|
||||
---------------------------------1------------0--------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000
|
||||
---0------------------------------------0-----1----------1---------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000
|
||||
-------------------------------------------------------------------1------------ 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000
|
||||
---------1---------------------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000
|
||||
-------------------------------------------1------------------------------------ 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000
|
||||
-------1------------------------------------------------------------------------ 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000
|
||||
--------------------------------------1----------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000
|
||||
---------------------------------------1---------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000
|
||||
-----------------------------------------------------------------------------1-- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000
|
||||
-------------------------------------------0------------------------------------ 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000
|
||||
-------------------------------------1------1----------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000
|
||||
-------------------------------------------01----------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000
|
||||
-------------------------------------0-----10----------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000
|
||||
--------------------------------------0-------0--------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000
|
||||
----------------------------------------------0---0----------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000
|
||||
---1-----------------------------0----0-0----------------1---------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000
|
||||
---1-----------------------------0------0---------0------1---------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000
|
||||
--------------------------------------0-------0--1------------------------------ 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000
|
||||
---1-----------------------------0------0-----1----------1---------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000
|
||||
--------------------------------------0-----------1----------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000
|
||||
--------------------------------------0-----------------------1----------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000
|
||||
--------------------------------------1----------1------------------------------ 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000
|
||||
--------------------------------------1------------1---------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000
|
||||
--------------------------------------0-------------1--------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000
|
||||
------------------------------------1-01-0-------------------1------------------ 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000
|
||||
--------------------------------0---0-01---------------------1--0-1------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000
|
||||
------1-------------------------------0--------------0-------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000
|
||||
------------------------------1-------0--------------0-------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000
|
||||
------1------------------------------------------00--0-------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000
|
||||
------------------------------1------------------00--0-------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000
|
||||
--------------------------------------0--------------0--------------1----------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000
|
||||
-------------------------------------------------00--0--------------1----------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000
|
||||
------0-----------------------0-------------------------------------00-0-------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000
|
||||
--------------------------------------0--------------0-----------------11------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000
|
||||
-------------------------------------------------00--0-----------------11------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000
|
||||
------0-----------------------0-------------------------------------00--0------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000
|
||||
------1-------------------------------1----------1----------------------------0- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000
|
||||
------------------------------1-------1----------1----------------------------0- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000
|
||||
--------------------------------------1----------1------------------1---------0- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000
|
||||
--------------------------------------1----------1---------------------11-----0- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000
|
||||
----------------------------------------1--------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000
|
||||
----------0-----------------------------------------------1--------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000
|
||||
------1---1-------------------0----0--------------------------------0--0-------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000
|
||||
------------------------------0---------------------------1---------0--0-------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000
|
||||
------1---1-------------------0----0--------------------------------0---0------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000
|
||||
------------------------------0---------------------------1---------0---0------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000
|
||||
--------------------------------------0------------1---------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000
|
||||
--------------------------------------0---------------------1------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000
|
||||
------------------------------------1-01-0-------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000
|
||||
--------------------------------------0----------------------0------------------ 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000
|
||||
------------------------------------------------------------00------------------ 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000
|
||||
--------------------------------0---0-01------------------------0-1------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000
|
||||
--------------------------------------1-----------------------1----------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000
|
||||
--------------------------------------0------------------------1---------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000
|
||||
---------------------------------------1-----------------------1---------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000
|
||||
--------------------------------------10-----------------------0---------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000
|
||||
--------------------------------------10------------------------011------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000
|
||||
--------------------------------------10-----------------------1-01------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001000
|
||||
--------------------------------------10-----------------------1-10------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000
|
||||
--------------------------------------10-----------------------000-------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000
|
||||
--------------------------------------10-----------------------1010------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000
|
||||
-----------------------------------------------------------------1-------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100
|
||||
.end
|
||||
|
|
|
@ -1,191 +1,200 @@
|
|||
#$ TOOL ispLEVER Classic 1.7.00.05.28.13
|
||||
#$ DATE Thu May 29 22:04:27 2014
|
||||
#$ DATE Sun Jun 01 01:03:24 2014
|
||||
#$ MODULE BUS68030
|
||||
#$ PINS 59 A_21_ A_20_ A_19_ A_18_ A_31_ A_17_ A_16_ IPL_2_ IPL_1_ IPL_0_
|
||||
DSACK_0_ FC_0_ FC_1_ nEXP_SPACE BERR BG_030 BGACK_000 CLK_030 CLK_000 CLK_OSZI
|
||||
CLK_DIV_OUT DTACK AVEC AVEC_EXP VPA RST RW AMIGA_BUS_DATA_DIR
|
||||
AMIGA_BUS_ENABLE_LOW CIIN A_30_ A_29_ A_28_ A_27_ A_26_ A_25_ A_24_ A_23_ A_22_
|
||||
SIZE_1_ IPL_030_2_ IPL_030_1_ IPL_030_0_ DSACK_1_ AS_030 AS_000 DS_030 UDS_000
|
||||
LDS_000 A0 BG_000 BGACK_030 CLK_EXP FPU_CS E VMA RESET AMIGA_BUS_ENABLE SIZE_0_
|
||||
#$ PINS 59 A_26_ A_25_ A_24_ A_23_ A_31_ A_22_ A_21_ A_20_ A_19_ IPL_2_ A_18_
|
||||
A_17_ FC_1_ A_16_ RW_000 IPL_1_ IPL_0_ FC_0_ nEXP_SPACE BERR BG_030 BGACK_000
|
||||
CLK_030 CLK_000 CLK_OSZI CLK_DIV_OUT DTACK AVEC AVEC_EXP VPA RST RW
|
||||
AMIGA_BUS_ENABLE AMIGA_BUS_DATA_DIR AMIGA_BUS_ENABLE_LOW CIIN A_30_ A_29_ A_28_
|
||||
A_27_ SIZE_1_ IPL_030_2_ AS_030 IPL_030_1_ AS_000 IPL_030_0_ DS_030 UDS_000
|
||||
LDS_000 A0 BG_000 BGACK_030 CLK_EXP FPU_CS DSACK1 E VMA RESET SIZE_0_
|
||||
#$ NODES 24 inst_AS_030_000_SYNC inst_BGACK_030_INT_D inst_VPA_D
|
||||
inst_CLK_OUT_PRE_50_D inst_CLK_000_D0 inst_CLK_000_D1 inst_CLK_000_D2
|
||||
inst_CLK_000_D4 inst_DTACK_D0 inst_CLK_OUT_PRE_50 inst_CLK_OUT_PRE_25
|
||||
SM_AMIGA_1_ SM_AMIGA_0_ SM_AMIGA_6_ SM_AMIGA_5_ inst_CLK_000_D3 inst_CLK_030_H
|
||||
SM_AMIGA_7_ SM_AMIGA_4_ SM_AMIGA_3_ SM_AMIGA_2_ cpu_est_0_ cpu_est_1_ cpu_est_2_
|
||||
inst_DTACK_D0 inst_CLK_OUT_PRE_50 inst_CLK_OUT_PRE_25 SM_AMIGA_7_ SM_AMIGA_6_
|
||||
SM_AMIGA_0_ SM_AMIGA_5_ SM_AMIGA_2_ inst_RW_000_INT inst_CLK_000_D3
|
||||
inst_CLK_030_H SM_AMIGA_4_ SM_AMIGA_3_ SM_AMIGA_1_ cpu_est_0_ cpu_est_1_
|
||||
cpu_est_2_
|
||||
.type f
|
||||
.i 79
|
||||
.o 159
|
||||
.i 80
|
||||
.o 160
|
||||
.ilb A_31_ IPL_2_ FC_1_ nEXP_SPACE BG_030 BGACK_000 CLK_030 CLK_000 CLK_OSZI VPA
|
||||
RST RW A_30_ A_29_ A_28_ A_27_ A_26_ A_25_ A_24_ A_23_ A_22_ A_21_ A_20_ A_19_
|
||||
A_18_ A_17_ A_16_ IPL_1_ IPL_0_ FC_0_ BGACK_030.Q FPU_CS.Q VMA.Q
|
||||
inst_AS_030_000_SYNC.Q IPL_030_0_.Q inst_BGACK_030_INT_D.Q AS_030.Q IPL_030_1_.Q
|
||||
inst_VPA_D.Q inst_CLK_OUT_PRE_50_D.Q IPL_030_2_.Q inst_CLK_000_D0.Q
|
||||
inst_CLK_000_D1.Q inst_CLK_000_D2.Q inst_CLK_000_D4.Q inst_DTACK_D0.Q
|
||||
inst_CLK_OUT_PRE_50.Q inst_CLK_OUT_PRE_25.Q AS_000.Q SM_AMIGA_1_.Q SM_AMIGA_0_.Q
|
||||
SM_AMIGA_6_.Q SM_AMIGA_5_.Q UDS_000.Q LDS_000.Q DSACK_1_.Q inst_CLK_000_D3.Q
|
||||
inst_CLK_030_H.Q DS_030.Q SM_AMIGA_7_.Q AMIGA_BUS_ENABLE.Q SM_AMIGA_4_.Q
|
||||
SM_AMIGA_3_.Q SM_AMIGA_2_.Q cpu_est_0_.Q cpu_est_1_.Q cpu_est_2_.Q E.Q BG_000.Q
|
||||
AS_030.PIN AS_000.PIN DS_030.PIN UDS_000.PIN LDS_000.PIN SIZE_0_.PIN SIZE_1_.PIN
|
||||
A0.PIN DSACK_1_.PIN DTACK.PIN
|
||||
.ob DSACK_0_ DSACK_0_.OE BERR BERR.OE CLK_DIV_OUT.D CLK_DIV_OUT.C CLK_DIV_OUT.AR
|
||||
DTACK DTACK.OE AVEC AVEC_EXP AVEC_EXP.OE AMIGA_BUS_DATA_DIR AMIGA_BUS_ENABLE_LOW
|
||||
CIIN CIIN.OE SIZE_1_.D- SIZE_1_.C SIZE_1_.AP SIZE_1_.OE IPL_030_2_.D
|
||||
IPL_030_2_.C IPL_030_2_.AP IPL_030_1_.D IPL_030_1_.C IPL_030_1_.AP IPL_030_0_.D
|
||||
IPL_030_0_.C IPL_030_0_.AP DSACK_1_.D- DSACK_1_.C DSACK_1_.AP DSACK_1_.OE
|
||||
AS_030.D AS_030.C AS_030.AP AS_030.OE AS_000.D- AS_000.C AS_000.AP AS_000.OE
|
||||
DS_030.D DS_030.C DS_030.AP DS_030.OE UDS_000.D- UDS_000.C UDS_000.AP UDS_000.OE
|
||||
LDS_000.D- LDS_000.C LDS_000.AP LDS_000.OE A0.D A0.C A0.AP A0.OE BG_000.D-
|
||||
BG_000.C BG_000.AP BGACK_030.D BGACK_030.C BGACK_030.AP CLK_EXP.D CLK_EXP.C
|
||||
CLK_EXP.AR FPU_CS.D- FPU_CS.C FPU_CS.AP E.D.X1 E.D.X2 E.C E.AR VMA.D.X1 VMA.D.X2
|
||||
VMA.C VMA.AP RESET.D RESET.C RESET.AR AMIGA_BUS_ENABLE.D- AMIGA_BUS_ENABLE.C
|
||||
AMIGA_BUS_ENABLE.AP SIZE_0_.D- SIZE_0_.C SIZE_0_.AP SIZE_0_.OE
|
||||
inst_AS_030_000_SYNC.D inst_AS_030_000_SYNC.C inst_AS_030_000_SYNC.AP
|
||||
inst_BGACK_030_INT_D.D inst_BGACK_030_INT_D.C inst_BGACK_030_INT_D.AP
|
||||
inst_VPA_D.D inst_VPA_D.C inst_VPA_D.AP inst_CLK_OUT_PRE_50_D.D
|
||||
inst_CLK_OUT_PRE_50_D.C inst_CLK_OUT_PRE_50_D.AR inst_CLK_000_D0.D
|
||||
inst_CLK_000_D0.C inst_CLK_000_D0.AP inst_CLK_000_D1.D inst_CLK_000_D1.C
|
||||
inst_CLK_000_D1.AP inst_CLK_000_D2.D inst_CLK_000_D2.C inst_CLK_000_D2.AP
|
||||
inst_CLK_000_D4.D inst_CLK_000_D4.C inst_CLK_000_D4.AP inst_DTACK_D0.D
|
||||
inst_DTACK_D0.C inst_DTACK_D0.AP inst_CLK_OUT_PRE_50.D inst_CLK_OUT_PRE_50.C
|
||||
RST A_30_ A_29_ A_28_ A_27_ A_26_ A_25_ A_24_ A_23_ A_22_ A_21_ A_20_ A_19_
|
||||
A_18_ A_17_ A_16_ IPL_1_ IPL_0_ FC_0_ BG_000.Q BGACK_030.Q FPU_CS.Q VMA.Q
|
||||
inst_AS_030_000_SYNC.Q inst_BGACK_030_INT_D.Q AS_030.Q inst_VPA_D.Q
|
||||
inst_CLK_OUT_PRE_50_D.Q inst_CLK_000_D0.Q inst_CLK_000_D1.Q inst_CLK_000_D2.Q
|
||||
inst_DTACK_D0.Q IPL_030_0_.Q inst_CLK_OUT_PRE_50.Q inst_CLK_OUT_PRE_25.Q
|
||||
IPL_030_1_.Q SM_AMIGA_7_.Q IPL_030_2_.Q AS_000.Q SM_AMIGA_6_.Q SM_AMIGA_0_.Q
|
||||
SM_AMIGA_5_.Q SM_AMIGA_2_.Q inst_RW_000_INT.Q UDS_000.Q LDS_000.Q DSACK1.Q
|
||||
inst_CLK_000_D3.Q inst_CLK_030_H.Q DS_030.Q SM_AMIGA_4_.Q SM_AMIGA_3_.Q
|
||||
SM_AMIGA_1_.Q cpu_est_0_.Q cpu_est_1_.Q cpu_est_2_.Q E.Q AS_030.PIN AS_000.PIN
|
||||
RW_000.PIN DS_030.PIN UDS_000.PIN LDS_000.PIN SIZE_0_.PIN SIZE_1_.PIN A0.PIN
|
||||
DSACK1.PIN DTACK.PIN RW.PIN AVEC_EXP
|
||||
.ob RW_000 RW_000.OE BERR BERR.OE CLK_DIV_OUT.D CLK_DIV_OUT.C CLK_DIV_OUT.AR
|
||||
DTACK DTACK.OE AVEC AVEC_EXP.D- AVEC_EXP.C AVEC_EXP.AP RW RW.OE AMIGA_BUS_ENABLE
|
||||
AMIGA_BUS_DATA_DIR AMIGA_BUS_ENABLE_LOW CIIN CIIN.OE SIZE_1_.D- SIZE_1_.C
|
||||
SIZE_1_.AP SIZE_1_.OE IPL_030_2_.D IPL_030_2_.C IPL_030_2_.AP AS_030.D AS_030.C
|
||||
AS_030.AP AS_030.OE IPL_030_1_.D IPL_030_1_.C IPL_030_1_.AP AS_000.D- AS_000.C
|
||||
AS_000.AP AS_000.OE IPL_030_0_.D IPL_030_0_.C IPL_030_0_.AP DS_030.D DS_030.C
|
||||
DS_030.AP DS_030.OE UDS_000.D- UDS_000.C UDS_000.AP UDS_000.OE LDS_000.D-
|
||||
LDS_000.C LDS_000.AP LDS_000.OE A0.D A0.C A0.AP A0.OE BG_000.D- BG_000.C
|
||||
BG_000.AP BGACK_030.D BGACK_030.C BGACK_030.AP CLK_EXP.D CLK_EXP.C CLK_EXP.AR
|
||||
FPU_CS.D- FPU_CS.C FPU_CS.AP DSACK1.D- DSACK1.C DSACK1.AP DSACK1.OE E.D.X1
|
||||
E.D.X2 E.C E.AR VMA.D.X1 VMA.D.X2 VMA.C VMA.AP RESET.D RESET.C RESET.AR
|
||||
SIZE_0_.D- SIZE_0_.C SIZE_0_.AP SIZE_0_.OE inst_AS_030_000_SYNC.D
|
||||
inst_AS_030_000_SYNC.C inst_AS_030_000_SYNC.AP inst_BGACK_030_INT_D.D
|
||||
inst_BGACK_030_INT_D.C inst_BGACK_030_INT_D.AP inst_VPA_D.D inst_VPA_D.C
|
||||
inst_VPA_D.AP inst_CLK_OUT_PRE_50_D.D inst_CLK_OUT_PRE_50_D.C
|
||||
inst_CLK_OUT_PRE_50_D.AR inst_CLK_000_D0.D inst_CLK_000_D0.C inst_CLK_000_D0.AP
|
||||
inst_CLK_000_D1.D inst_CLK_000_D1.C inst_CLK_000_D1.AP inst_CLK_000_D2.D
|
||||
inst_CLK_000_D2.C inst_CLK_000_D2.AP inst_DTACK_D0.D inst_DTACK_D0.C
|
||||
inst_DTACK_D0.AP inst_CLK_OUT_PRE_50.D inst_CLK_OUT_PRE_50.C
|
||||
inst_CLK_OUT_PRE_50.AR inst_CLK_OUT_PRE_25.D inst_CLK_OUT_PRE_25.C
|
||||
inst_CLK_OUT_PRE_25.AR SM_AMIGA_1_.D SM_AMIGA_1_.C SM_AMIGA_1_.AR SM_AMIGA_0_.D
|
||||
SM_AMIGA_0_.C SM_AMIGA_0_.AR SM_AMIGA_6_.D SM_AMIGA_6_.C SM_AMIGA_6_.AR
|
||||
SM_AMIGA_5_.D SM_AMIGA_5_.C SM_AMIGA_5_.AR inst_CLK_000_D3.D inst_CLK_000_D3.C
|
||||
inst_CLK_000_D3.AP inst_CLK_030_H.D inst_CLK_030_H.C SM_AMIGA_7_.D SM_AMIGA_7_.C
|
||||
SM_AMIGA_7_.AP SM_AMIGA_4_.D SM_AMIGA_4_.C SM_AMIGA_4_.AR SM_AMIGA_3_.D-
|
||||
SM_AMIGA_3_.C SM_AMIGA_3_.AR SM_AMIGA_2_.D SM_AMIGA_2_.C SM_AMIGA_2_.AR
|
||||
inst_CLK_OUT_PRE_25.AR SM_AMIGA_7_.D- SM_AMIGA_7_.C SM_AMIGA_7_.AP SM_AMIGA_6_.D
|
||||
SM_AMIGA_6_.C SM_AMIGA_6_.AR SM_AMIGA_0_.D SM_AMIGA_0_.C SM_AMIGA_0_.AR
|
||||
SM_AMIGA_5_.D SM_AMIGA_5_.C SM_AMIGA_5_.AR SM_AMIGA_2_.D SM_AMIGA_2_.C
|
||||
SM_AMIGA_2_.AR inst_RW_000_INT.D- inst_RW_000_INT.C inst_RW_000_INT.AP
|
||||
inst_CLK_000_D3.D inst_CLK_000_D3.C inst_CLK_000_D3.AP inst_CLK_030_H.D
|
||||
inst_CLK_030_H.C SM_AMIGA_4_.D SM_AMIGA_4_.C SM_AMIGA_4_.AR SM_AMIGA_3_.D-
|
||||
SM_AMIGA_3_.C SM_AMIGA_3_.AR SM_AMIGA_1_.D SM_AMIGA_1_.C SM_AMIGA_1_.AR
|
||||
cpu_est_0_.D cpu_est_0_.C cpu_est_0_.AR cpu_est_1_.T cpu_est_1_.C cpu_est_1_.AR
|
||||
cpu_est_2_.D.X1 cpu_est_2_.D.X2 cpu_est_2_.C cpu_est_2_.AR
|
||||
.phase 111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
|
||||
.p 130
|
||||
------------------------------------------------------------------------------- 100000000100010000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
---1--------------------------------------------------------------------------- 010000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
-------------------------------0----------------------------------------------- 000100000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
-----------------------------------------------1------------------------------- 000010000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
--------1---------------------------------------------------------------------- 000001000000000001000100100100100010001000100010001000100010010010010001000100100100100010010010010010010010010010010010010010010010010010100100100100100100010
|
||||
----------0-------------------------------------------------------------------- 000000100000000000100010010010010001000100010001000100010001001001001000100010010010010001001001001001001001001001001001001001001001001000010010010010010010001
|
||||
-----------------------------------------------------------------------------1- 000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
---0--------------------------0-----0------------------------------------------ 000000001000000000010000000000000000100000001000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
-----------0------------------1------------------------------------------------ 000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
---0-------1------------------0---------------------------------------0-------- 000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
-------------------1111-------------------------------------------------------- 000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
0-----------0000000------------------------------------------------------------ 000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
------------------------------0---------------------------------------0-01----- 000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
------------------------------0---------------------------------------0-10----- 000000000000000010000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
----------------------------------------10------------------------------------- 000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
----------------------------------------1-1------------------------------------ 000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
-1---------------------------------------10------------------------------------ 000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
-------------------------------------1---0------------------------------------- 000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
-------------------------------------1----1------------------------------------ 000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
---------------------------1-------------10------------------------------------ 000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
----------------------------------1------0------------------------------------- 000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
----------------------------------1-------1------------------------------------ 000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
----------------------------1------------10------------------------------------ 000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
--------------------------------------------0----1------1---------------------- 000000000000000000000000000001000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000100000000000000000000000000000000000000
|
||||
-------------------------------------------------------0-------------0--------- 000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
------------------------------1------------------------------------------------ 000000000000000000000000000000000100000011000000100010000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000
|
||||
------1-----------------------------1------------------------------------------ 000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
----------------------------------------------------------------------1-------- 000000000000000000000000000000000100000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
------------------------------------------------------------------------11----- 000000000000000000000000000000000100000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
--------------------------------------------1-------1-------------------------- 000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
------------------------------------------------0--------------------0--------- 000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
-----------1------------------------1------------------------------------------ 000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
------0-----------------------------1--------------------1--------------------- 000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
------1----0----------------------------------------------1-------------------- 000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
-----------0---------------------------------------------01-------------------- 000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
-----------0-----------------------------0-----------0---------------0--------- 000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
-----------1--------------------------------0--------0---------------0--------- 000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
-----------1----------------------------------------00---------------0--------- 000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
-----------0-----------------------------------------0-------0-------0--------- 000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
-----------------------------------------------------0---------------0-1------- 000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
-----------1--------------------------------1-------1------------------0----0-- 000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
-----------0-----------------------------1-------------------1---------0----0-- 000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
-----------0-----------------------------0------------0--------------0--------- 000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
-----------1--------------------------------0---------0--------------0--------- 000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
-----------1----------------------------------------0-0--------------0--------- 000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
-----------0------------------------------------------0------0-------0--------- 000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
------------------------------------------------------0--------------0-1------- 000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
-----------1--------------------------------1-------1------------------0--0---- 000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
-----------0-----------------------------1-------------------1---------0--0---- 000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
-----------1--------------------------------1-------1------------------0---1--- 000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
-----------0-----------------------------1-------------------1---------0---1--- 000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
-----------1--------------------------------1-------1------------------0----1-- 000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
-----------0-----------------------------1-------------------1---------0----1-- 000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
----0---------------------------------------------------------------0---------- 000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
---10--1---------------------------------------------------1---------1--------- 000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
-----1------------------------1------------------------------------------------ 000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
-----1-----------------------------------10------------------------------------ 000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
--1--11----------------0010--1---------------------------------------0--------- 000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
-------------------------------0-------------------------------------0--------- 000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
-----------------------------------------10----------------------111----------- 000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
-----------------------------------------10----------------------000----------- 000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000001000000
|
||||
-----------------------------------------10---------------------1-00----------- 000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
-------------------------------------------------------------------1----------- 000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
--------------------------------1---------------------------------------------- 000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
--------------------------------0--------1------1---------------0110----------- 000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
--------------------------------1-----0--0----------------------10------------- 000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
------------------------------0------------------------------------------------ 000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
---1-------------------------------1--------0------1--------------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
---0-------------------------------1-------------00---------0------------------ 000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
-----------------------------------1-------------000--------0------------------ 000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
---0-------------------------------1------------------------0--------0--------- 000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
-----------------------------------1---------------0--------0--------0--------- 000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
------------------------------0---------------------------------------0-00----- 000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
---0-----------------------------1--------------------------------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000
|
||||
------0--------------------------1--------------------------------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000
|
||||
--1--1-----------------0010--1---1--------------------------------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000
|
||||
------------------------------0--1--------------------------------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000
|
||||
---0-----------------------------------------------1--------------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000
|
||||
---------------------------------1-------------------------0------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000
|
||||
---------------------------------------------------------------------1--------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000
|
||||
---------1--------------------------------------------------------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000
|
||||
----------------------------------------------1-------------------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000
|
||||
-------1----------------------------------------------------------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000
|
||||
-----------------------------------------1------------------------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000
|
||||
------------------------------------------1------------------------------------ 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000
|
||||
--------------------------------------------------------1---------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000
|
||||
------------------------------------------------------------------------------1 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000
|
||||
----------------------------------------------0-------------------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000
|
||||
---------------------------------------1-------1------------------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000
|
||||
----------------------------------------------01------------------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000
|
||||
---------------------------------------0------10------------------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000
|
||||
-----------------------------------------1-------1----------------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000
|
||||
-----------------------------------------1---------------------1--------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000
|
||||
-----------------------------------------0--1----1----------------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000
|
||||
-----------------------------------------0--------1---------------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000
|
||||
------------------------------------------------0-1---------------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000
|
||||
-----------------------------------------0-------1------0---------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000
|
||||
---------------------------------0---------0------------1--1------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000
|
||||
---1-------------------------------------0---------1-------0------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000
|
||||
-----------------------------------------1---------1--------------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000
|
||||
-----------------------------------------1----------1-------------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000
|
||||
-------------------------------------------1----------------------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000
|
||||
----------0----------------------------------------------1--------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000
|
||||
------1---1-------------------0-----0---------------------------------0-0------ 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000
|
||||
------------------------------0--------------------------1------------0-0------ 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000
|
||||
------1---1-------------------0-----0---------------------------------0--0----- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000
|
||||
------------------------------0--------------------------1------------0--0----- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000
|
||||
-----------------------------------------1------1-1---------------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000
|
||||
---0-------------------------------------0---------1--------------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000
|
||||
---------------------------------1-------------------------1------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000
|
||||
-------------------------------------------1---------------1------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000
|
||||
--------------------------------------------------------0--1------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000
|
||||
-----------------------------------------0----------1-------------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000
|
||||
-----------------------------------------0-------------------1----------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000
|
||||
--------------------------------------1--01--0--------------------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000
|
||||
-----------------------------------------0--------------------0---------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000
|
||||
-------------------------------------------------------------00---------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000
|
||||
--------------------------------0-----0--01----------------------0-1----------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000
|
||||
--------------------------------------1--01--0----------------1---------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000
|
||||
-----------------------------------------0---------------------1--------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000
|
||||
--------------------------------0-----0--01-------------------1--0-1----------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000
|
||||
-----------------------------------------0----------------------1-------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000
|
||||
------------------------------------------1---------------------1-------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000
|
||||
-----------------------------------------10---------------------0-------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000
|
||||
-----------------------------------------10----------------------011----------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000
|
||||
-----------------------------------------10---------------------1-01----------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001000
|
||||
-----------------------------------------10---------------------1-10----------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000
|
||||
-----------------------------------------10---------------------000------------ 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000
|
||||
-----------------------------------------10---------------------1010----------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000
|
||||
------------------------------------------------------------------1------------ 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100
|
||||
.phase 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
|
||||
.p 138
|
||||
-----------------------------------------------------1-------------------------- 1000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
------------------------------1------------------------------------------------- 0100000000000000000000000001000000000100010000001000100000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000
|
||||
-------------------------------------------------------------------------------- 0000000001000000010000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
-------------------------------0------------------------------------------------ 0001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
--------------------------------------------1----------------------------------- 0000100000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
--------1----------------------------------------------------------------------- 0000010000010000000001000100100010010001001000100010001000100100100100100001000100100100010010010010010010010010010010010010010010010010010010100100100100100010
|
||||
----------0--------------------------------------------------------------------- 0000001000001000000000100010010001001000100100010001000100010010010010010000100010010010001001001001001001001001001001001001001001001001001000010010010010010001
|
||||
----------------------------------------------------------------------------1--- 0000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
---0--------------------------0----0-------------------------------------------- 0000000010000000000000010000001000000000000010000000000010000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
------------------------------0------------------------------------------------- 0000000000100010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
----------------------------------1-----------0--------------------------------0 0000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
---1-----------------------------01-----0-----1----------1---------------------- 0000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
-------------------------------------------------------------------------------1 0000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
---0--------------------------0-------------------------------------0---------1- 0000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
------------------------------1-----------------------------------------------0- 0000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
------------------1111---------------------------------------------------------- 0000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
0----------0000000-------------------------------------------------------------- 0000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
------------------------------0-------------------------------------0--01------- 0000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
------------------------------0-------------------------------------0--10------- 0000000000000000000010000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
-1------------------------------------10---------------------------------------- 0000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
--------------------------------------0--------1-------------------------------- 0000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
---------------------------------------1-------1-------------------------------- 0000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
------1----------------------------1-------------------------------------------- 0000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
--------------------------------------------------------------------1----------- 0000000000000000000000000001000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
-----------------------------------------------------------------------11------- 0000000000000000000000000001000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
--------------------------1-----------10---------------------------------------- 0000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
--------------------------------------0------1---------------------------------- 0000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
---------------------------------------1-----1---------------------------------- 0000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
----------------------------------------1----------1---------------------------- 0000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
------------------------------------------------0------------------0------------ 0000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
---------------------------1----------10---------------------------------------- 0000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
--------------------------------------0---1------------------------------------- 0000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
---------------------------------------1--1------------------------------------- 0000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
------0----------------------------1----------------------1--------------------- 0000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
-----------------------------------1---------------------------------1---------- 0000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
------1----------------------------------------------------1---------0---------- 0000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
----------------------------------------------------------01---------0---------- 0000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
------------------------------------------------------0------------0--1--------- 0000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
----------------------------------------0-------------0------------0----------1- 0000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
---------------------------------------------------0--0------------0----------1- 0000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
----------------------------------------1----------1------------------0----0--1- 0000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
--------------------------------------0---------------0------------0----------0- 0000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
------------------------------------------------------0-----0------0----------0- 0000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
--------------------------------------1---------------------1---------0----0--0- 0000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
-------------------------------------------------------0-----------0--1--------- 0000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
----------------------------------------0--------------0-----------0----------1- 0000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
---------------------------------------------------0---0-----------0----------1- 0000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
----------------------------------------1----------1------------------0--0----1- 0000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
----------------------------------------1----------1------------------0---1---1- 0000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
----------------------------------------1----------1------------------0----1--1- 0000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
--------------------------------------0----------------0-----------0----------0- 0000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
-------------------------------------------------------0----0------0----------0- 0000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
--------------------------------------1---------------------1---------0--0----0- 0000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
--------------------------------------1---------------------1---------0---1---0- 0000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
--------------------------------------1---------------------1---------0----1--0- 0000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
----0------------------------0-------------------------------------------------- 0000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
---10--1--------------------------------------1--------------------1------------ 0000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
-----1------------------------1------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
-----1--------------------------------10---------------------------------------- 0000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
--1--11---------------0010--1--------------------------------------0------------ 0000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
-------------------------------0-----------------------------------0------------ 0000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
--------------------------------------1-------------1--------------------------- 0000000000000000000000000000000000000000000000000000000000000000000001000000000000000000100000000000000000000000000000000000000000000000000000000001000000000000
|
||||
--------------------------------------------------------0----------0------------ 0000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
---1---------------------------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
--------------------------------------10------------------------111------------- 0000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
--------------------------------------10------------------------000------------- 0000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000001000000
|
||||
--------------------------------------10-----------------------1-00------------- 0000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
------------------------------------------------------------------1------------- 0000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
--------------------------------1----------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
--------------------------------0-----1---------1--------------0110------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
--------------------------------1---0-0------------------------10--------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
------------------------------0-------------------------------------0--00------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
---0-----------------------------1---------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000
|
||||
------0--------------------------1---------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000
|
||||
--1--1----------------0010--1----1---------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000
|
||||
------------------------------0--1---------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000
|
||||
---------------------------------1------------0--------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000
|
||||
---0------------------------------------0-----1----------1---------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000
|
||||
-------------------------------------------------------------------1------------ 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000
|
||||
---------1---------------------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000
|
||||
-------------------------------------------1------------------------------------ 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000
|
||||
-------1------------------------------------------------------------------------ 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000
|
||||
--------------------------------------1----------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000
|
||||
---------------------------------------1---------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000
|
||||
-----------------------------------------------------------------------------1-- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000
|
||||
-------------------------------------------0------------------------------------ 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000
|
||||
-------------------------------------1------1----------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000
|
||||
-------------------------------------------01----------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000
|
||||
-------------------------------------0-----10----------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000
|
||||
--------------------------------------0-------0--------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000
|
||||
----------------------------------------------0---0----------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000
|
||||
---1-----------------------------0----0-0----------------1---------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000
|
||||
---1-----------------------------0------0---------0------1---------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000
|
||||
--------------------------------------0-------0--1------------------------------ 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000
|
||||
---1-----------------------------0------0-----1----------1---------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000
|
||||
--------------------------------------0-----------1----------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000
|
||||
--------------------------------------0-----------------------1----------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000
|
||||
--------------------------------------1----------1------------------------------ 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000
|
||||
--------------------------------------1------------1---------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000
|
||||
--------------------------------------0-------------1--------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000
|
||||
------------------------------------1-01-0-------------------1------------------ 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000
|
||||
--------------------------------0---0-01---------------------1--0-1------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000
|
||||
------1-------------------------------0--------------0-------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000
|
||||
------------------------------1-------0--------------0-------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000
|
||||
------1------------------------------------------00--0-------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000
|
||||
------------------------------1------------------00--0-------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000
|
||||
--------------------------------------0--------------0--------------1----------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000
|
||||
-------------------------------------------------00--0--------------1----------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000
|
||||
------0-----------------------0-------------------------------------00-0-------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000
|
||||
--------------------------------------0--------------0-----------------11------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000
|
||||
-------------------------------------------------00--0-----------------11------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000
|
||||
------0-----------------------0-------------------------------------00--0------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000
|
||||
------1-------------------------------1----------1----------------------------0- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000
|
||||
------------------------------1-------1----------1----------------------------0- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000
|
||||
--------------------------------------1----------1------------------1---------0- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000
|
||||
--------------------------------------1----------1---------------------11-----0- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000
|
||||
----------------------------------------1--------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000
|
||||
----------0-----------------------------------------------1--------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000
|
||||
------1---1-------------------0----0--------------------------------0--0-------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000
|
||||
------------------------------0---------------------------1---------0--0-------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000
|
||||
------1---1-------------------0----0--------------------------------0---0------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000
|
||||
------------------------------0---------------------------1---------0---0------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000
|
||||
--------------------------------------0------------1---------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000
|
||||
--------------------------------------0---------------------1------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000
|
||||
------------------------------------1-01-0-------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000
|
||||
--------------------------------------0----------------------0------------------ 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000
|
||||
------------------------------------------------------------00------------------ 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000
|
||||
--------------------------------0---0-01------------------------0-1------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000
|
||||
--------------------------------------1-----------------------1----------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000
|
||||
--------------------------------------0------------------------1---------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000
|
||||
---------------------------------------1-----------------------1---------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000
|
||||
--------------------------------------10-----------------------0---------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000
|
||||
--------------------------------------10------------------------011------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000
|
||||
--------------------------------------10-----------------------1-01------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001000
|
||||
--------------------------------------10-----------------------1-10------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000
|
||||
--------------------------------------10-----------------------000-------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000
|
||||
--------------------------------------10-----------------------1010------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000
|
||||
-----------------------------------------------------------------1-------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100
|
||||
.end
|
||||
|
|
|
@ -17,8 +17,8 @@ Parent = m4a5.lci;
|
|||
SDS_file = m4a5.sds;
|
||||
Design = 68030_tk.tt4;
|
||||
Rev = 0.01;
|
||||
DATE = 5/29/14;
|
||||
TIME = 22:04:32;
|
||||
DATE = 6/1/14;
|
||||
TIME = 01:03:29;
|
||||
Type = TT2;
|
||||
Pre_Fit_Time = 1;
|
||||
Source_Format = Pure_VHDL;
|
||||
|
@ -116,7 +116,7 @@ Conf_Unused_IOs = OUT_LOW;
|
|||
|
||||
[POWER]
|
||||
Powerlevel = Low, High;
|
||||
Default = Low;
|
||||
Default = High;
|
||||
Type = GLB;
|
||||
|
||||
[HARDWARE DEVICE OPTIONS]
|
||||
|
@ -138,14 +138,16 @@ AS_000 = BIDIR,33,3,-;
|
|||
LDS_000 = BIDIR,31,3,-;
|
||||
UDS_000 = BIDIR,32,3,-;
|
||||
AS_030 = BIDIR,82,7,-;
|
||||
RW = OUTPUT,71,6,-;
|
||||
DS_030 = BIDIR,98,0,-;
|
||||
DSACK_1_ = BIDIR,81,7,-;
|
||||
DSACK1 = BIDIR,81,7,-;
|
||||
SIZE_1_ = OUTPUT,79,7,-;
|
||||
RW_000 = OUTPUT,80,7,-;
|
||||
SIZE_0_ = OUTPUT,70,6,-;
|
||||
A0 = OUTPUT,69,6,-;
|
||||
DTACK = OUTPUT,30,3,-;
|
||||
AMIGA_BUS_ENABLE = OUTPUT,34,3,-;
|
||||
E = OUTPUT,66,6,-;
|
||||
AVEC_EXP = OUTPUT,22,2,-;
|
||||
IPL_030_2_ = OUTPUT,9,1,-;
|
||||
IPL_030_0_ = OUTPUT,8,1,-;
|
||||
IPL_030_1_ = OUTPUT,7,1,-;
|
||||
|
@ -155,51 +157,50 @@ AMIGA_BUS_DATA_DIR = OUTPUT,48,4,-;
|
|||
VMA = OUTPUT,35,3,-;
|
||||
BG_000 = OUTPUT,29,3,-;
|
||||
AVEC = OUTPUT,92,0,-;
|
||||
DSACK_0_ = OUTPUT,80,7,-;
|
||||
CLK_DIV_OUT = OUTPUT,65,6,-;
|
||||
CIIN = OUTPUT,47,4,-;
|
||||
BERR = OUTPUT,41,4,-;
|
||||
AVEC_EXP = OUTPUT,22,2,-;
|
||||
AMIGA_BUS_ENABLE = OUTPUT,34,3,-;
|
||||
AMIGA_BUS_ENABLE_LOW = OUTPUT,20,2,-;
|
||||
CLK_EXP = OUTPUT,10,1,-;
|
||||
RESET = OUTPUT,3,1,-;
|
||||
RN_BGACK_030 = NODE,-1,7,-;
|
||||
inst_CLK_000_D0 = NODE,*,3,-;
|
||||
RN_AS_030 = NODE,-1,7,-;
|
||||
SM_AMIGA_7_ = NODE,*,0,-;
|
||||
SM_AMIGA_0_ = NODE,*,1,-;
|
||||
cpu_est_0_ = NODE,*,1,-;
|
||||
SM_AMIGA_1_ = NODE,*,1,-;
|
||||
RN_FPU_CS = NODE,-1,7,-;
|
||||
RN_AS_000 = NODE,-1,3,-;
|
||||
SM_AMIGA_4_ = NODE,*,1,-;
|
||||
SM_AMIGA_6_ = NODE,*,7,-;
|
||||
inst_CLK_000_D3 = NODE,*,7,-;
|
||||
inst_CLK_OUT_PRE_50 = NODE,*,6,-;
|
||||
inst_CLK_000_D4 = NODE,*,7,-;
|
||||
inst_CLK_000_D1 = NODE,*,7,-;
|
||||
inst_AS_030_000_SYNC = NODE,*,7,-;
|
||||
cpu_est_1_ = NODE,*,6,-;
|
||||
cpu_est_0_ = NODE,*,2,-;
|
||||
inst_CLK_000_D1 = NODE,*,3,-;
|
||||
inst_RW_000_INT = NODE,*,0,-;
|
||||
cpu_est_1_ = NODE,*,1,-;
|
||||
SM_AMIGA_7_ = NODE,*,1,-;
|
||||
RN_E = NODE,-1,6,-;
|
||||
cpu_est_2_ = NODE,*,6,-;
|
||||
SM_AMIGA_2_ = NODE,*,6,-;
|
||||
inst_CLK_000_D2 = NODE,*,7,-;
|
||||
inst_AS_030_000_SYNC = NODE,*,2,-;
|
||||
inst_CLK_030_H = NODE,*,7,-;
|
||||
RN_AVEC_EXP = NODE,-1,2,-;
|
||||
inst_CLK_OUT_PRE_25 = NODE,*,1,-;
|
||||
RN_VMA = NODE,-1,3,-;
|
||||
SM_AMIGA_5_ = NODE,*,3,-;
|
||||
inst_CLK_000_D2 = NODE,*,7,-;
|
||||
RN_FPU_CS = NODE,-1,7,-;
|
||||
SM_AMIGA_1_ = NODE,*,7,-;
|
||||
SM_AMIGA_4_ = NODE,*,3,-;
|
||||
SM_AMIGA_5_ = NODE,*,1,-;
|
||||
SM_AMIGA_0_ = NODE,*,0,-;
|
||||
SM_AMIGA_6_ = NODE,*,1,-;
|
||||
inst_CLK_000_D3 = NODE,*,2,-;
|
||||
inst_CLK_OUT_PRE_50 = NODE,*,7,-;
|
||||
inst_VPA_D = NODE,*,7,-;
|
||||
RN_LDS_000 = NODE,-1,3,-;
|
||||
RN_UDS_000 = NODE,-1,3,-;
|
||||
RN_DS_030 = NODE,-1,0,-;
|
||||
RN_AMIGA_BUS_ENABLE = NODE,-1,3,-;
|
||||
inst_CLK_030_H = NODE,*,0,-;
|
||||
SM_AMIGA_3_ = NODE,*,6,-;
|
||||
RN_IPL_030_0_ = NODE,-1,1,-;
|
||||
RN_IPL_030_1_ = NODE,-1,1,-;
|
||||
RN_IPL_030_2_ = NODE,-1,1,-;
|
||||
RN_DSACK1 = NODE,-1,7,-;
|
||||
RN_BG_000 = NODE,-1,3,-;
|
||||
RN_DSACK_1_ = NODE,-1,7,-;
|
||||
inst_DTACK_D0 = NODE,*,0,-;
|
||||
inst_CLK_OUT_PRE_50_D = NODE,*,0,-;
|
||||
RN_AS_000 = NODE,-1,3,-;
|
||||
inst_DTACK_D0 = NODE,*,7,-;
|
||||
inst_CLK_OUT_PRE_50_D = NODE,*,7,-;
|
||||
inst_BGACK_030_INT_D = NODE,*,3,-;
|
||||
CLK_OSZI = INPUT,61,-,-;
|
||||
|
|
|
@ -17,8 +17,8 @@ Parent = m4a5.lci;
|
|||
SDS_file = m4a5.sds;
|
||||
Design = 68030_tk.tt4;
|
||||
Rev = 0.01;
|
||||
DATE = 5/29/14;
|
||||
TIME = 22:04:32;
|
||||
DATE = 6/1/14;
|
||||
TIME = 01:03:29;
|
||||
Type = TT2;
|
||||
Pre_Fit_Time = 1;
|
||||
Source_Format = Pure_VHDL;
|
||||
|
@ -116,7 +116,7 @@ Conf_Unused_IOs = OUT_LOW;
|
|||
|
||||
[POWER]
|
||||
Powerlevel = Low, High;
|
||||
Default = Low;
|
||||
Default = High;
|
||||
Type = GLB;
|
||||
|
||||
[HARDWARE DEVICE OPTIONS]
|
||||
|
@ -134,19 +134,24 @@ layer = OFF;
|
|||
[LOCATION ASSIGNMENT]
|
||||
|
||||
Layer = OFF;
|
||||
A_26_ = INPUT,17, C,-;
|
||||
A_25_ = INPUT,18, C,-;
|
||||
A_24_ = INPUT,19, C,-;
|
||||
A_23_ = INPUT,84, H,-;
|
||||
A_31_ = INPUT,4, B,-;
|
||||
A_22_ = INPUT,85, H,-;
|
||||
A_21_ = INPUT,94, A,-;
|
||||
A_20_ = INPUT,93, A,-;
|
||||
A_19_ = INPUT,97, A,-;
|
||||
A_18_ = INPUT,95, A,-;
|
||||
A_31_ = INPUT,4, B,-;
|
||||
A_17_ = INPUT,59, F,-;
|
||||
A_16_ = INPUT,96, A,-;
|
||||
IPL_2_ = INPUT,68, G,-;
|
||||
A_18_ = INPUT,95, A,-;
|
||||
A_17_ = INPUT,59, F,-;
|
||||
FC_1_ = INPUT,58, F,-;
|
||||
A_16_ = INPUT,96, A,-;
|
||||
RW_000 = BIDIR,80, H,-;
|
||||
IPL_1_ = INPUT,56, F,-;
|
||||
IPL_0_ = INPUT,67, G,-;
|
||||
DSACK_0_ = OUTPUT,80, H,-;
|
||||
FC_0_ = INPUT,57, F,-;
|
||||
FC_1_ = INPUT,58, F,-;
|
||||
nEXP_SPACE = INPUT,14,-,-;
|
||||
BERR = OUTPUT,41, E,-;
|
||||
BG_030 = INPUT,21, C,-;
|
||||
|
@ -160,7 +165,8 @@ AVEC = OUTPUT,92, A,-;
|
|||
AVEC_EXP = OUTPUT,22, C,-;
|
||||
VPA = INPUT,36,-,-;
|
||||
RST = INPUT,86,-,-;
|
||||
RW = INPUT,71, G,-;
|
||||
RW = BIDIR,71, G,-;
|
||||
AMIGA_BUS_ENABLE = OUTPUT,34, D,-;
|
||||
AMIGA_BUS_DATA_DIR = OUTPUT,48, E,-;
|
||||
AMIGA_BUS_ENABLE_LOW = OUTPUT,20, C,-;
|
||||
CIIN = OUTPUT,47, E,-;
|
||||
|
@ -168,18 +174,12 @@ A_30_ = INPUT,5, B,-;
|
|||
A_29_ = INPUT,6, B,-;
|
||||
A_28_ = INPUT,15, C,-;
|
||||
A_27_ = INPUT,16, C,-;
|
||||
A_26_ = INPUT,17, C,-;
|
||||
A_25_ = INPUT,18, C,-;
|
||||
A_24_ = INPUT,19, C,-;
|
||||
A_23_ = INPUT,84, H,-;
|
||||
A_22_ = INPUT,85, H,-;
|
||||
SIZE_1_ = BIDIR,79, H,-;
|
||||
IPL_030_2_ = OUTPUT,9, B,-;
|
||||
IPL_030_1_ = OUTPUT,7, B,-;
|
||||
IPL_030_0_ = OUTPUT,8, B,-;
|
||||
DSACK_1_ = BIDIR,81, H,-;
|
||||
AS_030 = BIDIR,82, H,-;
|
||||
IPL_030_1_ = OUTPUT,7, B,-;
|
||||
AS_000 = BIDIR,33, D,-;
|
||||
IPL_030_0_ = OUTPUT,8, B,-;
|
||||
DS_030 = BIDIR,98, A,-;
|
||||
UDS_000 = BIDIR,32, D,-;
|
||||
LDS_000 = BIDIR,31, D,-;
|
||||
|
@ -188,32 +188,32 @@ BG_000 = OUTPUT,29, D,-;
|
|||
BGACK_030 = OUTPUT,83, H,-;
|
||||
CLK_EXP = OUTPUT,10, B,-;
|
||||
FPU_CS = OUTPUT,78, H,-;
|
||||
DSACK1 = BIDIR,81, H,-;
|
||||
E = OUTPUT,66, G,-;
|
||||
VMA = OUTPUT,35, D,-;
|
||||
RESET = OUTPUT,3, B,-;
|
||||
AMIGA_BUS_ENABLE = OUTPUT,34, D,-;
|
||||
SIZE_0_ = BIDIR,70, G,-;
|
||||
inst_AS_030_000_SYNC = NODE,9, H,-;
|
||||
inst_AS_030_000_SYNC = NODE,3, C,-;
|
||||
inst_BGACK_030_INT_D = NODE,10, D,-;
|
||||
inst_VPA_D = NODE,14, H,-;
|
||||
inst_CLK_OUT_PRE_50_D = NODE,3, A,-;
|
||||
inst_CLK_000_D0 = NODE,12, D,-;
|
||||
inst_CLK_000_D1 = NODE,3, H,-;
|
||||
inst_CLK_000_D2 = NODE,12, H,-;
|
||||
inst_CLK_000_D4 = NODE,8, H,-;
|
||||
inst_DTACK_D0 = NODE,1, A,-;
|
||||
inst_CLK_OUT_PRE_50 = NODE,1, G,-;
|
||||
inst_CLK_OUT_PRE_25 = NODE,9, B,-;
|
||||
SM_AMIGA_1_ = NODE,10, B,-;
|
||||
SM_AMIGA_0_ = NODE,5, B,-;
|
||||
SM_AMIGA_6_ = NODE,7, H,-;
|
||||
SM_AMIGA_5_ = NODE,2, D,-;
|
||||
inst_CLK_000_D3 = NODE,2, H,-;
|
||||
inst_CLK_030_H = NODE,0, A,-;
|
||||
SM_AMIGA_7_ = NODE,11, A,-;
|
||||
SM_AMIGA_4_ = NODE,7, B,-;
|
||||
SM_AMIGA_3_ = NODE,7, G,-;
|
||||
SM_AMIGA_2_ = NODE,6, G,-;
|
||||
cpu_est_0_ = NODE,8, B,-;
|
||||
cpu_est_1_ = NODE,4, G,-;
|
||||
cpu_est_2_ = NODE,5, G,-;
|
||||
inst_VPA_D = NODE,10, H,-;
|
||||
inst_CLK_OUT_PRE_50_D = NODE,12, H,-;
|
||||
inst_CLK_000_D0 = NODE,5, D,-;
|
||||
inst_CLK_000_D1 = NODE,7, D,-;
|
||||
inst_CLK_000_D2 = NODE,3, H,-;
|
||||
inst_DTACK_D0 = NODE,11, H,-;
|
||||
inst_CLK_OUT_PRE_50 = NODE,9, H,-;
|
||||
inst_CLK_OUT_PRE_25 = NODE,7, B,-;
|
||||
SM_AMIGA_7_ = NODE,5, B,-;
|
||||
SM_AMIGA_6_ = NODE,9, B,-;
|
||||
SM_AMIGA_0_ = NODE,1, A,-;
|
||||
SM_AMIGA_5_ = NODE,8, B,-;
|
||||
SM_AMIGA_2_ = NODE,5, G,-;
|
||||
inst_RW_000_INT = NODE,3, A,-;
|
||||
inst_CLK_000_D3 = NODE,4, C,-;
|
||||
inst_CLK_030_H = NODE,5, H,-;
|
||||
SM_AMIGA_4_ = NODE,9, D,-;
|
||||
SM_AMIGA_3_ = NODE,6, G,-;
|
||||
SM_AMIGA_1_ = NODE,7, H,-;
|
||||
cpu_est_0_ = NODE,2, C,-;
|
||||
cpu_est_1_ = NODE,3, B,-;
|
||||
cpu_est_2_ = NODE,4, G,-;
|
||||
|
|
|
@ -15,8 +15,8 @@ Voltage = 5.0;
|
|||
RCS = "$Revision: 1.2 $";
|
||||
Parent = m4a5.lci;
|
||||
SDS_File = m4a5.sds;
|
||||
DATE = 05/25/2014;
|
||||
TIME = 21:04:55;
|
||||
DATE = 06/01/2014;
|
||||
TIME = 00:00:40;
|
||||
Source_Format = Pure_VHDL;
|
||||
Type = TT2;
|
||||
Pre_Fit_Time = 1;
|
||||
|
@ -115,7 +115,6 @@ Layer = OFF;
|
|||
[LOCATION ASSIGNMENT]
|
||||
Layer = OFF;
|
||||
AS_030 = input,82,H,-;
|
||||
A_0_ = input,69,G,-;
|
||||
A_16_ = input,96,A,-;
|
||||
A_17_ = input,59,F,-;
|
||||
A_18_ = input,95,A,-;
|
||||
|
@ -125,7 +124,6 @@ BG_030 = input,21,C,-;
|
|||
CLK_000 = input,11,-,-;
|
||||
CLK_030 = input,64,-,-;
|
||||
CLK_OSZI = input,61,-,-;
|
||||
CPU_SPACE = input,14,-,-;
|
||||
FC_0_ = input,57,F,-;
|
||||
FC_1_ = input,58,F,-;
|
||||
IPL_0_ = input,67,G,-;
|
||||
|
@ -141,7 +139,6 @@ BGACK_030 = input,83,H,-;
|
|||
BG_000 = input,29,D,-;
|
||||
CLK_DIV_OUT = input,65,G,-;
|
||||
CLK_EXP = input,10,B,-;
|
||||
DSACK_0_ = input,80,H,-;
|
||||
E = input,66,G,-;
|
||||
FPU_CS = input,78,H,-;
|
||||
IPL_030_0_ = input,8,B,-;
|
||||
|
@ -151,7 +148,6 @@ LDS_000 = input,31,D,-;
|
|||
UDS_000 = input,32,D,-;
|
||||
VMA = input,35,D,-;
|
||||
AS_000 = input,33,D,-;
|
||||
DSACK_1_ = input,81,H,-;
|
||||
DTACK = input,30,D,-;
|
||||
RESET = input,3,B,-;
|
||||
AMIGA_BUS_DATA_DIR = input,48,E,-;
|
||||
|
@ -175,6 +171,8 @@ AVEC_EXP = input,22,C,-;
|
|||
BERR = input,41,E,-;
|
||||
nEXP_SPACE = input,14,-,-;
|
||||
A0 = input,69,G,-;
|
||||
DSACK1 = input,81,H,-;
|
||||
RW_000 = input,80,H,-;
|
||||
|
||||
[GROUP ASSIGNMENT]
|
||||
Layer = OFF;
|
||||
|
@ -203,7 +201,7 @@ Page_Break = Yes;
|
|||
|
||||
[POWER]
|
||||
Powerlevel = Low,High;
|
||||
Default = Low;
|
||||
Default = High;
|
||||
Type = GLB;
|
||||
|
||||
[SOURCE CONSTRAINT OPTION]
|
||||
|
|
|
@ -2,7 +2,7 @@ Signal Name Cross Reference File
|
|||
|
||||
ispLEVER Classic 1.7.00.05.28.13
|
||||
|
||||
Design '68030_tk' created Thu May 29 22:04:27 2014
|
||||
Design '68030_tk' created Sun Jun 01 01:03:24 2014
|
||||
|
||||
|
||||
LEGEND: '>' Functional Block Port Separator
|
||||
|
|
2085
Logic/BUS68030.bl0
2085
Logic/BUS68030.bl0
File diff suppressed because it is too large
Load Diff
1667
Logic/BUS68030.bl1
1667
Logic/BUS68030.bl1
File diff suppressed because it is too large
Load Diff
2321
Logic/BUS68030.edi
2321
Logic/BUS68030.edi
File diff suppressed because it is too large
Load Diff
|
@ -1,46 +1,46 @@
|
|||
|
||||
fsm_encoding {723322331} onehot
|
||||
fsm_encoding {7129321291} onehot
|
||||
|
||||
fsm_state_encoding {723322331} idle_p {00000001}
|
||||
fsm_state_encoding {7129321291} idle_p {00000001}
|
||||
|
||||
fsm_state_encoding {723322331} idle_n {00000010}
|
||||
fsm_state_encoding {7129321291} idle_n {00000010}
|
||||
|
||||
fsm_state_encoding {723322331} as_set_p {00000100}
|
||||
fsm_state_encoding {7129321291} as_set_p {00000100}
|
||||
|
||||
fsm_state_encoding {723322331} as_set_n {00001000}
|
||||
fsm_state_encoding {7129321291} as_set_n {00001000}
|
||||
|
||||
fsm_state_encoding {723322331} sample_dtack_p {00010000}
|
||||
fsm_state_encoding {7129321291} sample_dtack_p {00010000}
|
||||
|
||||
fsm_state_encoding {723322331} data_fetch_n {00100000}
|
||||
fsm_state_encoding {7129321291} data_fetch_n {00100000}
|
||||
|
||||
fsm_state_encoding {723322331} data_fetch_p {01000000}
|
||||
fsm_state_encoding {7129321291} data_fetch_p {01000000}
|
||||
|
||||
fsm_state_encoding {723322331} end_cycle_n {10000000}
|
||||
fsm_state_encoding {7129321291} end_cycle_n {10000000}
|
||||
|
||||
fsm_registers {723322331} {SM_AMIGA[0]} {SM_AMIGA[1]} {SM_AMIGA[2]} {SM_AMIGA[3]} {SM_AMIGA[4]} {SM_AMIGA[5]} {SM_AMIGA[6]} {SM_AMIGA[7]}
|
||||
fsm_registers {7129321291} {SM_AMIGA[0]} {SM_AMIGA[1]} {SM_AMIGA[2]} {SM_AMIGA[3]} {SM_AMIGA[4]} {SM_AMIGA[5]} {SM_AMIGA[6]} {SM_AMIGA[7]}
|
||||
|
||||
fsm_encoding {7117341172} original
|
||||
fsm_encoding {7120341202} original
|
||||
|
||||
fsm_state_encoding {7117341172} e20 {0000}
|
||||
fsm_state_encoding {7120341202} e20 {0000}
|
||||
|
||||
fsm_state_encoding {7117341172} e5 {0010}
|
||||
fsm_state_encoding {7120341202} e5 {0010}
|
||||
|
||||
fsm_state_encoding {7117341172} e6 {0011}
|
||||
fsm_state_encoding {7120341202} e6 {0011}
|
||||
|
||||
fsm_state_encoding {7117341172} e3 {0100}
|
||||
fsm_state_encoding {7120341202} e3 {0100}
|
||||
|
||||
fsm_state_encoding {7117341172} e4 {0101}
|
||||
fsm_state_encoding {7120341202} e4 {0101}
|
||||
|
||||
fsm_state_encoding {7117341172} e1 {0110}
|
||||
fsm_state_encoding {7120341202} e1 {0110}
|
||||
|
||||
fsm_state_encoding {7117341172} e2 {0111}
|
||||
fsm_state_encoding {7120341202} e2 {0111}
|
||||
|
||||
fsm_state_encoding {7117341172} e7 {1010}
|
||||
fsm_state_encoding {7120341202} e7 {1010}
|
||||
|
||||
fsm_state_encoding {7117341172} e8 {1011}
|
||||
fsm_state_encoding {7120341202} e8 {1011}
|
||||
|
||||
fsm_state_encoding {7117341172} e9 {1100}
|
||||
fsm_state_encoding {7120341202} e9 {1100}
|
||||
|
||||
fsm_state_encoding {7117341172} e10 {1111}
|
||||
fsm_state_encoding {7120341202} e10 {1111}
|
||||
|
||||
fsm_registers {7117341172} {cpu_est[3]} {cpu_est[2]} {cpu_est[1]} {cpu_est[0]}
|
||||
fsm_registers {7120341202} {cpu_est[3]} {cpu_est[2]} {cpu_est[1]} {cpu_est[0]}
|
||||
|
|
|
@ -1,5 +1,6 @@
|
|||
AS_030 b
|
||||
AS_000 b
|
||||
RW_000 b
|
||||
DS_030 b
|
||||
UDS_000 b
|
||||
LDS_000 b
|
||||
|
@ -40,8 +41,7 @@ IPL_030[0] o
|
|||
IPL[2] i
|
||||
IPL[1] i
|
||||
IPL[0] i
|
||||
DSACK[1] b
|
||||
DSACK[0] b
|
||||
DSACK1 b
|
||||
DTACK b
|
||||
AVEC o
|
||||
AVEC_EXP b
|
||||
|
@ -50,7 +50,7 @@ VPA i
|
|||
VMA o
|
||||
RST i
|
||||
RESET o
|
||||
RW i
|
||||
RW b
|
||||
FC[1] i
|
||||
FC[0] i
|
||||
AMIGA_BUS_ENABLE o
|
||||
|
|
|
@ -1,6 +1,6 @@
|
|||
#-- Lattice Semiconductor Corporation Ltd.
|
||||
#-- Synplify OEM project file c:/users/matze/documents/github/68030tk/logic\BUS68030.prj
|
||||
#-- Written on Thu May 29 22:04:20 2014
|
||||
#-- Written on Sun Jun 01 01:03:18 2014
|
||||
|
||||
|
||||
#device options
|
||||
|
|
3722
Logic/BUS68030.srm
3722
Logic/BUS68030.srm
File diff suppressed because it is too large
Load Diff
|
@ -6,7 +6,7 @@
|
|||
#Implementation: logic
|
||||
|
||||
$ Start of Compile
|
||||
#Thu May 29 22:04:21 2014
|
||||
#Sun Jun 01 01:03:18 2014
|
||||
|
||||
Synopsys VHDL Compiler, version comp201209rcp1, Build 283R, built Mar 19 2013
|
||||
@N|Running in 64-bit mode
|
||||
|
@ -19,14 +19,15 @@ VHDL syntax check successful!
|
|||
File C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd changed - recompiling
|
||||
@N: CD630 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":13:7:13:14|Synthesizing work.bus68030.behavioral
|
||||
Post processing for work.bus68030.behavioral
|
||||
@W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":233:2:233:3|Pruning register CLK_REF(1 downto 0)
|
||||
@W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":124:34:124:36|Pruning register CLK_000_D6
|
||||
@W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":123:34:123:36|Pruning register CLK_000_D5
|
||||
@W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":114:38:114:40|Pruning register CLK_OUT_PRE_33
|
||||
@W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":146:2:146:3|Pruning register CLK_CNT_P(1 downto 0)
|
||||
@W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":133:2:133:3|Pruning register CLK_CNT_N(1 downto 0)
|
||||
@A: CL282 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":99:36:99:38|Feedback mux created for signal CLK_030_H -- possible set/reset assignment for signal missing. Specifying a reset value will improve timing and area.
|
||||
@N: CL201 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":233:2:233:3|Trying to extract state machine for register SM_AMIGA
|
||||
@W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":129:32:129:34|Pruning register CLK_REF(1 downto 0)
|
||||
@W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":127:34:127:36|Pruning register CLK_000_D6
|
||||
@W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":126:34:126:36|Pruning register CLK_000_D5
|
||||
@W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":125:34:125:36|Pruning register CLK_000_D4
|
||||
@W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":117:38:117:40|Pruning register CLK_OUT_PRE_33
|
||||
@W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":149:2:149:3|Pruning register CLK_CNT_P(1 downto 0)
|
||||
@W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":136:2:136:3|Pruning register CLK_CNT_N(1 downto 0)
|
||||
@A: CL282 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":102:36:102:38|Feedback mux created for signal CLK_030_H -- possible set/reset assignment for signal missing. Specifying a reset value will improve timing and area.
|
||||
@N: CL201 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":129:32:129:34|Trying to extract state machine for register SM_AMIGA
|
||||
Extracted state machine for register SM_AMIGA
|
||||
State machine has 8 reachable states with original encodings of:
|
||||
000
|
||||
|
@ -37,7 +38,7 @@ State machine has 8 reachable states with original encodings of:
|
|||
101
|
||||
110
|
||||
111
|
||||
@N: CL201 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":117:34:117:36|Trying to extract state machine for register cpu_est
|
||||
@N: CL201 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":120:34:120:36|Trying to extract state machine for register cpu_est
|
||||
Extracted state machine for register cpu_est
|
||||
State machine has 11 reachable states with original encodings of:
|
||||
0000
|
||||
|
@ -53,7 +54,7 @@ State machine has 11 reachable states with original encodings of:
|
|||
1111
|
||||
@END
|
||||
Process took 0h:00m:01s realtime, 0h:00m:01s cputime
|
||||
# Thu May 29 22:04:21 2014
|
||||
# Sun Jun 01 01:03:18 2014
|
||||
|
||||
###########################################################]
|
||||
Map & Optimize Report
|
||||
|
@ -92,13 +93,13 @@ Simple gate primitives:
|
|||
DFFRH 16 uses
|
||||
DFFSH 27 uses
|
||||
DFF 1 use
|
||||
BI_DIR 10 uses
|
||||
IBUF 30 uses
|
||||
BUFTH 4 uses
|
||||
OBUF 15 uses
|
||||
AND2 181 uses
|
||||
BI_DIR 12 uses
|
||||
IBUF 29 uses
|
||||
BUFTH 2 uses
|
||||
OBUF 16 uses
|
||||
AND2 185 uses
|
||||
INV 151 uses
|
||||
OR2 20 uses
|
||||
OR2 21 uses
|
||||
XOR2 1 use
|
||||
|
||||
|
||||
|
@ -109,6 +110,6 @@ Mapper successful!
|
|||
At Mapper Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 31MB peak: 95MB)
|
||||
|
||||
Process took 0h:00m:01s realtime, 0h:00m:01s cputime
|
||||
# Thu May 29 22:04:22 2014
|
||||
# Sun Jun 01 01:03:19 2014
|
||||
|
||||
###########################################################]
|
||||
|
|
Binary file not shown.
|
@ -5,7 +5,6 @@ Section Type Array Num Name Real Name Base
|
|||
Port 3 FC(1:0) FC 1 2 -1
|
||||
Port 4 IPL_030(2:0) IPL_030 2 3 -1
|
||||
Port 5 SIZE(1:0) SIZE 1 2 -1
|
||||
Port 6 DSACK(1:0) DSACK 1 2 -1
|
||||
End
|
||||
Section Member Rename Array-Notation Array Number Index
|
||||
// -------------------------------------------------------------------------------------
|
||||
|
@ -33,243 +32,235 @@ Section Member Rename Array-Notation Array Number
|
|||
Port IPL_2_ IPL[2] 2 0
|
||||
Port IPL_1_ IPL[1] 2 1
|
||||
Port IPL_0_ IPL[0] 2 2
|
||||
Port DSACK_1_ DSACK[1] 6 0
|
||||
Port DSACK_0_ DSACK[0] 6 1
|
||||
Port FC_1_ FC[1] 3 0
|
||||
Port FC_0_ FC[0] 3 1
|
||||
End
|
||||
Section Cross Reference File
|
||||
Design 'BUS68030' created Thu May 29 22:04:27 2014
|
||||
Design 'BUS68030' created Sun Jun 01 01:03:24 2014
|
||||
Type New Name Original Name
|
||||
// ----------------------------------------------------------------------
|
||||
Inst i_z2O2O AS_030
|
||||
Inst i_z2P2P AS_000
|
||||
Inst i_z2Q2Q DS_030
|
||||
Inst i_z2R2R UDS_000
|
||||
Inst i_z2S2S LDS_000
|
||||
Inst i_z3F3F A0
|
||||
Inst i_z3H3H BERR
|
||||
Inst i_z2Q2Q RW_000
|
||||
Inst i_z2R2R DS_030
|
||||
Inst i_z2S2S UDS_000
|
||||
Inst i_z2T2T LDS_000
|
||||
Inst i_z3G3G A0
|
||||
Inst i_z3I3I BERR
|
||||
Inst i_z4343 DSACK1
|
||||
Inst i_z4444 DTACK
|
||||
Inst i_z4646 AVEC_EXP
|
||||
Inst i_z4C4C RW
|
||||
Inst i_z4I4I CIIN
|
||||
Inst SM_AMIGA_ns_i_i_i_6_ SM_AMIGA_ns_i_i_i[6]
|
||||
Inst SM_AMIGA_ns_0_i_5_ SM_AMIGA_ns_0_i[5]
|
||||
Inst SM_AMIGA_ns_i_o2_i_4_ SM_AMIGA_ns_i_o2_i[4]
|
||||
Inst SM_AMIGA_ns_0_i_0_ SM_AMIGA_ns_0_i[0]
|
||||
Inst cpu_est_ns_0_0_i_2_ cpu_est_ns_0_0_i[2]
|
||||
Inst SM_AMIGA_ns_i_i_o2_i_6_ SM_AMIGA_ns_i_i_o2_i[6]
|
||||
Inst clk_un3_clk_000_d1_0_o2_i clk.un3_clk_000_d1_0_o2_i
|
||||
Inst SM_AMIGA_ns_i_o2_i_1_ SM_AMIGA_ns_i_o2_i[1]
|
||||
Inst SM_AMIGA_ns_i_o2_0_i_4_ SM_AMIGA_ns_i_o2_0_i[4]
|
||||
Inst cpu_est_ns_0_0_i_1_ cpu_est_ns_0_0_i[1]
|
||||
Inst state_machine_CLK_030_H_2_f0_i_o2_i state_machine.CLK_030_H_2_f0_i_o2_i
|
||||
Inst cpu_est_0_ cpu_est[0]
|
||||
Inst SM_AMIGA_ns_i_o2_0_i_1_ SM_AMIGA_ns_i_o2_0_i[1]
|
||||
Inst cpu_est_1_ cpu_est[1]
|
||||
Inst cpu_est_2_ cpu_est[2]
|
||||
Inst cpu_est_3_ cpu_est[3]
|
||||
Inst cpu_est_ns_i_0_o2_i_3_ cpu_est_ns_i_0_o2_i[3]
|
||||
Inst SM_AMIGA_ns_i_o2_i_4_ SM_AMIGA_ns_i_o2_i[4]
|
||||
Inst SM_AMIGA_ns_i_o2_0_i_4_ SM_AMIGA_ns_i_o2_0_i[4]
|
||||
Inst state_machine_un3_clk_000_d1_0_o2_i state_machine.un3_clk_000_d1_0_o2_i
|
||||
Inst state_machine_RW_000_INT_7_iv_0_o2_i state_machine.RW_000_INT_7_iv_0_o2_i
|
||||
Inst SM_AMIGA_i_2_ SM_AMIGA_i[2]
|
||||
Inst SM_AMIGA_ns_a2_0_o2_i_6_ SM_AMIGA_ns_a2_0_o2_i[6]
|
||||
Inst state_machine_CLK_030_H_2_f0_i_o2_i state_machine.CLK_030_H_2_f0_i_o2_i
|
||||
Inst cpu_est_ns_0_0_i_1_ cpu_est_ns_0_0_i[1]
|
||||
Inst state_machine_SIZE_DMA_4_0_i_1_ state_machine.SIZE_DMA_4_0_i[1]
|
||||
Inst SM_AMIGA_ns_0_i_5_ SM_AMIGA_ns_0_i[5]
|
||||
Inst state_machine_un6_bgack_000_0_i state_machine.un6_bgack_000_0_i
|
||||
Inst state_machine_DS_000_DMA_3_0_i state_machine.DS_000_DMA_3_0_i
|
||||
Inst cpu_est_0_ cpu_est[0]
|
||||
Inst cpu_est_1_ cpu_est[1]
|
||||
Inst A_i_19_ A_i[19]
|
||||
Inst cpu_est_2_ cpu_est[2]
|
||||
Inst A_i_18_ A_i[18]
|
||||
Inst cpu_est_3_ cpu_est[3]
|
||||
Inst A_i_16_ A_i[16]
|
||||
Inst SM_AMIGA_0_ SM_AMIGA[0]
|
||||
Inst SM_AMIGA_i_1_ SM_AMIGA_i[1]
|
||||
Inst BGACK_030_INT_0_r BGACK_030_INT_0.r
|
||||
Inst SIZE_DMA_1_ SIZE_DMA[1]
|
||||
Inst SM_AMIGA_ns_i_o2_i_7_ SM_AMIGA_ns_i_o2_i[7]
|
||||
Inst BGACK_030_INT_0_m BGACK_030_INT_0.m
|
||||
Inst IPL_030DFFSH_0_ IPL_030DFFSH[0]
|
||||
Inst BGACK_030_INT_0_n BGACK_030_INT_0.n
|
||||
Inst IPL_030DFFSH_1_ IPL_030DFFSH[1]
|
||||
Inst BGACK_030_INT_0_p BGACK_030_INT_0.p
|
||||
Inst IPL_030DFFSH_2_ IPL_030DFFSH[2]
|
||||
Inst SM_AMIGA_7_ SM_AMIGA[7]
|
||||
Inst AS_000_DMA_0_r AS_000_DMA_0.r
|
||||
Inst SM_AMIGA_6_ SM_AMIGA[6]
|
||||
Inst state_machine_UDS_000_INT_7_0_m3_r state_machine.UDS_000_INT_7_0_m3.r
|
||||
Inst AS_000_DMA_0_m AS_000_DMA_0.m
|
||||
Inst SM_AMIGA_5_ SM_AMIGA[5]
|
||||
Inst state_machine_UDS_000_INT_7_0_m3_m state_machine.UDS_000_INT_7_0_m3.m
|
||||
Inst AS_000_DMA_0_n AS_000_DMA_0.n
|
||||
Inst SM_AMIGA_4_ SM_AMIGA[4]
|
||||
Inst state_machine_UDS_000_INT_7_0_m3_n state_machine.UDS_000_INT_7_0_m3.n
|
||||
Inst AS_000_DMA_0_p AS_000_DMA_0.p
|
||||
Inst SM_AMIGA_3_ SM_AMIGA[3]
|
||||
Inst state_machine_UDS_000_INT_7_0_m3_p state_machine.UDS_000_INT_7_0_m3.p
|
||||
Inst DS_000_DMA_0_r DS_000_DMA_0.r
|
||||
Inst SM_AMIGA_2_ SM_AMIGA[2]
|
||||
Inst SM_AMIGA_i_6_ SM_AMIGA_i[6]
|
||||
Inst DS_000_DMA_0_m DS_000_DMA_0.m
|
||||
Inst SM_AMIGA_1_ SM_AMIGA[1]
|
||||
Inst clk_un3_clk_000_d1_0_o2 clk.un3_clk_000_d1_0_o2
|
||||
Inst SM_AMIGA_ns_i_i_o2_6_ SM_AMIGA_ns_i_i_o2[6]
|
||||
Inst SM_AMIGA_ns_i_o2_7_ SM_AMIGA_ns_i_o2[7]
|
||||
Inst DS_000_DMA_0_n DS_000_DMA_0.n
|
||||
Inst DS_000_DMA_0_p DS_000_DMA_0.p
|
||||
Inst CLK_030_H_0_r CLK_030_H_0.r
|
||||
Inst CLK_030_H_0_m CLK_030_H_0.m
|
||||
Inst CLK_030_H_0_n CLK_030_H_0.n
|
||||
Inst SIZE_DMA_0_ SIZE_DMA[0]
|
||||
Inst cpu_est_i_3_ cpu_est_i[3]
|
||||
Inst cpu_est_ns_i_0_o2_3_ cpu_est_ns_i_0_o2[3]
|
||||
Inst SM_AMIGA_ns_i_o2_0_1_ SM_AMIGA_ns_i_o2_0[1]
|
||||
Inst state_machine_CLK_030_H_2_f0_i_o2 state_machine.CLK_030_H_2_f0_i_o2
|
||||
Inst SM_AMIGA_ns_i_0_2_ SM_AMIGA_ns_i_0[2]
|
||||
Inst SM_AMIGA_ns_i_0_3_ SM_AMIGA_ns_i_0[3]
|
||||
Inst SM_AMIGA_ns_i_4_ SM_AMIGA_ns_i[4]
|
||||
Inst SM_AMIGA_ns_0_5_ SM_AMIGA_ns_0[5]
|
||||
Inst SM_AMIGA_ns_i_i_6_ SM_AMIGA_ns_i_i[6]
|
||||
Inst cpu_est_ns_i_0_3_ cpu_est_ns_i_0[3]
|
||||
Inst state_machine_CLK_030_H_2_f0_i state_machine.CLK_030_H_2_f0_i
|
||||
Inst state_machine_AMIGA_BUS_ENABLE_4_iv_0 state_machine.AMIGA_BUS_ENABLE_4_iv_0
|
||||
Inst SM_AMIGA_ns_i_o2_4_ SM_AMIGA_ns_i_o2[4]
|
||||
Inst SM_AMIGA_i_4_ SM_AMIGA_i[4]
|
||||
Inst SM_AMIGA_ns_i_o2_0_4_ SM_AMIGA_ns_i_o2_0[4]
|
||||
Inst SM_AMIGA_i_5_ SM_AMIGA_i[5]
|
||||
Inst state_machine_SIZE_DMA_4_0_a2_1_ state_machine.SIZE_DMA_4_0_a2[1]
|
||||
Inst state_machine_A0_DMA_2_0_a2 state_machine.A0_DMA_2_0_a2
|
||||
Inst state_machine_un6_bgack_000_0 state_machine.un6_bgack_000_0
|
||||
Inst state_machine_un15_clk_000_d0_0 state_machine.un15_clk_000_d0_0
|
||||
Inst SIZE_0_ SIZE[0]
|
||||
Inst SIZE_1_ SIZE[1]
|
||||
Inst state_machine_un10_bg_030_0 state_machine.un10_bg_030_0
|
||||
Inst A_16_ A[16]
|
||||
Inst A_17_ A[17]
|
||||
Inst A_18_ A[18]
|
||||
Inst state_machine_DS_000_DMA_3_0 state_machine.DS_000_DMA_3_0
|
||||
Inst A_19_ A[19]
|
||||
Inst state_machine_SIZE_DMA_4_0_1_ state_machine.SIZE_DMA_4_0[1]
|
||||
Inst A_20_ A[20]
|
||||
Inst SM_AMIGA_ns_i_1_ SM_AMIGA_ns_i[1]
|
||||
Inst A_21_ A[21]
|
||||
Inst A_22_ A[22]
|
||||
Inst A_23_ A[23]
|
||||
Inst A_24_ A[24]
|
||||
Inst A_25_ A[25]
|
||||
Inst A_26_ A[26]
|
||||
Inst A_27_ A[27]
|
||||
Inst SM_AMIGA_ns_i_a2_0_4_ SM_AMIGA_ns_i_a2_0[4]
|
||||
Inst A_28_ A[28]
|
||||
Inst SM_AMIGA_ns_i_a2_7_ SM_AMIGA_ns_i_a2[7]
|
||||
Inst A_29_ A[29]
|
||||
Inst cpu_est_i_0_ cpu_est_i[0]
|
||||
Inst A_30_ A[30]
|
||||
Inst cpu_est_ns_0_0_a2_1_ cpu_est_ns_0_0_a2[1]
|
||||
Inst A_31_ A[31]
|
||||
Inst cpu_est_ns_i_0_a2_3_ cpu_est_ns_i_0_a2[3]
|
||||
Inst cpu_est_i_1_ cpu_est_i[1]
|
||||
Inst cpu_est_ns_0_0_a2_0_1_ cpu_est_ns_0_0_a2_0[1]
|
||||
Inst SM_AMIGA_ns_0_a2_0_ SM_AMIGA_ns_0_a2[0]
|
||||
Inst cpu_est_i_2_ cpu_est_i[2]
|
||||
Inst cpu_est_ns_0_0_a3_0_1_ cpu_est_ns_0_0_a3_0[1]
|
||||
Inst cpu_est_ns_i_0_a3_3_ cpu_est_ns_i_0_a3[3]
|
||||
Inst state_machine_CLK_030_H_2_f0_i_a3 state_machine.CLK_030_H_2_f0_i_a3
|
||||
Inst IPL_030_0_ IPL_030[0]
|
||||
Inst cpu_est_ns_0_0_a3_2_ cpu_est_ns_0_0_a3[2]
|
||||
Inst IPL_030_1_ IPL_030[1]
|
||||
Inst cpu_est_ns_0_0_a3_0_2_ cpu_est_ns_0_0_a3_0[2]
|
||||
Inst IPL_030_2_ IPL_030[2]
|
||||
Inst cpu_est_ns_0_0_a3_1_2_ cpu_est_ns_0_0_a3_1[2]
|
||||
Inst IPL_0_ IPL[0]
|
||||
Inst SM_AMIGA_ns_0_a3_0_ SM_AMIGA_ns_0_a3[0]
|
||||
Inst IPL_1_ IPL[1]
|
||||
Inst SM_AMIGA_ns_0_a3_0_0_ SM_AMIGA_ns_0_a3_0[0]
|
||||
Inst IPL_2_ IPL[2]
|
||||
Inst DSACK_0_ DSACK[0]
|
||||
Inst DSACK_1_ DSACK[1]
|
||||
Inst state_machine_SIZE_DMA_4_i_a3_0_ state_machine.SIZE_DMA_4_i_a3[0]
|
||||
Inst SM_AMIGA_i_7_ SM_AMIGA_i[7]
|
||||
Inst SM_AMIGA_ns_i_a3_1_ SM_AMIGA_ns_i_a3[1]
|
||||
Inst SM_AMIGA_ns_i_a3_0_1_ SM_AMIGA_ns_i_a3_0[1]
|
||||
Inst SM_AMIGA_ns_i_0_a3_2_ SM_AMIGA_ns_i_0_a3[2]
|
||||
Inst SM_AMIGA_ns_i_0_a3_3_ SM_AMIGA_ns_i_0_a3[3]
|
||||
Inst CLK_030_H_0_p CLK_030_H_0.p
|
||||
Inst RW_000_INT_0_r RW_000_INT_0.r
|
||||
Inst RW_000_INT_0_m RW_000_INT_0.m
|
||||
Inst RW_000_INT_0_n RW_000_INT_0.n
|
||||
Inst RW_000_INT_0_p RW_000_INT_0.p
|
||||
Inst SM_AMIGA_i_0_ SM_AMIGA_i[0]
|
||||
Inst SM_AMIGA_i_1_ SM_AMIGA_i[1]
|
||||
Inst SM_AMIGA_ns_i_0_a3_7_ SM_AMIGA_ns_i_0_a3[7]
|
||||
Inst SM_AMIGA_ns_0_a3_0_5_ SM_AMIGA_ns_0_a3_0[5]
|
||||
Inst SM_AMIGA_i_3_ SM_AMIGA_i[3]
|
||||
Inst SM_AMIGA_ns_i_a3_4_ SM_AMIGA_ns_i_a3[4]
|
||||
Inst SM_AMIGA_ns_0_a3_0_5_ SM_AMIGA_ns_0_a3_0[5]
|
||||
Inst SM_AMIGA_ns_i_i_a3_6_ SM_AMIGA_ns_i_i_a3[6]
|
||||
Inst SM_AMIGA_i_4_ SM_AMIGA_i[4]
|
||||
Inst SM_AMIGA_i_5_ SM_AMIGA_i[5]
|
||||
Inst SM_AMIGA_ns_i_0_a3_3_ SM_AMIGA_ns_i_0_a3[3]
|
||||
Inst SM_AMIGA_ns_0_a3_0_ SM_AMIGA_ns_0_a3[0]
|
||||
Inst SM_AMIGA_ns_a2_0_a3_6_ SM_AMIGA_ns_a2_0_a3[6]
|
||||
Inst state_machine_SIZE_DMA_4_i_a3_0_ state_machine.SIZE_DMA_4_i_a3[0]
|
||||
Inst state_machine_un6_bgack_000_0 state_machine.un6_bgack_000_0
|
||||
Inst state_machine_SIZE_DMA_4_0_a2_1_ state_machine.SIZE_DMA_4_0_a2[1]
|
||||
Inst SM_AMIGA_ns_i_a2_0_4_ SM_AMIGA_ns_i_a2_0[4]
|
||||
Inst SIZE_0_ SIZE[0]
|
||||
Inst SIZE_1_ SIZE[1]
|
||||
Inst A_16_ A[16]
|
||||
Inst state_machine_CLK_030_H_2_f0_i_a3 state_machine.CLK_030_H_2_f0_i_a3
|
||||
Inst A_17_ A[17]
|
||||
Inst A_18_ A[18]
|
||||
Inst A_19_ A[19]
|
||||
Inst state_machine_un3_clk_000_d1_0_o2 state_machine.un3_clk_000_d1_0_o2
|
||||
Inst A_20_ A[20]
|
||||
Inst SM_AMIGA_ns_i_o2_0_4_ SM_AMIGA_ns_i_o2_0[4]
|
||||
Inst A_21_ A[21]
|
||||
Inst SM_AMIGA_ns_i_o2_4_ SM_AMIGA_ns_i_o2[4]
|
||||
Inst A_22_ A[22]
|
||||
Inst A_23_ A[23]
|
||||
Inst state_machine_RW_000_INT_7_iv_0 state_machine.RW_000_INT_7_iv_0
|
||||
Inst A_24_ A[24]
|
||||
Inst state_machine_CLK_030_H_2_f0_i state_machine.CLK_030_H_2_f0_i
|
||||
Inst A_25_ A[25]
|
||||
Inst A_26_ A[26]
|
||||
Inst SM_AMIGA_ns_i_0_7_ SM_AMIGA_ns_i_0[7]
|
||||
Inst A_27_ A[27]
|
||||
Inst SM_AMIGA_ns_0_5_ SM_AMIGA_ns_0[5]
|
||||
Inst A_28_ A[28]
|
||||
Inst SM_AMIGA_ns_i_4_ SM_AMIGA_ns_i[4]
|
||||
Inst A_29_ A[29]
|
||||
Inst SM_AMIGA_ns_i_0_3_ SM_AMIGA_ns_i_0[3]
|
||||
Inst A_30_ A[30]
|
||||
Inst state_machine_SIZE_DMA_4_0_1_ state_machine.SIZE_DMA_4_0[1]
|
||||
Inst A_31_ A[31]
|
||||
Inst state_machine_DS_000_DMA_3_0 state_machine.DS_000_DMA_3_0
|
||||
Inst cpu_est_i_3_ cpu_est_i[3]
|
||||
Inst cpu_est_ns_i_0_o2_3_ cpu_est_ns_i_0_o2[3]
|
||||
Inst SM_AMIGA_ns_0_o3_0_ SM_AMIGA_ns_0_o3[0]
|
||||
Inst SM_AMIGA_ns_a2_0_o2_2_ SM_AMIGA_ns_a2_0_o2[2]
|
||||
Inst SM_AMIGA_i_6_ SM_AMIGA_i[6]
|
||||
Inst SM_AMIGA_ns_i_0_o2_1_ SM_AMIGA_ns_i_0_o2[1]
|
||||
Inst state_machine_RW_000_INT_7_iv_0_a3_0_2 state_machine.RW_000_INT_7_iv_0_a3_0_2
|
||||
Inst IPL_030_0_ IPL_030[0]
|
||||
Inst state_machine_CLK_030_H_2_f0_i_o2 state_machine.CLK_030_H_2_f0_i_o2
|
||||
Inst IPL_030_1_ IPL_030[1]
|
||||
Inst SM_AMIGA_ns_a2_0_o2_6_ SM_AMIGA_ns_a2_0_o2[6]
|
||||
Inst IPL_030_2_ IPL_030[2]
|
||||
Inst IPL_0_ IPL[0]
|
||||
Inst state_machine_RW_000_INT_7_iv_0_o2 state_machine.RW_000_INT_7_iv_0_o2
|
||||
Inst IPL_1_ IPL[1]
|
||||
Inst cpu_est_ns_i_0_a2_3_ cpu_est_ns_i_0_a2[3]
|
||||
Inst IPL_2_ IPL[2]
|
||||
Inst state_machine_un12_clk_000_d0_0 state_machine.un12_clk_000_d0_0
|
||||
Inst state_machine_un10_bg_030_0 state_machine.un10_bg_030_0
|
||||
Inst SM_AMIGA_ns_0_0_ SM_AMIGA_ns_0[0]
|
||||
Inst SM_AMIGA_ns_i_0_1_ SM_AMIGA_ns_i_0[1]
|
||||
Inst cpu_est_ns_i_0_3_ cpu_est_ns_i_0[3]
|
||||
Inst FC_0_ FC[0]
|
||||
Inst SM_AMIGA_ns_i_i_a3_0_6_ SM_AMIGA_ns_i_i_a3_0[6]
|
||||
Inst FC_1_ FC[1]
|
||||
Inst SM_AMIGA_i_0_ SM_AMIGA_i[0]
|
||||
Inst SM_AMIGA_ns_i_a3_7_ SM_AMIGA_ns_i_a3[7]
|
||||
Inst cpu_est_ns_0_0_a3_1_ cpu_est_ns_0_0_a3[1]
|
||||
Inst A_i_25_ A_i[25]
|
||||
Inst A_i_26_ A_i[26]
|
||||
Inst state_machine_A0_DMA_2_0_a3_1 state_machine.A0_DMA_2_0_a3_1
|
||||
Inst A_i_27_ A_i[27]
|
||||
Inst state_machine_A0_DMA_2_0_a3 state_machine.A0_DMA_2_0_a3
|
||||
Inst A_i_28_ A_i[28]
|
||||
Inst state_machine_UDS_000_INT_7_0_m3_r state_machine.UDS_000_INT_7_0_m3.r
|
||||
Inst state_machine_UDS_000_INT_7_0_m3_m state_machine.UDS_000_INT_7_0_m3.m
|
||||
Inst state_machine_UDS_000_INT_7_0_m3_n state_machine.UDS_000_INT_7_0_m3.n
|
||||
Inst state_machine_UDS_000_INT_7_0_m3_p state_machine.UDS_000_INT_7_0_m3.p
|
||||
Inst SM_AMIGA_i_7_ SM_AMIGA_i[7]
|
||||
Inst cpu_est_ns_0_0_a3_2_ cpu_est_ns_0_0_a3[2]
|
||||
Inst cpu_est_ns_0_0_a3_0_2_ cpu_est_ns_0_0_a3_0[2]
|
||||
Inst cpu_est_ns_0_0_a3_1_2_ cpu_est_ns_0_0_a3_1[2]
|
||||
Inst cpu_est_i_0_ cpu_est_i[0]
|
||||
Inst state_machine_un12_clk_000_d0_0_a3_1 state_machine.un12_clk_000_d0_0_a3_1
|
||||
Inst cpu_est_ns_0_0_a2_1_ cpu_est_ns_0_0_a2[1]
|
||||
Inst state_machine_un12_clk_000_d0_0_a3 state_machine.un12_clk_000_d0_0_a3
|
||||
Inst SM_AMIGA_ns_0_a2_0_ SM_AMIGA_ns_0_a2[0]
|
||||
Inst SM_AMIGA_ns_i_a2_1_4_ SM_AMIGA_ns_i_a2_1[4]
|
||||
Inst cpu_est_i_1_ cpu_est_i[1]
|
||||
Inst SM_AMIGA_ns_i_a2_4_ SM_AMIGA_ns_i_a2[4]
|
||||
Inst cpu_est_ns_0_0_a2_0_1_ cpu_est_ns_0_0_a2_0[1]
|
||||
Inst A_i_29_ A_i[29]
|
||||
Inst A_i_30_ A_i[30]
|
||||
Inst state_machine_RW_000_INT_7_iv_0_a3_1 state_machine.RW_000_INT_7_iv_0_a3_1
|
||||
Inst A_i_31_ A_i[31]
|
||||
Inst A_i_16_ A_i[16]
|
||||
Inst state_machine_un15_clk_000_d0_0_a3_0_1 state_machine.un15_clk_000_d0_0_a3_0_1
|
||||
Inst A_i_18_ A_i[18]
|
||||
Inst state_machine_un15_clk_000_d0_0_a3_0 state_machine.un15_clk_000_d0_0_a3_0
|
||||
Inst A_i_19_ A_i[19]
|
||||
Inst state_machine_un15_clk_000_d0_0_a3_1 state_machine.un15_clk_000_d0_0_a3_1
|
||||
Inst state_machine_un15_clk_000_d0_0_a3 state_machine.un15_clk_000_d0_0_a3
|
||||
Inst state_machine_UDS_000_INT_7_0 state_machine.UDS_000_INT_7_0
|
||||
Inst SM_AMIGA_ns_i_a2_1_4_ SM_AMIGA_ns_i_a2_1[4]
|
||||
Inst SM_AMIGA_ns_i_a2_4_ SM_AMIGA_ns_i_a2[4]
|
||||
Inst A_i_24_ A_i[24]
|
||||
Inst state_machine_AMIGA_BUS_ENABLE_4_iv_0_a3_1 state_machine.AMIGA_BUS_ENABLE_4_iv_0_a3_1
|
||||
Inst state_machine_AMIGA_BUS_ENABLE_4_iv_0_a3 state_machine.AMIGA_BUS_ENABLE_4_iv_0_a3
|
||||
Inst DSACK1_INT_0_r DSACK1_INT_0.r
|
||||
Inst DSACK1_INT_0_m DSACK1_INT_0.m
|
||||
Inst DSACK1_INT_0_n DSACK1_INT_0.n
|
||||
Inst state_machine_RW_000_INT_7_iv_0_a3 state_machine.RW_000_INT_7_iv_0_a3
|
||||
Inst SM_AMIGA_ns_i_a3_0_1_4_ SM_AMIGA_ns_i_a3_0_1[4]
|
||||
Inst DSACK1_INT_0_p DSACK1_INT_0.p
|
||||
Inst SM_AMIGA_ns_i_a3_0_4_ SM_AMIGA_ns_i_a3_0[4]
|
||||
Inst VMA_INT_0_r VMA_INT_0.r
|
||||
Inst state_machine_LDS_000_INT_7_0_a3_1 state_machine.LDS_000_INT_7_0_a3_1
|
||||
Inst VMA_INT_0_m VMA_INT_0.m
|
||||
Inst state_machine_LDS_000_INT_7_0_a3 state_machine.LDS_000_INT_7_0_a3
|
||||
Inst VMA_INT_0_n VMA_INT_0.n
|
||||
Inst VMA_INT_0_p VMA_INT_0.p
|
||||
Inst state_machine_un10_bg_030_0_a3_1 state_machine.un10_bg_030_0_a3_1
|
||||
Inst BGACK_030_INT_0_r BGACK_030_INT_0.r
|
||||
Inst state_machine_un10_bg_030_0_a3_2 state_machine.un10_bg_030_0_a3_2
|
||||
Inst BGACK_030_INT_0_m BGACK_030_INT_0.m
|
||||
Inst state_machine_un10_bg_030_0_a3 state_machine.un10_bg_030_0_a3
|
||||
Inst BGACK_030_INT_0_n BGACK_030_INT_0.n
|
||||
Inst SM_AMIGA_ns_0_1_0_ SM_AMIGA_ns_0_1[0]
|
||||
Inst BGACK_030_INT_0_p BGACK_030_INT_0.p
|
||||
Inst SM_AMIGA_ns_0_0_ SM_AMIGA_ns_0[0]
|
||||
Inst IPL_030_0_0__r IPL_030_0_0_.r
|
||||
Inst SM_AMIGA_ns_a2_0_a3_2_ SM_AMIGA_ns_a2_0_a3[2]
|
||||
Inst state_machine_A0_DMA_2_0_a3_1 state_machine.A0_DMA_2_0_a3_1
|
||||
Inst SM_AMIGA_ns_i_0_a3_1_ SM_AMIGA_ns_i_0_a3[1]
|
||||
Inst state_machine_A0_DMA_2_0_a3 state_machine.A0_DMA_2_0_a3
|
||||
Inst cpu_est_ns_0_0_a3_1_ cpu_est_ns_0_0_a3[1]
|
||||
Inst state_machine_un12_clk_000_d0_0_a3_0 state_machine.un12_clk_000_d0_0_a3_0
|
||||
Inst cpu_est_i_2_ cpu_est_i[2]
|
||||
Inst SM_AMIGA_ns_0_a3_1_5_ SM_AMIGA_ns_0_a3_1[5]
|
||||
Inst cpu_est_ns_0_0_a3_0_1_ cpu_est_ns_0_0_a3_0[1]
|
||||
Inst SM_AMIGA_ns_0_a3_2_5_ SM_AMIGA_ns_0_a3_2[5]
|
||||
Inst cpu_est_ns_i_0_a3_3_ cpu_est_ns_i_0_a3[3]
|
||||
Inst SM_AMIGA_ns_0_a3_5_ SM_AMIGA_ns_0_a3[5]
|
||||
Inst state_machine_RW_000_INT_7_iv_0_a3_0_1 state_machine.RW_000_INT_7_iv_0_a3_0_1
|
||||
Inst state_machine_RW_000_INT_7_iv_0_a3_0 state_machine.RW_000_INT_7_iv_0_a3_0
|
||||
Inst A_i_24_ A_i[24]
|
||||
Inst cpu_est_ns_0_0_1_2_ cpu_est_ns_0_0_1[2]
|
||||
Inst IPL_030_0_0__m IPL_030_0_0_.m
|
||||
Inst A_i_25_ A_i[25]
|
||||
Inst cpu_est_ns_0_0_2_ cpu_est_ns_0_0[2]
|
||||
Inst A_i_26_ A_i[26]
|
||||
Inst A_i_27_ A_i[27]
|
||||
Inst A_i_28_ A_i[28]
|
||||
Inst state_machine_LDS_000_INT_7_0_1 state_machine.LDS_000_INT_7_0_1
|
||||
Inst state_machine_LDS_000_INT_7_0 state_machine.LDS_000_INT_7_0
|
||||
Inst IPL_030_0_0__r IPL_030_0_0_.r
|
||||
Inst state_machine_UDS_000_INT_7_0_1 state_machine.UDS_000_INT_7_0_1
|
||||
Inst IPL_030_0_0__m IPL_030_0_0_.m
|
||||
Inst state_machine_UDS_000_INT_7_0 state_machine.UDS_000_INT_7_0
|
||||
Inst IPL_030_0_0__n IPL_030_0_0_.n
|
||||
Inst IPL_030_0_0__p IPL_030_0_0_.p
|
||||
Inst IPL_030_0_1__r IPL_030_0_1_.r
|
||||
Inst SM_AMIGA_ns_i_1_7_ SM_AMIGA_ns_i_1[7]
|
||||
Inst IPL_030_0_1__m IPL_030_0_1_.m
|
||||
Inst SM_AMIGA_ns_i_7_ SM_AMIGA_ns_i[7]
|
||||
Inst IPL_030_0_1__n IPL_030_0_1_.n
|
||||
Inst state_machine_LDS_000_INT_7_0_1 state_machine.LDS_000_INT_7_0_1
|
||||
Inst cpu_est_ns_0_0_1_1_ cpu_est_ns_0_0_1[1]
|
||||
Inst IPL_030_0_1__p IPL_030_0_1_.p
|
||||
Inst state_machine_LDS_000_INT_7_0 state_machine.LDS_000_INT_7_0
|
||||
Inst cpu_est_ns_0_0_2_1_ cpu_est_ns_0_0_2[1]
|
||||
Inst IPL_030_0_2__r IPL_030_0_2_.r
|
||||
Inst state_machine_UDS_000_INT_7_0_1 state_machine.UDS_000_INT_7_0_1
|
||||
Inst cpu_est_ns_0_0_1_ cpu_est_ns_0_0[1]
|
||||
Inst IPL_030_0_2__m IPL_030_0_2_.m
|
||||
Inst IPL_030_0_2__n IPL_030_0_2_.n
|
||||
Inst SM_AMIGA_ns_i_o2_1_1_ SM_AMIGA_ns_i_o2_1[1]
|
||||
Inst IPL_030_0_2__p IPL_030_0_2_.p
|
||||
Inst SM_AMIGA_ns_i_o2_1_ SM_AMIGA_ns_i_o2[1]
|
||||
Inst cpu_estse_0_r cpu_estse_0.r
|
||||
Inst cpu_estse_0_m cpu_estse_0.m
|
||||
Inst state_machine_un10_bg_030_0_a3_1 state_machine.un10_bg_030_0_a3_1
|
||||
Inst cpu_estse_0_n cpu_estse_0.n
|
||||
Inst state_machine_un10_bg_030_0_a3_2 state_machine.un10_bg_030_0_a3_2
|
||||
Inst cpu_estse_0_p cpu_estse_0.p
|
||||
Inst cpu_est_ns_0_0_1_1_ cpu_est_ns_0_0_1[1]
|
||||
Inst state_machine_un10_bg_030_0_a3 state_machine.un10_bg_030_0_a3
|
||||
Inst cpu_estse_1_r cpu_estse_1.r
|
||||
Inst cpu_est_ns_0_0_2_1_ cpu_est_ns_0_0_2[1]
|
||||
Inst state_machine_un12_clk_000_d0_0_a3_0_1 state_machine.un12_clk_000_d0_0_a3_0_1
|
||||
Inst cpu_estse_1_m cpu_estse_1.m
|
||||
Inst cpu_est_ns_0_0_1_ cpu_est_ns_0_0[1]
|
||||
Inst state_machine_un12_clk_000_d0_0_a3_0_2 state_machine.un12_clk_000_d0_0_a3_0_2
|
||||
Inst cpu_estse_1_n cpu_estse_1.n
|
||||
Inst SM_AMIGA_ns_0_a3_1_5_ SM_AMIGA_ns_0_a3_1[5]
|
||||
Inst cpu_estse_1_p cpu_estse_1.p
|
||||
Inst SM_AMIGA_ns_0_a3_2_5_ SM_AMIGA_ns_0_a3_2[5]
|
||||
Inst cpu_estse_2_r cpu_estse_2.r
|
||||
Inst SM_AMIGA_ns_0_a3_5_ SM_AMIGA_ns_0_a3[5]
|
||||
Inst cpu_estse_2_m cpu_estse_2.m
|
||||
Inst cpu_estse_2_n cpu_estse_2.n
|
||||
Inst cpu_estse_2_p cpu_estse_2.p
|
||||
Inst clk_un3_clk_out_pre_50 clk.un3_clk_out_pre_50
|
||||
Inst AMIGA_BUS_ENABLE_0_r AMIGA_BUS_ENABLE_0.r
|
||||
Inst AMIGA_BUS_ENABLE_0_m AMIGA_BUS_ENABLE_0.m
|
||||
Inst AMIGA_BUS_ENABLE_0_n AMIGA_BUS_ENABLE_0.n
|
||||
Inst AMIGA_BUS_ENABLE_0_p AMIGA_BUS_ENABLE_0.p
|
||||
Inst state_machine_un3_clk_out_pre_50 state_machine.un3_clk_out_pre_50
|
||||
Inst AS_030_000_SYNC_0_r AS_030_000_SYNC_0.r
|
||||
Inst AS_030_000_SYNC_0_m AS_030_000_SYNC_0.m
|
||||
Inst AS_030_000_SYNC_0_n AS_030_000_SYNC_0.n
|
||||
Inst AS_030_000_SYNC_0_p AS_030_000_SYNC_0.p
|
||||
Inst CLK_030_H_0_r CLK_030_H_0.r
|
||||
Inst CLK_030_H_0_m CLK_030_H_0.m
|
||||
Inst CLK_030_H_0_n CLK_030_H_0.n
|
||||
Inst CLK_030_H_0_p CLK_030_H_0.p
|
||||
Inst UDS_000_INT_0_r UDS_000_INT_0.r
|
||||
Inst UDS_000_INT_0_m UDS_000_INT_0.m
|
||||
Inst UDS_000_INT_0_n UDS_000_INT_0.n
|
||||
|
@ -277,77 +268,81 @@ Design 'BUS68030' created Thu May 29 22:04:27 2014
|
|||
Inst LDS_000_INT_0_r LDS_000_INT_0.r
|
||||
Inst LDS_000_INT_0_m LDS_000_INT_0.m
|
||||
Inst LDS_000_INT_0_n LDS_000_INT_0.n
|
||||
Inst state_machine_un10_bg_030_0_i state_machine.un10_bg_030_0_i
|
||||
Inst LDS_000_INT_0_p LDS_000_INT_0.p
|
||||
Inst FPU_CS_INT_0_r FPU_CS_INT_0.r
|
||||
Inst FPU_CS_INT_0_m FPU_CS_INT_0.m
|
||||
Inst FPU_CS_INT_0_n FPU_CS_INT_0.n
|
||||
Inst state_machine_un13_clk_000_d0_i state_machine.un13_clk_000_d0_i
|
||||
Inst FPU_CS_INT_0_p FPU_CS_INT_0.p
|
||||
Inst state_machine_un15_clk_000_d0_0_i state_machine.un15_clk_000_d0_0_i
|
||||
Inst avec_exp_0_r avec_exp_0.r
|
||||
Inst state_machine_un10_bg_030_0_i state_machine.un10_bg_030_0_i
|
||||
Inst avec_exp_0_m avec_exp_0.m
|
||||
Inst avec_exp_0_n avec_exp_0.n
|
||||
Inst avec_exp_0_p avec_exp_0.p
|
||||
Inst state_machine_un10_clk_000_d0_i state_machine.un10_clk_000_d0_i
|
||||
Inst BG_000_0_r BG_000_0.r
|
||||
Inst state_machine_un6_bgack_000_0_i state_machine.un6_bgack_000_0_i
|
||||
Inst state_machine_un12_clk_000_d0_0_i state_machine.un12_clk_000_d0_0_i
|
||||
Inst BG_000_0_m BG_000_0.m
|
||||
Inst BG_000_0_n BG_000_0.n
|
||||
Inst BG_000_0_p BG_000_0.p
|
||||
Inst DS_000_DMA_0_r DS_000_DMA_0.r
|
||||
Inst DS_000_DMA_0_m DS_000_DMA_0.m
|
||||
Inst DS_000_DMA_0_n DS_000_DMA_0.n
|
||||
Inst DS_000_DMA_0_p DS_000_DMA_0.p
|
||||
Inst AS_000_DMA_0_r AS_000_DMA_0.r
|
||||
Inst AS_000_DMA_0_m AS_000_DMA_0.m
|
||||
Inst state_machine_SIZE_DMA_4_0_i_1_ state_machine.SIZE_DMA_4_0_i[1]
|
||||
Inst AS_000_DMA_0_n AS_000_DMA_0.n
|
||||
Inst state_machine_DS_000_DMA_3_0_i state_machine.DS_000_DMA_3_0_i
|
||||
Inst AS_000_DMA_0_p AS_000_DMA_0.p
|
||||
Inst AS_000_INT_0_r AS_000_INT_0.r
|
||||
Inst cpu_est_ns_0_0_i_2_ cpu_est_ns_0_0_i[2]
|
||||
Inst AS_000_INT_0_m AS_000_INT_0.m
|
||||
Inst state_machine_LDS_000_INT_7_0_i state_machine.LDS_000_INT_7_0_i
|
||||
Inst AS_000_INT_0_n AS_000_INT_0.n
|
||||
Inst state_machine_UDS_000_INT_7_0_i state_machine.UDS_000_INT_7_0_i
|
||||
Inst AS_000_INT_0_p AS_000_INT_0.p
|
||||
Inst DSACK1_INT_0_r DSACK1_INT_0.r
|
||||
Inst DSACK1_INT_0_m DSACK1_INT_0.m
|
||||
Inst DSACK1_INT_0_n DSACK1_INT_0.n
|
||||
Inst SM_AMIGA_ns_0_i_0_ SM_AMIGA_ns_0_i[0]
|
||||
Inst DSACK1_INT_0_p DSACK1_INT_0.p
|
||||
Inst VMA_INT_0_r VMA_INT_0.r
|
||||
Inst VMA_INT_0_m VMA_INT_0.m
|
||||
Inst state_machine_LDS_000_INT_7_0_i state_machine.LDS_000_INT_7_0_i
|
||||
Inst VMA_INT_0_n VMA_INT_0.n
|
||||
Inst state_machine_UDS_000_INT_7_0_i state_machine.UDS_000_INT_7_0_i
|
||||
Inst VMA_INT_0_p VMA_INT_0.p
|
||||
Inst SM_AMIGA_ns_i_0_o2_i_1_ SM_AMIGA_ns_i_0_o2_i[1]
|
||||
Inst SM_AMIGA_ns_a2_0_o2_i_2_ SM_AMIGA_ns_a2_0_o2_i[2]
|
||||
Net ipl_030_c_0__n IPL_030_c[0]
|
||||
Net ipl_030_0__n IPL_030[0]
|
||||
Net ipl_030_c_1__n IPL_030_c[1]
|
||||
Net sm_amiga_7__n SM_AMIGA[7]
|
||||
Net ipl_030_1__n IPL_030[1]
|
||||
Net vcc_n_n VCC
|
||||
Net ipl_030_c_2__n IPL_030_c[2]
|
||||
Net gnd_n_n GND
|
||||
Net state_machine_un10_clk_000_d0_n state_machine.un10_clk_000_d0
|
||||
Net ipl_c_0__n IPL_c[0]
|
||||
Net ipl_0__n IPL[0]
|
||||
Net ipl_c_1__n IPL_c[1]
|
||||
Net ipl_1__n IPL[1]
|
||||
Net ipl_c_2__n IPL_c[2]
|
||||
Net vcc_n_n VCC
|
||||
Net dsack_0__n DSACK[0]
|
||||
Net gnd_n_n GND
|
||||
Net dsack_c_1__n DSACK_c[1]
|
||||
Net state_machine_un13_clk_000_d0_n state_machine.un13_clk_000_d0
|
||||
Net sm_amiga_1__n SM_AMIGA[1]
|
||||
Net sm_amiga_0__n SM_AMIGA[0]
|
||||
Net sm_amiga_6__n SM_AMIGA[6]
|
||||
Net ipl_c_1__n IPL_c[1]
|
||||
Net sm_amiga_0__n SM_AMIGA[0]
|
||||
Net ipl_1__n IPL[1]
|
||||
Net sm_amiga_5__n SM_AMIGA[5]
|
||||
Net clk_un3_clk_out_pre_50_n clk.un3_clk_out_pre_50
|
||||
Net state_machine_un6_bgack_000_n state_machine.un6_bgack_000
|
||||
Net state_machine_un15_clk_000_d0_n state_machine.un15_clk_000_d0
|
||||
Net size_dma_0__n SIZE_DMA[0]
|
||||
Net fc_c_0__n FC_c[0]
|
||||
Net size_dma_1__n SIZE_DMA[1]
|
||||
Net fc_0__n FC[0]
|
||||
Net fc_c_1__n FC_c[1]
|
||||
Net sm_amiga_7__n SM_AMIGA[7]
|
||||
Net sm_amiga_4__n SM_AMIGA[4]
|
||||
Net state_machine_a0_dma_2_n state_machine.A0_DMA_2
|
||||
Net state_machine_ds_000_dma_3_n state_machine.DS_000_DMA_3
|
||||
Net state_machine_size_dma_4_1__n state_machine.SIZE_DMA_4[1]
|
||||
Net sm_amiga_3__n SM_AMIGA[3]
|
||||
Net ipl_c_2__n IPL_c[2]
|
||||
Net sm_amiga_2__n SM_AMIGA[2]
|
||||
Net cpu_est_ns_0_1__n cpu_est_ns_0[1]
|
||||
Net state_machine_un3_clk_out_pre_50_n state_machine.un3_clk_out_pre_50
|
||||
Net state_machine_un12_clk_000_d0_n state_machine.un12_clk_000_d0
|
||||
Net size_dma_0__n SIZE_DMA[0]
|
||||
Net size_dma_1__n SIZE_DMA[1]
|
||||
Net sm_amiga_4__n SM_AMIGA[4]
|
||||
Net sm_amiga_3__n SM_AMIGA[3]
|
||||
Net sm_amiga_1__n SM_AMIGA[1]
|
||||
Net fc_c_0__n FC_c[0]
|
||||
Net state_machine_a0_dma_2_n state_machine.A0_DMA_2
|
||||
Net fc_0__n FC[0]
|
||||
Net state_machine_size_dma_4_1__n state_machine.SIZE_DMA_4[1]
|
||||
Net fc_c_1__n FC_c[1]
|
||||
Net state_machine_un10_bg_030_n state_machine.un10_bg_030
|
||||
Net state_machine_lds_000_int_7_n state_machine.LDS_000_INT_7
|
||||
Net state_machine_uds_000_int_7_n state_machine.UDS_000_INT_7
|
||||
Net sm_amiga_i_1__n SM_AMIGA_i[1]
|
||||
Net state_machine_un6_bgack_000_0_n state_machine.un6_bgack_000_0
|
||||
Net state_machine_ds_000_dma_3_0_n state_machine.DS_000_DMA_3_0
|
||||
Net state_machine_size_dma_4_0_1__n state_machine.SIZE_DMA_4_0[1]
|
||||
Net sm_amiga_ns_0__n SM_AMIGA_ns[0]
|
||||
Net sm_amiga_ns_0_5__n SM_AMIGA_ns_0[5]
|
||||
Net sm_amiga_ns_5__n SM_AMIGA_ns[5]
|
||||
Net state_machine_un8_bgack_030_int_i_0_0_n state_machine.un8_bgack_030_int_i_0_0
|
||||
Net sm_amiga_ns_2__n SM_AMIGA_ns[2]
|
||||
Net sm_amiga_ns_6__n SM_AMIGA_ns[6]
|
||||
Net cpu_est_0__n cpu_est[0]
|
||||
Net cpu_est_1__n cpu_est[1]
|
||||
Net cpu_est_2__n cpu_est[2]
|
||||
|
@ -355,64 +350,69 @@ Design 'BUS68030' created Thu May 29 22:04:27 2014
|
|||
Net cpu_est_ns_e_1__n cpu_est_ns_e[1]
|
||||
Net cpu_est_ns_e_2__n cpu_est_ns_e[2]
|
||||
Net cpu_est_ns_e_3__n cpu_est_ns_e[3]
|
||||
Net state_machine_rw_000_int_7_iv_i_n state_machine.RW_000_INT_7_iv_i
|
||||
Net cpu_est_ns_1__n cpu_est_ns[1]
|
||||
Net cpu_est_ns_2__n cpu_est_ns[2]
|
||||
Net state_machine_un8_bgack_030_int_i_0_n state_machine.un8_bgack_030_int_i_0
|
||||
Net sm_amiga_ns_0_0__n SM_AMIGA_ns_0[0]
|
||||
Net sm_amiga_i_2__n SM_AMIGA_i[2]
|
||||
Net cpu_est_ns_0_1__n cpu_est_ns_0[1]
|
||||
Net cpu_est_ns_0_2__n cpu_est_ns_0[2]
|
||||
Net state_machine_amiga_bus_enable_4_iv_i_n state_machine.AMIGA_BUS_ENABLE_4_iv_i
|
||||
Net sm_amiga_ns_0_5__n SM_AMIGA_ns_0[5]
|
||||
Net state_machine_size_dma_4_0_1__n state_machine.SIZE_DMA_4_0[1]
|
||||
Net state_machine_ds_000_dma_3_0_n state_machine.DS_000_DMA_3_0
|
||||
Net sm_amiga_ns_0_0__n SM_AMIGA_ns_0[0]
|
||||
Net state_machine_lds_000_int_7_0_n state_machine.LDS_000_INT_7_0
|
||||
Net state_machine_uds_000_int_7_0_n state_machine.UDS_000_INT_7_0
|
||||
Net state_machine_un10_bg_030_0_n state_machine.un10_bg_030_0
|
||||
Net state_machine_un13_clk_000_d0_i_n state_machine.un13_clk_000_d0_i
|
||||
Net state_machine_un15_clk_000_d0_0_n state_machine.un15_clk_000_d0_0
|
||||
Net state_machine_un6_bgack_000_0_n state_machine.un6_bgack_000_0
|
||||
Net cpu_est_i_3__n cpu_est_i[3]
|
||||
Net sm_amiga_i_6__n SM_AMIGA_i[6]
|
||||
Net state_machine_un8_bgack_030_int_i_0_0_1_n state_machine.un8_bgack_030_int_i_0_0_1
|
||||
Net sm_amiga_i_5__n SM_AMIGA_i[5]
|
||||
Net state_machine_un10_clk_000_d0_i_n state_machine.un10_clk_000_d0_i
|
||||
Net state_machine_un12_clk_000_d0_0_n state_machine.un12_clk_000_d0_0
|
||||
Net state_machine_ds_000_dma_3_n state_machine.DS_000_DMA_3
|
||||
Net state_machine_un6_bgack_000_n state_machine.un6_bgack_000
|
||||
Net a_i_18__n A_i[18]
|
||||
Net a_i_16__n A_i[16]
|
||||
Net a_i_19__n A_i[19]
|
||||
Net sm_amiga_i_4__n SM_AMIGA_i[4]
|
||||
Net sm_amiga_i_5__n SM_AMIGA_i[5]
|
||||
Net cpu_est_ns_0_1_1__n cpu_est_ns_0_1[1]
|
||||
Net cpu_est_ns_0_2_1__n cpu_est_ns_0_2[1]
|
||||
Net cpu_est_i_1__n cpu_est_i[1]
|
||||
Net cpu_est_i_0__n cpu_est_i[0]
|
||||
Net sm_amiga_ns_0_1_0__n SM_AMIGA_ns_0_1[0]
|
||||
Net cpu_est_ns_0_1_2__n cpu_est_ns_0_1[2]
|
||||
Net cpu_est_i_2__n cpu_est_i[2]
|
||||
Net sm_amiga_i_0__n SM_AMIGA_i[0]
|
||||
Net state_machine_lds_000_int_7_0_1_n state_machine.LDS_000_INT_7_0_1
|
||||
Net sm_amiga_i_3__n SM_AMIGA_i[3]
|
||||
Net cpu_est_ns_0_2_1__n cpu_est_ns_0_2[1]
|
||||
Net sm_amiga_i_0__n SM_AMIGA_i[0]
|
||||
Net sm_amiga_i_1__n SM_AMIGA_i[1]
|
||||
Net state_machine_un10_clk_000_d0_1_n state_machine.un10_clk_000_d0_1
|
||||
Net state_machine_un10_clk_000_d0_2_n state_machine.un10_clk_000_d0_2
|
||||
Net cpu_est_ns_0_1_2__n cpu_est_ns_0_1[2]
|
||||
Net sm_amiga_i_6__n SM_AMIGA_i[6]
|
||||
Net state_machine_lds_000_int_7_0_1_n state_machine.LDS_000_INT_7_0_1
|
||||
Net cpu_est_i_3__n cpu_est_i[3]
|
||||
Net state_machine_uds_000_int_7_0_1_n state_machine.UDS_000_INT_7_0_1
|
||||
Net sm_amiga_i_7__n SM_AMIGA_i[7]
|
||||
Net size_i_1__n SIZE_i[1]
|
||||
Net a_i_19__n A_i[19]
|
||||
Net a_i_16__n A_i[16]
|
||||
Net a_i_18__n A_i[18]
|
||||
Net a_i_30__n A_i[30]
|
||||
Net cpu_est_i_1__n cpu_est_i[1]
|
||||
Net cpu_est_i_0__n cpu_est_i[0]
|
||||
Net state_machine_a0_dma_2_1_n state_machine.A0_DMA_2_1
|
||||
Net cpu_est_i_2__n cpu_est_i[2]
|
||||
Net size_i_1__n SIZE_i[1]
|
||||
Net a_i_30__n A_i[30]
|
||||
Net a_i_31__n A_i[31]
|
||||
Net a_i_28__n A_i[28]
|
||||
Net a_i_29__n A_i[29]
|
||||
Net state_machine_un13_clk_000_d0_1_n state_machine.un13_clk_000_d0_1
|
||||
Net a_i_26__n A_i[26]
|
||||
Net a_i_27__n A_i[27]
|
||||
Net state_machine_uds_000_int_7_0_m3_un3_n state_machine.UDS_000_INT_7_0_m3.un3
|
||||
Net a_i_24__n A_i[24]
|
||||
Net state_machine_uds_000_int_7_0_m3_un1_n state_machine.UDS_000_INT_7_0_m3.un1
|
||||
Net a_i_25__n A_i[25]
|
||||
Net state_machine_uds_000_int_7_0_m3_un0_n state_machine.UDS_000_INT_7_0_m3.un0
|
||||
Net dsack1_int_0_un3_n DSACK1_INT_0.un3
|
||||
Net dsack1_int_0_un1_n DSACK1_INT_0.un1
|
||||
Net dsack1_int_0_un0_n DSACK1_INT_0.un0
|
||||
Net vma_int_0_un3_n VMA_INT_0.un3
|
||||
Net vma_int_0_un1_n VMA_INT_0.un1
|
||||
Net vma_int_0_un0_n VMA_INT_0.un0
|
||||
Net bgack_030_int_0_un3_n BGACK_030_INT_0.un3
|
||||
Net a_i_28__n A_i[28]
|
||||
Net bgack_030_int_0_un1_n BGACK_030_INT_0.un1
|
||||
Net a_i_29__n A_i[29]
|
||||
Net bgack_030_int_0_un0_n BGACK_030_INT_0.un0
|
||||
Net a_i_26__n A_i[26]
|
||||
Net as_000_dma_0_un3_n AS_000_DMA_0.un3
|
||||
Net a_i_27__n A_i[27]
|
||||
Net as_000_dma_0_un1_n AS_000_DMA_0.un1
|
||||
Net a_i_24__n A_i[24]
|
||||
Net as_000_dma_0_un0_n AS_000_DMA_0.un0
|
||||
Net a_i_25__n A_i[25]
|
||||
Net ds_000_dma_0_un3_n DS_000_DMA_0.un3
|
||||
Net ds_000_dma_0_un1_n DS_000_DMA_0.un1
|
||||
Net ds_000_dma_0_un0_n DS_000_DMA_0.un0
|
||||
Net clk_030_h_0_un3_n CLK_030_H_0.un3
|
||||
Net clk_030_h_0_un1_n CLK_030_H_0.un1
|
||||
Net clk_030_h_0_un0_n CLK_030_H_0.un0
|
||||
Net rw_000_int_0_un3_n RW_000_INT_0.un3
|
||||
Net rw_000_int_0_un1_n RW_000_INT_0.un1
|
||||
Net rw_000_int_0_un0_n RW_000_INT_0.un0
|
||||
Net state_machine_uds_000_int_7_0_m3_un3_n state_machine.UDS_000_INT_7_0_m3.un3
|
||||
Net state_machine_uds_000_int_7_0_m3_un1_n state_machine.UDS_000_INT_7_0_m3.un1
|
||||
Net state_machine_uds_000_int_7_0_m3_un0_n state_machine.UDS_000_INT_7_0_m3.un0
|
||||
Net ipl_030_0_0__un3_n IPL_030_0_0_.un3
|
||||
Net ipl_030_0_0__un1_n IPL_030_0_0_.un1
|
||||
Net ipl_030_0_0__un0_n IPL_030_0_0_.un0
|
||||
|
@ -442,59 +442,56 @@ Design 'BUS68030' created Thu May 29 22:04:27 2014
|
|||
Net a_c_19__n A_c[19]
|
||||
Net cpu_estse_2_un0_n cpu_estse_2.un0
|
||||
Net a_19__n A[19]
|
||||
Net amiga_bus_enable_0_un3_n AMIGA_BUS_ENABLE_0.un3
|
||||
Net a_c_20__n A_c[20]
|
||||
Net amiga_bus_enable_0_un1_n AMIGA_BUS_ENABLE_0.un1
|
||||
Net a_20__n A[20]
|
||||
Net amiga_bus_enable_0_un0_n AMIGA_BUS_ENABLE_0.un0
|
||||
Net a_c_21__n A_c[21]
|
||||
Net as_030_000_sync_0_un3_n AS_030_000_SYNC_0.un3
|
||||
Net a_21__n A[21]
|
||||
Net a_c_20__n A_c[20]
|
||||
Net as_030_000_sync_0_un1_n AS_030_000_SYNC_0.un1
|
||||
Net a_c_22__n A_c[22]
|
||||
Net a_20__n A[20]
|
||||
Net as_030_000_sync_0_un0_n AS_030_000_SYNC_0.un0
|
||||
Net a_22__n A[22]
|
||||
Net clk_030_h_0_un3_n CLK_030_H_0.un3
|
||||
Net a_c_23__n A_c[23]
|
||||
Net clk_030_h_0_un1_n CLK_030_H_0.un1
|
||||
Net a_23__n A[23]
|
||||
Net clk_030_h_0_un0_n CLK_030_H_0.un0
|
||||
Net a_c_24__n A_c[24]
|
||||
Net a_c_21__n A_c[21]
|
||||
Net uds_000_int_0_un3_n UDS_000_INT_0.un3
|
||||
Net a_24__n A[24]
|
||||
Net a_21__n A[21]
|
||||
Net uds_000_int_0_un1_n UDS_000_INT_0.un1
|
||||
Net a_c_25__n A_c[25]
|
||||
Net a_c_22__n A_c[22]
|
||||
Net uds_000_int_0_un0_n UDS_000_INT_0.un0
|
||||
Net a_25__n A[25]
|
||||
Net a_22__n A[22]
|
||||
Net lds_000_int_0_un3_n LDS_000_INT_0.un3
|
||||
Net a_c_26__n A_c[26]
|
||||
Net a_c_23__n A_c[23]
|
||||
Net lds_000_int_0_un1_n LDS_000_INT_0.un1
|
||||
Net a_26__n A[26]
|
||||
Net a_23__n A[23]
|
||||
Net lds_000_int_0_un0_n LDS_000_INT_0.un0
|
||||
Net a_c_27__n A_c[27]
|
||||
Net a_c_24__n A_c[24]
|
||||
Net fpu_cs_int_0_un3_n FPU_CS_INT_0.un3
|
||||
Net a_27__n A[27]
|
||||
Net a_24__n A[24]
|
||||
Net fpu_cs_int_0_un1_n FPU_CS_INT_0.un1
|
||||
Net a_c_28__n A_c[28]
|
||||
Net a_c_25__n A_c[25]
|
||||
Net fpu_cs_int_0_un0_n FPU_CS_INT_0.un0
|
||||
Net a_28__n A[28]
|
||||
Net a_25__n A[25]
|
||||
Net avec_exp_0_un3_n avec_exp_0.un3
|
||||
Net a_c_26__n A_c[26]
|
||||
Net avec_exp_0_un1_n avec_exp_0.un1
|
||||
Net a_26__n A[26]
|
||||
Net avec_exp_0_un0_n avec_exp_0.un0
|
||||
Net a_c_27__n A_c[27]
|
||||
Net bg_000_0_un3_n BG_000_0.un3
|
||||
Net a_c_29__n A_c[29]
|
||||
Net a_27__n A[27]
|
||||
Net bg_000_0_un1_n BG_000_0.un1
|
||||
Net a_29__n A[29]
|
||||
Net a_c_28__n A_c[28]
|
||||
Net bg_000_0_un0_n BG_000_0.un0
|
||||
Net a_c_30__n A_c[30]
|
||||
Net ds_000_dma_0_un3_n DS_000_DMA_0.un3
|
||||
Net a_30__n A[30]
|
||||
Net ds_000_dma_0_un1_n DS_000_DMA_0.un1
|
||||
Net a_c_31__n A_c[31]
|
||||
Net ds_000_dma_0_un0_n DS_000_DMA_0.un0
|
||||
Net as_000_dma_0_un3_n AS_000_DMA_0.un3
|
||||
Net as_000_dma_0_un1_n AS_000_DMA_0.un1
|
||||
Net as_000_dma_0_un0_n AS_000_DMA_0.un0
|
||||
Net a_28__n A[28]
|
||||
Net as_000_int_0_un3_n AS_000_INT_0.un3
|
||||
Net a_c_29__n A_c[29]
|
||||
Net as_000_int_0_un1_n AS_000_INT_0.un1
|
||||
Net a_29__n A[29]
|
||||
Net as_000_int_0_un0_n AS_000_INT_0.un0
|
||||
Net a_c_30__n A_c[30]
|
||||
Net dsack1_int_0_un3_n DSACK1_INT_0.un3
|
||||
Net a_30__n A[30]
|
||||
Net dsack1_int_0_un1_n DSACK1_INT_0.un1
|
||||
Net a_c_31__n A_c[31]
|
||||
Net dsack1_int_0_un0_n DSACK1_INT_0.un0
|
||||
Net vma_int_0_un3_n VMA_INT_0.un3
|
||||
Net vma_int_0_un1_n VMA_INT_0.un1
|
||||
Net vma_int_0_un0_n VMA_INT_0.un0
|
||||
End
|
||||
Section Type Name
|
||||
// ----------------------------------------------------------------------
|
||||
|
@ -509,7 +506,6 @@ Section Type Name
|
|||
Input CLK_OSZI
|
||||
Input VPA
|
||||
Input RST
|
||||
Input RW
|
||||
Input A_30_
|
||||
Input A_29_
|
||||
Input A_28_
|
||||
|
@ -547,14 +543,15 @@ Section Type Name
|
|||
Output IPL_030_1_
|
||||
Output IPL_030_0_
|
||||
Bidi SIZE_1_
|
||||
Bidi DSACK_1_
|
||||
Bidi AS_030
|
||||
Bidi AS_000
|
||||
Bidi RW_000
|
||||
Bidi DS_030
|
||||
Bidi UDS_000
|
||||
Bidi LDS_000
|
||||
Bidi A0
|
||||
Bidi DSACK1
|
||||
Bidi DTACK
|
||||
Bidi RW
|
||||
Bidi SIZE_0_
|
||||
Bidi DSACK_0_
|
||||
End
|
||||
|
|
|
@ -6,7 +6,7 @@
|
|||
#Implementation: logic
|
||||
|
||||
$ Start of Compile
|
||||
#Thu May 29 22:04:21 2014
|
||||
#Sun Jun 01 01:03:18 2014
|
||||
|
||||
Synopsys VHDL Compiler, version comp201209rcp1, Build 283R, built Mar 19 2013
|
||||
@N|Running in 64-bit mode
|
||||
|
@ -19,14 +19,15 @@ VHDL syntax check successful!
|
|||
File C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd changed - recompiling
|
||||
@N: CD630 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":13:7:13:14|Synthesizing work.bus68030.behavioral
|
||||
Post processing for work.bus68030.behavioral
|
||||
@W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":233:2:233:3|Pruning register CLK_REF(1 downto 0)
|
||||
@W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":124:34:124:36|Pruning register CLK_000_D6
|
||||
@W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":123:34:123:36|Pruning register CLK_000_D5
|
||||
@W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":114:38:114:40|Pruning register CLK_OUT_PRE_33
|
||||
@W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":146:2:146:3|Pruning register CLK_CNT_P(1 downto 0)
|
||||
@W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":133:2:133:3|Pruning register CLK_CNT_N(1 downto 0)
|
||||
@A: CL282 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":99:36:99:38|Feedback mux created for signal CLK_030_H -- possible set/reset assignment for signal missing. Specifying a reset value will improve timing and area.
|
||||
@N: CL201 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":233:2:233:3|Trying to extract state machine for register SM_AMIGA
|
||||
@W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":129:32:129:34|Pruning register CLK_REF(1 downto 0)
|
||||
@W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":127:34:127:36|Pruning register CLK_000_D6
|
||||
@W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":126:34:126:36|Pruning register CLK_000_D5
|
||||
@W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":125:34:125:36|Pruning register CLK_000_D4
|
||||
@W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":117:38:117:40|Pruning register CLK_OUT_PRE_33
|
||||
@W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":149:2:149:3|Pruning register CLK_CNT_P(1 downto 0)
|
||||
@W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":136:2:136:3|Pruning register CLK_CNT_N(1 downto 0)
|
||||
@A: CL282 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":102:36:102:38|Feedback mux created for signal CLK_030_H -- possible set/reset assignment for signal missing. Specifying a reset value will improve timing and area.
|
||||
@N: CL201 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":129:32:129:34|Trying to extract state machine for register SM_AMIGA
|
||||
Extracted state machine for register SM_AMIGA
|
||||
State machine has 8 reachable states with original encodings of:
|
||||
000
|
||||
|
@ -37,7 +38,7 @@ State machine has 8 reachable states with original encodings of:
|
|||
101
|
||||
110
|
||||
111
|
||||
@N: CL201 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":117:34:117:36|Trying to extract state machine for register cpu_est
|
||||
@N: CL201 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":120:34:120:36|Trying to extract state machine for register cpu_est
|
||||
Extracted state machine for register cpu_est
|
||||
State machine has 11 reachable states with original encodings of:
|
||||
0000
|
||||
|
@ -53,7 +54,7 @@ State machine has 11 reachable states with original encodings of:
|
|||
1111
|
||||
@END
|
||||
Process took 0h:00m:01s realtime, 0h:00m:01s cputime
|
||||
# Thu May 29 22:04:21 2014
|
||||
# Sun Jun 01 01:03:18 2014
|
||||
|
||||
###########################################################]
|
||||
Map & Optimize Report
|
||||
|
@ -92,13 +93,13 @@ Simple gate primitives:
|
|||
DFFRH 16 uses
|
||||
DFFSH 27 uses
|
||||
DFF 1 use
|
||||
BI_DIR 10 uses
|
||||
IBUF 30 uses
|
||||
BUFTH 4 uses
|
||||
OBUF 15 uses
|
||||
AND2 181 uses
|
||||
BI_DIR 12 uses
|
||||
IBUF 29 uses
|
||||
BUFTH 2 uses
|
||||
OBUF 16 uses
|
||||
AND2 185 uses
|
||||
INV 151 uses
|
||||
OR2 20 uses
|
||||
OR2 21 uses
|
||||
XOR2 1 use
|
||||
|
||||
|
||||
|
@ -109,6 +110,6 @@ Mapper successful!
|
|||
At Mapper Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 31MB peak: 95MB)
|
||||
|
||||
Process took 0h:00m:01s realtime, 0h:00m:01s cputime
|
||||
# Thu May 29 22:04:22 2014
|
||||
# Sun Jun 01 01:03:19 2014
|
||||
|
||||
###########################################################]
|
||||
|
|
|
@ -1,7 +1,7 @@
|
|||
#-- Synopsys, Inc.
|
||||
#-- Version G-2012.09LC-SP1
|
||||
#-- Project file C:\users\matze\documents\github\68030tk\logic\run_options.txt
|
||||
#-- Written on Thu May 29 22:04:21 2014
|
||||
#-- Written on Sun Jun 01 01:03:18 2014
|
||||
|
||||
|
||||
#project files
|
||||
|
|
|
@ -32,13 +32,13 @@ Simple gate primitives:
|
|||
DFFRH 16 uses
|
||||
DFFSH 27 uses
|
||||
DFF 1 use
|
||||
BI_DIR 10 uses
|
||||
IBUF 30 uses
|
||||
BUFTH 4 uses
|
||||
OBUF 15 uses
|
||||
AND2 181 uses
|
||||
BI_DIR 12 uses
|
||||
IBUF 29 uses
|
||||
BUFTH 2 uses
|
||||
OBUF 16 uses
|
||||
AND2 185 uses
|
||||
INV 151 uses
|
||||
OR2 20 uses
|
||||
OR2 21 uses
|
||||
XOR2 1 use
|
||||
|
||||
|
||||
|
@ -49,6 +49,6 @@ Mapper successful!
|
|||
At Mapper Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 31MB peak: 95MB)
|
||||
|
||||
Process took 0h:00m:01s realtime, 0h:00m:01s cputime
|
||||
# Thu May 29 22:04:22 2014
|
||||
# Sun Jun 01 01:03:19 2014
|
||||
|
||||
###########################################################]
|
||||
|
|
|
@ -1,4 +1,3 @@
|
|||
@E: CD371 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":381:9:381:23|No matching overload for "="
|
||||
@E: CD308 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":381:29:381:44|Unable to evaluate expression type
|
||||
@E: CD676 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":381:29:381:44|Can't implement expression (no function signature?)
|
||||
@E: CL219 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":51:1:51:16|Multiple non-tristate drivers for net AMIGA_BUS_ENABLE in BUS68030
|
||||
@E: CL229 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":51:1:51:16|Unresolved tristate drivers for net AMIGA_BUS_ENABLE in BUS68030
|
||||
|
||||
|
|
|
@ -2,6 +2,6 @@
|
|||
@N: CD720 :"C:\Program Files (x86)\ispLever\synpbase\lib\vhd\std.vhd":123:18:123:21|Setting time resolution to ns
|
||||
@N:"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":13:7:13:14|Top entity is set to BUS68030.
|
||||
@N: CD630 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":13:7:13:14|Synthesizing work.bus68030.behavioral
|
||||
@N: CL201 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":233:2:233:3|Trying to extract state machine for register SM_AMIGA
|
||||
@N: CL201 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":117:34:117:36|Trying to extract state machine for register cpu_est
|
||||
@N: CL201 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":129:32:129:34|Trying to extract state machine for register SM_AMIGA
|
||||
@N: CL201 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":120:34:120:36|Trying to extract state machine for register cpu_est
|
||||
|
||||
|
|
|
@ -18,7 +18,7 @@ The file contains the job information from compiler to be displayed as part of t
|
|||
<report_link name="more"><data>C:\users\matze\documents\github\68030tk\logic\synlog\report\BUS68030_compiler_notes.txt</data></report_link>
|
||||
</info>
|
||||
<info name="Warnings">
|
||||
<data>6</data>
|
||||
<data>7</data>
|
||||
<report_link name="more"><data>C:\users\matze\documents\github\68030tk\logic\synlog\report\BUS68030_compiler_warnings.txt</data></report_link>
|
||||
</info>
|
||||
<info name="Errors">
|
||||
|
@ -35,7 +35,7 @@ The file contains the job information from compiler to be displayed as part of t
|
|||
<data>-</data>
|
||||
</info>
|
||||
<info name="Date &Time">
|
||||
<data type="timestamp">1401393861</data>
|
||||
<data type="timestamp">1401577398</data>
|
||||
</info>
|
||||
</job_info>
|
||||
</job_run_status>
|
|
@ -1,7 +1,8 @@
|
|||
@W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":233:2:233:3|Pruning register CLK_REF(1 downto 0)
|
||||
@W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":124:34:124:36|Pruning register CLK_000_D6
|
||||
@W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":123:34:123:36|Pruning register CLK_000_D5
|
||||
@W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":114:38:114:40|Pruning register CLK_OUT_PRE_33
|
||||
@W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":146:2:146:3|Pruning register CLK_CNT_P(1 downto 0)
|
||||
@W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":133:2:133:3|Pruning register CLK_CNT_N(1 downto 0)
|
||||
@W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":129:32:129:34|Pruning register CLK_REF(1 downto 0)
|
||||
@W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":127:34:127:36|Pruning register CLK_000_D6
|
||||
@W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":126:34:126:36|Pruning register CLK_000_D5
|
||||
@W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":125:34:125:36|Pruning register CLK_000_D4
|
||||
@W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":117:38:117:40|Pruning register CLK_OUT_PRE_33
|
||||
@W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":149:2:149:3|Pruning register CLK_CNT_P(1 downto 0)
|
||||
@W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":136:2:136:3|Pruning register CLK_CNT_N(1 downto 0)
|
||||
|
||||
|
|
|
@ -39,7 +39,7 @@ The file contains the job information from mapper to be displayed as part of the
|
|||
<data>95MB</data>
|
||||
</info>
|
||||
<info name="Date & Time">
|
||||
<data type="timestamp">1401393862</data>
|
||||
<data type="timestamp">1401577399</data>
|
||||
</info>
|
||||
</job_info>
|
||||
</job_run_status>
|
||||
|
|
|
@ -3,7 +3,7 @@
|
|||
Synopsys, Inc.
|
||||
Version G-2012.09LC-SP1
|
||||
Project file C:\users\matze\documents\github\68030tk\logic\syntmp\run_option.xml
|
||||
Written on Thu May 29 22:04:21 2014
|
||||
Written on Sun Jun 01 01:03:18 2014
|
||||
|
||||
|
||||
-->
|
||||
|
|
|
@ -10,7 +10,7 @@
|
|||
#CUR:"C:\\Program Files (x86)\\ispLever\\synpbase\\lib\\vhd\\umr_capim.vhd":1363694328
|
||||
#CUR:"C:\\Program Files (x86)\\ispLever\\synpbase\\lib\\vhd\\arith.vhd":1363694328
|
||||
#CUR:"C:\\Program Files (x86)\\ispLever\\synpbase\\lib\\vhd\\unsigned.vhd":1363694328
|
||||
#CUR:"C:\\users\\matze\\documents\\github\\68030tk\\logic\\68030-68000-bus.vhd":1401393859
|
||||
#CUR:"C:\\users\\matze\\documents\\github\\68030tk\\logic\\68030-68000-bus.vhd":1401577392
|
||||
0 "C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd" vhdl
|
||||
|
||||
# Dependency Lists (Uses list)
|
||||
|
|
|
@ -10,7 +10,7 @@
|
|||
#CUR:"C:\\Program Files (x86)\\ispLever\\synpbase\\lib\\vhd\\umr_capim.vhd":1363694328
|
||||
#CUR:"C:\\Program Files (x86)\\ispLever\\synpbase\\lib\\vhd\\arith.vhd":1363694328
|
||||
#CUR:"C:\\Program Files (x86)\\ispLever\\synpbase\\lib\\vhd\\unsigned.vhd":1363694328
|
||||
#CUR:"C:\\users\\matze\\documents\\github\\68030tk\\logic\\68030-68000-bus.vhd":1401393859
|
||||
#CUR:"C:\\users\\matze\\documents\\github\\68030tk\\logic\\68030-68000-bus.vhd":1401577392
|
||||
0 "C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd" vhdl
|
||||
|
||||
# Dependency Lists (Uses list)
|
||||
|
|
Binary file not shown.
|
@ -1,13 +1,14 @@
|
|||
@N: CD630 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":13:7:13:14|Synthesizing work.bus68030.behavioral
|
||||
Post processing for work.bus68030.behavioral
|
||||
@W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":233:2:233:3|Pruning register CLK_REF(1 downto 0)
|
||||
@W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":124:34:124:36|Pruning register CLK_000_D6
|
||||
@W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":123:34:123:36|Pruning register CLK_000_D5
|
||||
@W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":114:38:114:40|Pruning register CLK_OUT_PRE_33
|
||||
@W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":146:2:146:3|Pruning register CLK_CNT_P(1 downto 0)
|
||||
@W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":133:2:133:3|Pruning register CLK_CNT_N(1 downto 0)
|
||||
@A: CL282 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":99:36:99:38|Feedback mux created for signal CLK_030_H -- possible set/reset assignment for signal missing. Specifying a reset value will improve timing and area.
|
||||
@N: CL201 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":233:2:233:3|Trying to extract state machine for register SM_AMIGA
|
||||
@W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":129:32:129:34|Pruning register CLK_REF(1 downto 0)
|
||||
@W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":127:34:127:36|Pruning register CLK_000_D6
|
||||
@W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":126:34:126:36|Pruning register CLK_000_D5
|
||||
@W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":125:34:125:36|Pruning register CLK_000_D4
|
||||
@W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":117:38:117:40|Pruning register CLK_OUT_PRE_33
|
||||
@W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":149:2:149:3|Pruning register CLK_CNT_P(1 downto 0)
|
||||
@W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":136:2:136:3|Pruning register CLK_CNT_N(1 downto 0)
|
||||
@A: CL282 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":102:36:102:38|Feedback mux created for signal CLK_030_H -- possible set/reset assignment for signal missing. Specifying a reset value will improve timing and area.
|
||||
@N: CL201 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":129:32:129:34|Trying to extract state machine for register SM_AMIGA
|
||||
Extracted state machine for register SM_AMIGA
|
||||
State machine has 8 reachable states with original encodings of:
|
||||
000
|
||||
|
@ -18,7 +19,7 @@ State machine has 8 reachable states with original encodings of:
|
|||
101
|
||||
110
|
||||
111
|
||||
@N: CL201 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":117:34:117:36|Trying to extract state machine for register cpu_est
|
||||
@N: CL201 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":120:34:120:36|Trying to extract state machine for register cpu_est
|
||||
Extracted state machine for register cpu_est
|
||||
State machine has 11 reachable states with original encodings of:
|
||||
0000
|
||||
|
|
Loading…
Reference in New Issue
Block a user