Prototype

This commit is contained in:
Zane Kaminski 2022-03-27 23:45:53 -04:00
parent 362afa1802
commit 1893b9b835
207 changed files with 157889 additions and 131427 deletions

19
.gitignore vendored
View File

@ -2,12 +2,6 @@
# Format documentation: http://kicad-pcb.org/help/file-formats/ # Format documentation: http://kicad-pcb.org/help/file-formats/
# Temporary files # Temporary files
*.000
*.bak
*.bck
*.kicad_pcb-bak
*.sch-bak
*~
_autosave-* _autosave-*
*.tmp *.tmp
*-rescue.lib *-rescue.lib
@ -16,17 +10,6 @@ _autosave-*
fp-info-cache fp-info-cache
Warp-SE-backups/* Warp-SE-backups/*
# Netlist files (exported from Eeschema)
*.net
# Autorouter files (exported from Pcbnew)
*.dsn
*.ses
# Exported BOM files
*.xml
*.csv
*.DS_Store *.DS_Store
Docs/Thumbs.db Documentation/Thumbs.db
Warp-SE.kicad_prl Warp-SE.kicad_prl

File diff suppressed because it is too large Load Diff

View File

@ -318,11 +318,11 @@
(effects (font (size 1.27 1.27)) (justify right)) (effects (font (size 1.27 1.27)) (justify right))
(uuid 62ed984b-c070-4de1-bd86-30aeb09fb9cd) (uuid 62ed984b-c070-4de1-bd86-30aeb09fb9cd)
) )
(hierarchical_label "SW0" (shape output) (at 85.09 86.36 180) (hierarchical_label "SW0" (shape output) (at 85.09 91.44 180)
(effects (font (size 1.27 1.27)) (justify right)) (effects (font (size 1.27 1.27)) (justify right))
(uuid c1fbee58-f474-4414-9110-64abd03ed7c9) (uuid c1fbee58-f474-4414-9110-64abd03ed7c9)
) )
(hierarchical_label "SW2" (shape output) (at 85.09 91.44 180) (hierarchical_label "SW2" (shape output) (at 85.09 86.36 180)
(effects (font (size 1.27 1.27)) (justify right)) (effects (font (size 1.27 1.27)) (justify right))
(uuid d0292983-0ab9-4b24-b3bd-f154f790c7ec) (uuid d0292983-0ab9-4b24-b3bd-f154f790c7ec)
) )
@ -331,7 +331,7 @@
(in_bom yes) (on_board yes) (in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-000061a8bbd9) (uuid 00000000-0000-0000-0000-000061a8bbd9)
(property "Reference" "SW1" (id 0) (at 110.49 82.55 0)) (property "Reference" "SW1" (id 0) (at 110.49 82.55 0))
(property "Value" "OSCSEL" (id 1) (at 110.49 95.25 0)) (property "Value" "CFG" (id 1) (at 110.49 95.25 0))
(property "Footprint" "stdpads:SW_DIP_SPSTx03_Slide_DSHP03TS_P1.27mm" (id 2) (at 110.49 86.36 0) (property "Footprint" "stdpads:SW_DIP_SPSTx03_Slide_DSHP03TS_P1.27mm" (id 2) (at 110.49 86.36 0)
(effects (font (size 1.27 1.27)) hide) (effects (font (size 1.27 1.27)) hide)
) )

Binary file not shown.

Before

Width:  |  Height:  |  Size: 589 KiB

Binary file not shown.

Before

Width:  |  Height:  |  Size: 1.6 MiB

After

Width:  |  Height:  |  Size: 1.6 MiB

File diff suppressed because one or more lines are too long

Binary file not shown.

Before

Width:  |  Height:  |  Size: 927 KiB

BIN
Documentation/Schematic.pdf Normal file

Binary file not shown.

Binary file not shown.

Before

Width:  |  Height:  |  Size: 1.0 MiB

View File

@ -1233,7 +1233,7 @@
(property "Reference" "#PWR0101" (id 0) (at 30.48 57.15 0) (property "Reference" "#PWR0101" (id 0) (at 30.48 57.15 0)
(effects (font (size 1.27 1.27)) hide) (effects (font (size 1.27 1.27)) hide)
) )
(property "Value" "+5V" (id 1) (at 30.48 49.53 0)) (property "Value" "+5V" (id 1) (at 33.02 50.8 0))
(property "Footprint" "" (id 2) (at 30.48 53.34 0) (property "Footprint" "" (id 2) (at 30.48 53.34 0)
(effects (font (size 1.27 1.27)) hide) (effects (font (size 1.27 1.27)) hide)
) )

View File

@ -695,34 +695,34 @@
) )
) )
(junction (at 69.85 92.71) (diameter 0) (color 0 0 0 0) (junction (at 72.39 91.44) (diameter 0) (color 0 0 0 0)
(uuid 064853d1-fee5-4dc2-a187-8cbdd26d3919) (uuid 064853d1-fee5-4dc2-a187-8cbdd26d3919)
) )
(junction (at 69.85 59.69) (diameter 0) (color 0 0 0 0) (junction (at 72.39 58.42) (diameter 0) (color 0 0 0 0)
(uuid 098afe52-27f0-4ec0-bf39-4eb766d2a851) (uuid 098afe52-27f0-4ec0-bf39-4eb766d2a851)
) )
(junction (at 69.85 62.23) (diameter 0) (color 0 0 0 0) (junction (at 72.39 60.96) (diameter 0) (color 0 0 0 0)
(uuid 11cae898-6e02-4314-87c3-bfa88f249303) (uuid 11cae898-6e02-4314-87c3-bfa88f249303)
) )
(junction (at 69.85 69.85) (diameter 0) (color 0 0 0 0) (junction (at 72.39 68.58) (diameter 0) (color 0 0 0 0)
(uuid 127b0e8c-8b10-4db4-b691-908ac98caaf1) (uuid 127b0e8c-8b10-4db4-b691-908ac98caaf1)
) )
(junction (at 33.02 157.48) (diameter 0) (color 0 0 0 0) (junction (at 33.02 157.48) (diameter 0) (color 0 0 0 0)
(uuid 1bb16fed-1537-47fa-90f6-8dc136da5d16) (uuid 1bb16fed-1537-47fa-90f6-8dc136da5d16)
) )
(junction (at 69.85 100.33) (diameter 0) (color 0 0 0 0) (junction (at 72.39 99.06) (diameter 0) (color 0 0 0 0)
(uuid 2ff15691-c9f8-4e08-a694-3230522780fc) (uuid 2ff15691-c9f8-4e08-a694-3230522780fc)
) )
(junction (at 69.85 135.89) (diameter 0) (color 0 0 0 0) (junction (at 72.39 134.62) (diameter 0) (color 0 0 0 0)
(uuid 41fc1c23-edd4-45a5-8036-7f62b013770f) (uuid 41fc1c23-edd4-45a5-8036-7f62b013770f)
) )
(junction (at 69.85 95.25) (diameter 0) (color 0 0 0 0) (junction (at 72.39 93.98) (diameter 0) (color 0 0 0 0)
(uuid 5da06777-0696-4bb2-8c9a-78c96b4b3e90) (uuid 5da06777-0696-4bb2-8c9a-78c96b4b3e90)
) )
(junction (at 93.98 162.56) (diameter 0) (color 0 0 0 0) (junction (at 93.98 162.56) (diameter 0) (color 0 0 0 0)
(uuid 63892cea-0371-47b0-925d-c40106168946) (uuid 63892cea-0371-47b0-925d-c40106168946)
) )
(junction (at 69.85 67.31) (diameter 0) (color 0 0 0 0) (junction (at 72.39 66.04) (diameter 0) (color 0 0 0 0)
(uuid 741561bb-6157-4c58-bb00-0f2a32b21238) (uuid 741561bb-6157-4c58-bb00-0f2a32b21238)
) )
(junction (at 38.1 137.16) (diameter 0) (color 0 0 0 0) (junction (at 38.1 137.16) (diameter 0) (color 0 0 0 0)
@ -734,41 +734,40 @@
(junction (at 43.18 162.56) (diameter 0) (color 0 0 0 0) (junction (at 43.18 162.56) (diameter 0) (color 0 0 0 0)
(uuid 858b182d-fdce-45a6-8c3a-626e9f7a9971) (uuid 858b182d-fdce-45a6-8c3a-626e9f7a9971)
) )
(junction (at 69.85 64.77) (diameter 0) (color 0 0 0 0) (junction (at 72.39 63.5) (diameter 0) (color 0 0 0 0)
(uuid 8c4cd1a2-9a92-4fba-aa2e-8b86c17dce10) (uuid 8c4cd1a2-9a92-4fba-aa2e-8b86c17dce10)
) )
(junction (at 53.34 162.56) (diameter 0) (color 0 0 0 0) (junction (at 53.34 162.56) (diameter 0) (color 0 0 0 0)
(uuid 8ecc0874-e7f5-4102-a6b7-0222cf1fccc2) (uuid 8ecc0874-e7f5-4102-a6b7-0222cf1fccc2)
) )
(junction (at 69.85 72.39) (diameter 0) (color 0 0 0 0) (junction (at 72.39 71.12) (diameter 0) (color 0 0 0 0)
(uuid 92419cc9-1070-47aa-876c-2cf8f5a03a47) (uuid 92419cc9-1070-47aa-876c-2cf8f5a03a47)
) )
(junction (at 69.85 74.93) (diameter 0) (color 0 0 0 0) (junction (at 72.39 73.66) (diameter 0) (color 0 0 0 0)
(uuid d5128f0b-0a4f-4337-a7f7-9a3dfe4ad4f9) (uuid d5128f0b-0a4f-4337-a7f7-9a3dfe4ad4f9)
) )
(junction (at 69.85 97.79) (diameter 0) (color 0 0 0 0) (junction (at 72.39 96.52) (diameter 0) (color 0 0 0 0)
(uuid e6235600-87cc-4c82-b15f-34fb66b9bf0e) (uuid e6235600-87cc-4c82-b15f-34fb66b9bf0e)
) )
(junction (at 69.85 77.47) (diameter 0) (color 0 0 0 0) (junction (at 72.39 76.2) (diameter 0) (color 0 0 0 0)
(uuid fed6a1e7-e233-4dff-87e0-8992a65c8dd0) (uuid fed6a1e7-e233-4dff-87e0-8992a65c8dd0)
) )
(no_connect (at 69.85 85.09) (uuid 03d57b22-a0ad-4d3d-9d1c-5573371e6c2f)) (no_connect (at 72.39 83.82) (uuid 03d57b22-a0ad-4d3d-9d1c-5573371e6c2f))
(no_connect (at 102.87 66.04) (uuid 100bf17a-7951-4909-be51-25d2aab32c49)) (no_connect (at 102.87 66.04) (uuid 100bf17a-7951-4909-be51-25d2aab32c49))
(no_connect (at 69.85 82.55) (uuid 159c8092-f459-40eb-b409-c2cace814e6e)) (no_connect (at 72.39 81.28) (uuid 159c8092-f459-40eb-b409-c2cace814e6e))
(no_connect (at 69.85 123.19) (uuid 2949af22-2432-469e-9f07-eee60be8acbd)) (no_connect (at 72.39 121.92) (uuid 2949af22-2432-469e-9f07-eee60be8acbd))
(no_connect (at 69.85 118.11) (uuid 356199c8-c0f7-4995-bef0-53ad752a30c5)) (no_connect (at 72.39 116.84) (uuid 356199c8-c0f7-4995-bef0-53ad752a30c5))
(no_connect (at 38.1 60.96) (uuid 39614f9f-2df5-492b-a093-45b7a48e295d)) (no_connect (at 38.1 60.96) (uuid 39614f9f-2df5-492b-a093-45b7a48e295d))
(no_connect (at 69.85 120.65) (uuid 3997254a-8057-4464-ba07-e37f0720cbd8)) (no_connect (at 72.39 119.38) (uuid 3997254a-8057-4464-ba07-e37f0720cbd8))
(no_connect (at 38.1 63.5) (uuid 3cfddd47-0913-4692-89bb-8a69d22be5a7)) (no_connect (at 38.1 63.5) (uuid 3cfddd47-0913-4692-89bb-8a69d22be5a7))
(no_connect (at 102.87 68.58) (uuid 60a7dcc1-b459-4b69-be02-f48b66a815f0)) (no_connect (at 72.39 86.36) (uuid 68f7174d-ce7a-41b4-89f8-dd7e3ded57a1))
(no_connect (at 69.85 87.63) (uuid 68f7174d-ce7a-41b4-89f8-dd7e3ded57a1)) (no_connect (at 72.39 124.46) (uuid 7983b95c-14e4-4dec-ab4e-09c81071d9de))
(no_connect (at 69.85 125.73) (uuid 7983b95c-14e4-4dec-ab4e-09c81071d9de))
(no_connect (at 38.1 58.42) (uuid 85621d90-361e-49b6-9449-b54a16cce021)) (no_connect (at 38.1 58.42) (uuid 85621d90-361e-49b6-9449-b54a16cce021))
(no_connect (at 102.87 134.62) (uuid 85ec87eb-bb51-43f3-adf5-d04ca264762d)) (no_connect (at 102.87 134.62) (uuid 85ec87eb-bb51-43f3-adf5-d04ca264762d))
(no_connect (at 69.85 128.27) (uuid 914ccec4-572a-4ec0-b281-596368eea274)) (no_connect (at 72.39 127) (uuid 914ccec4-572a-4ec0-b281-596368eea274))
(no_connect (at 69.85 113.03) (uuid a9ff0621-eacb-4187-ba89-29f236eec881)) (no_connect (at 72.39 111.76) (uuid a9ff0621-eacb-4187-ba89-29f236eec881))
(no_connect (at 69.85 115.57) (uuid cb0f5a26-0827-4807-aea7-55b25947b9d5)) (no_connect (at 72.39 114.3) (uuid cb0f5a26-0827-4807-aea7-55b25947b9d5))
(no_connect (at 102.87 83.82) (uuid fbca7d5b-4a19-4f46-9697-74b3068179aa)) (no_connect (at 102.87 83.82) (uuid fbca7d5b-4a19-4f46-9697-74b3068179aa))
(bus_entry (at 43.18 73.66) (size 2.54 2.54) (bus_entry (at 43.18 73.66) (size 2.54 2.54)
@ -928,7 +927,7 @@
(uuid ff203a9b-3d2e-4e1d-a6f0-12d16e5120fb) (uuid ff203a9b-3d2e-4e1d-a6f0-12d16e5120fb)
) )
(wire (pts (xy 69.85 69.85) (xy 69.85 67.31)) (wire (pts (xy 72.39 68.58) (xy 72.39 66.04))
(stroke (width 0) (type default) (color 0 0 0 0)) (stroke (width 0) (type default) (color 0 0 0 0))
(uuid 00c9c1c9-df78-4bf8-a378-9edee7dafbe3) (uuid 00c9c1c9-df78-4bf8-a378-9edee7dafbe3)
) )
@ -972,7 +971,7 @@
(uuid 1bb31f70-f39f-469b-987a-0b43542d02c8) (uuid 1bb31f70-f39f-469b-987a-0b43542d02c8)
) )
(wire (pts (xy 69.85 95.25) (xy 69.85 97.79)) (wire (pts (xy 72.39 93.98) (xy 72.39 96.52))
(stroke (width 0) (type default) (color 0 0 0 0)) (stroke (width 0) (type default) (color 0 0 0 0))
(uuid 1d6c2d6c-bee0-401d-9749-98f17833afdd) (uuid 1d6c2d6c-bee0-401d-9749-98f17833afdd)
) )
@ -993,7 +992,7 @@
(stroke (width 0) (type default) (color 0 0 0 0)) (stroke (width 0) (type default) (color 0 0 0 0))
(uuid 2fea3f9c-a97b-4a77-88f7-98b3d8a00622) (uuid 2fea3f9c-a97b-4a77-88f7-98b3d8a00622)
) )
(wire (pts (xy 69.85 67.31) (xy 69.85 64.77)) (wire (pts (xy 72.39 66.04) (xy 72.39 63.5))
(stroke (width 0) (type default) (color 0 0 0 0)) (stroke (width 0) (type default) (color 0 0 0 0))
(uuid 3019c847-3ccf-490a-9dd6-694227c3fba5) (uuid 3019c847-3ccf-490a-9dd6-694227c3fba5)
) )
@ -1010,7 +1009,7 @@
(stroke (width 0) (type default) (color 0 0 0 0)) (stroke (width 0) (type default) (color 0 0 0 0))
(uuid 3742a313-c63e-4807-a7bf-be5a0ae2c781) (uuid 3742a313-c63e-4807-a7bf-be5a0ae2c781)
) )
(wire (pts (xy 69.85 62.23) (xy 69.85 59.69)) (wire (pts (xy 72.39 60.96) (xy 72.39 58.42))
(stroke (width 0) (type default) (color 0 0 0 0)) (stroke (width 0) (type default) (color 0 0 0 0))
(uuid 3a4d7b94-8b26-4555-b396-f2e88aea5db3) (uuid 3a4d7b94-8b26-4555-b396-f2e88aea5db3)
) )
@ -1061,10 +1060,6 @@
(stroke (width 0) (type default) (color 0 0 0 0)) (stroke (width 0) (type default) (color 0 0 0 0))
(uuid 5080cf4c-abda-4232-b279-44d0e6b9bde3) (uuid 5080cf4c-abda-4232-b279-44d0e6b9bde3)
) )
(wire (pts (xy 113.03 63.5) (xy 113.03 68.58))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 57881c8f-ea31-4450-bce6-89885e0a9bfd)
)
(wire (pts (xy 102.87 93.98) (xy 107.95 93.98)) (wire (pts (xy 102.87 93.98) (xy 107.95 93.98))
(stroke (width 0) (type default) (color 0 0 0 0)) (stroke (width 0) (type default) (color 0 0 0 0))
(uuid 5891aa7f-2e48-4492-8db1-d54810991036) (uuid 5891aa7f-2e48-4492-8db1-d54810991036)
@ -1091,7 +1086,12 @@
(stroke (width 0) (type default) (color 0 0 0 0)) (stroke (width 0) (type default) (color 0 0 0 0))
(uuid 5f8cf0a3-5039-4ac4-8310-e201f8c0505f) (uuid 5f8cf0a3-5039-4ac4-8310-e201f8c0505f)
) )
(wire (pts (xy 69.85 72.39) (xy 69.85 69.85)) (bus (pts (xy 45.72 68.58) (xy 46.99 68.58))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 61f8db63-6e8e-4258-ad83-bbd4865253ee)
)
(wire (pts (xy 72.39 71.12) (xy 72.39 68.58))
(stroke (width 0) (type default) (color 0 0 0 0)) (stroke (width 0) (type default) (color 0 0 0 0))
(uuid 6428332e-b689-4aa8-86bb-3bee31b6f177) (uuid 6428332e-b689-4aa8-86bb-3bee31b6f177)
) )
@ -1116,11 +1116,11 @@
(uuid 73cd58cf-64e3-4c8e-aa18-418cbbd5eda2) (uuid 73cd58cf-64e3-4c8e-aa18-418cbbd5eda2)
) )
(wire (pts (xy 69.85 80.01) (xy 69.85 77.47)) (wire (pts (xy 72.39 78.74) (xy 72.39 76.2))
(stroke (width 0) (type default) (color 0 0 0 0)) (stroke (width 0) (type default) (color 0 0 0 0))
(uuid 7401f61b-dc36-4f5a-ba3e-b101a22bf1fc) (uuid 7401f61b-dc36-4f5a-ba3e-b101a22bf1fc)
) )
(wire (pts (xy 69.85 64.77) (xy 69.85 62.23)) (wire (pts (xy 72.39 63.5) (xy 72.39 60.96))
(stroke (width 0) (type default) (color 0 0 0 0)) (stroke (width 0) (type default) (color 0 0 0 0))
(uuid 76a87642-211c-44f2-a488-190d6dc3728e) (uuid 76a87642-211c-44f2-a488-190d6dc3728e)
) )
@ -1162,10 +1162,6 @@
(uuid 7fb4b15d-ec89-4bf7-876e-be4946b25d3f) (uuid 7fb4b15d-ec89-4bf7-876e-be4946b25d3f)
) )
(wire (pts (xy 102.87 63.5) (xy 113.03 63.5))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 7fd11519-eb9e-4413-8ca2-e43e38c699f6)
)
(wire (pts (xy 38.1 119.38) (xy 43.18 119.38)) (wire (pts (xy 38.1 119.38) (xy 43.18 119.38))
(stroke (width 0) (type default) (color 0 0 0 0)) (stroke (width 0) (type default) (color 0 0 0 0))
(uuid 84e154cc-34e9-48ac-ab7e-fc52b3bc90d0) (uuid 84e154cc-34e9-48ac-ab7e-fc52b3bc90d0)
@ -1196,7 +1192,7 @@
(stroke (width 0) (type default) (color 0 0 0 0)) (stroke (width 0) (type default) (color 0 0 0 0))
(uuid 99c0b885-9395-4eaa-a204-8d7dea094883) (uuid 99c0b885-9395-4eaa-a204-8d7dea094883)
) )
(wire (pts (xy 69.85 133.35) (xy 69.85 135.89)) (wire (pts (xy 72.39 132.08) (xy 72.39 134.62))
(stroke (width 0) (type default) (color 0 0 0 0)) (stroke (width 0) (type default) (color 0 0 0 0))
(uuid 9b4851fe-4e2f-4de0-a685-8e53004d88aa) (uuid 9b4851fe-4e2f-4de0-a685-8e53004d88aa)
) )
@ -1218,7 +1214,7 @@
(uuid a48abe71-c26f-4733-9578-7b7091fac6a2) (uuid a48abe71-c26f-4733-9578-7b7091fac6a2)
) )
(wire (pts (xy 69.85 92.71) (xy 69.85 95.25)) (wire (pts (xy 72.39 91.44) (xy 72.39 93.98))
(stroke (width 0) (type default) (color 0 0 0 0)) (stroke (width 0) (type default) (color 0 0 0 0))
(uuid a4971cc2-2bc0-4979-86df-10f6aaaa3b65) (uuid a4971cc2-2bc0-4979-86df-10f6aaaa3b65)
) )
@ -1234,7 +1230,7 @@
(stroke (width 0) (type default) (color 0 0 0 0)) (stroke (width 0) (type default) (color 0 0 0 0))
(uuid ab26a42e-b7f6-4a80-b26c-c01085e448c7) (uuid ab26a42e-b7f6-4a80-b26c-c01085e448c7)
) )
(wire (pts (xy 69.85 77.47) (xy 69.85 74.93)) (wire (pts (xy 72.39 76.2) (xy 72.39 73.66))
(stroke (width 0) (type default) (color 0 0 0 0)) (stroke (width 0) (type default) (color 0 0 0 0))
(uuid ad4fcc27-bf1e-4e2e-ab26-9b8032da7693) (uuid ad4fcc27-bf1e-4e2e-ab26-9b8032da7693)
) )
@ -1242,6 +1238,10 @@
(stroke (width 0) (type default) (color 0 0 0 0)) (stroke (width 0) (type default) (color 0 0 0 0))
(uuid b02d02f6-d244-430b-bb33-eb4c9b09ade2) (uuid b02d02f6-d244-430b-bb33-eb4c9b09ade2)
) )
(bus (pts (xy 110.49 93.98) (xy 111.76 93.98))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid b4cc6bb1-3547-4184-a6ad-61770c704cbd)
)
(wire (pts (xy 38.1 104.14) (xy 43.18 104.14)) (wire (pts (xy 38.1 104.14) (xy 43.18 104.14))
(stroke (width 0) (type default) (color 0 0 0 0)) (stroke (width 0) (type default) (color 0 0 0 0))
@ -1260,7 +1260,7 @@
(uuid c374668c-56af-42dd-a650-35352e96de63) (uuid c374668c-56af-42dd-a650-35352e96de63)
) )
(wire (pts (xy 69.85 74.93) (xy 69.85 72.39)) (wire (pts (xy 72.39 73.66) (xy 72.39 71.12))
(stroke (width 0) (type default) (color 0 0 0 0)) (stroke (width 0) (type default) (color 0 0 0 0))
(uuid c7524402-4dbd-4d05-888d-edab7e79a150) (uuid c7524402-4dbd-4d05-888d-edab7e79a150)
) )
@ -1273,7 +1273,7 @@
(uuid c9472688-f970-4de5-b835-517dfc9f706b) (uuid c9472688-f970-4de5-b835-517dfc9f706b)
) )
(wire (pts (xy 72.39 59.69) (xy 69.85 59.69)) (wire (pts (xy 74.93 58.42) (xy 72.39 58.42))
(stroke (width 0) (type default) (color 0 0 0 0)) (stroke (width 0) (type default) (color 0 0 0 0))
(uuid cfec88d2-05ea-4320-9be6-2559d89ee700) (uuid cfec88d2-05ea-4320-9be6-2559d89ee700)
) )
@ -1294,7 +1294,7 @@
(stroke (width 0) (type default) (color 0 0 0 0)) (stroke (width 0) (type default) (color 0 0 0 0))
(uuid e2349eb5-0f2d-4c2a-b154-1cfe1ab9cd91) (uuid e2349eb5-0f2d-4c2a-b154-1cfe1ab9cd91)
) )
(wire (pts (xy 77.47 130.81) (xy 69.85 130.81)) (wire (pts (xy 80.01 129.54) (xy 72.39 129.54))
(stroke (width 0) (type default) (color 0 0 0 0)) (stroke (width 0) (type default) (color 0 0 0 0))
(uuid e3903eeb-8b72-4b40-a088-cbbba270c01b) (uuid e3903eeb-8b72-4b40-a088-cbbba270c01b)
) )
@ -1303,7 +1303,7 @@
(uuid e6c527f0-095b-4282-abfa-4a716c6205f5) (uuid e6c527f0-095b-4282-abfa-4a716c6205f5)
) )
(wire (pts (xy 69.85 97.79) (xy 69.85 100.33)) (wire (pts (xy 72.39 96.52) (xy 72.39 99.06))
(stroke (width 0) (type default) (color 0 0 0 0)) (stroke (width 0) (type default) (color 0 0 0 0))
(uuid e73ef891-c9f9-42ab-894b-b2580ee0b0a1) (uuid e73ef891-c9f9-42ab-894b-b2580ee0b0a1)
) )
@ -1324,7 +1324,7 @@
(uuid eb2a54fb-5e1e-4ee1-a74e-d6a2abf55d80) (uuid eb2a54fb-5e1e-4ee1-a74e-d6a2abf55d80)
) )
(wire (pts (xy 69.85 90.17) (xy 69.85 92.71)) (wire (pts (xy 72.39 88.9) (xy 72.39 91.44))
(stroke (width 0) (type default) (color 0 0 0 0)) (stroke (width 0) (type default) (color 0 0 0 0))
(uuid ec1ade12-3e4c-4517-be56-01c5cfbeed11) (uuid ec1ade12-3e4c-4517-be56-01c5cfbeed11)
) )
@ -1350,11 +1350,11 @@
(uuid f387380a-e1fb-449a-8c5f-ba931e8194a3) (uuid f387380a-e1fb-449a-8c5f-ba931e8194a3)
) )
(wire (pts (xy 72.39 100.33) (xy 69.85 100.33)) (wire (pts (xy 74.93 99.06) (xy 72.39 99.06))
(stroke (width 0) (type default) (color 0 0 0 0)) (stroke (width 0) (type default) (color 0 0 0 0))
(uuid f46fb303-7470-41c0-b6e8-4553c1d6503f) (uuid f46fb303-7470-41c0-b6e8-4553c1d6503f)
) )
(wire (pts (xy 72.39 135.89) (xy 69.85 135.89)) (wire (pts (xy 74.93 134.62) (xy 72.39 134.62))
(stroke (width 0) (type default) (color 0 0 0 0)) (stroke (width 0) (type default) (color 0 0 0 0))
(uuid f58742f8-e57e-4646-a6f5-0463e0eceeb8) (uuid f58742f8-e57e-4646-a6f5-0463e0eceeb8)
) )
@ -1541,7 +1541,7 @@
(effects (font (size 1.27 1.27)) (justify left)) (effects (font (size 1.27 1.27)) (justify left))
(uuid 0208dcec-5844-41d6-8382-4437ac8ac82d) (uuid 0208dcec-5844-41d6-8382-4437ac8ac82d)
) )
(hierarchical_label "~{IPL}2" (shape output) (at 69.85 107.95 0) (hierarchical_label "~{IPL}2" (shape output) (at 72.39 106.68 0)
(effects (font (size 1.27 1.27)) (justify left)) (effects (font (size 1.27 1.27)) (justify left))
(uuid 1569382e-a4f5-4166-a19c-b78580f8c980) (uuid 1569382e-a4f5-4166-a19c-b78580f8c980)
) )
@ -1561,7 +1561,7 @@
(effects (font (size 1.27 1.27)) (justify left)) (effects (font (size 1.27 1.27)) (justify left))
(uuid 4208e41d-1d0a-40b9-bf94-fcbeb6562f9d) (uuid 4208e41d-1d0a-40b9-bf94-fcbeb6562f9d)
) )
(hierarchical_label "~{IPL}1" (shape output) (at 69.85 105.41 0) (hierarchical_label "~{IPL}1" (shape output) (at 72.39 104.14 0)
(effects (font (size 1.27 1.27)) (justify left)) (effects (font (size 1.27 1.27)) (justify left))
(uuid 4625ef31-ba9f-4b3e-8ebc-93b4658ad74a) (uuid 4625ef31-ba9f-4b3e-8ebc-93b4658ad74a)
) )
@ -1573,7 +1573,7 @@
(effects (font (size 1.27 1.27)) (justify left)) (effects (font (size 1.27 1.27)) (justify left))
(uuid 60d30b2f-02cb-42f2-b2ed-c84cb33e3e36) (uuid 60d30b2f-02cb-42f2-b2ed-c84cb33e3e36)
) )
(hierarchical_label "A[23..1]" (shape bidirectional) (at 45.72 68.58 0) (hierarchical_label "A[23..1]" (shape bidirectional) (at 46.99 68.58 0)
(effects (font (size 1.27 1.27)) (justify left)) (effects (font (size 1.27 1.27)) (justify left))
(uuid 710852c3-85af-44f2-af12-adc5798f2795) (uuid 710852c3-85af-44f2-af12-adc5798f2795)
) )
@ -1581,15 +1581,15 @@
(effects (font (size 1.27 1.27)) (justify left)) (effects (font (size 1.27 1.27)) (justify left))
(uuid 933a17ae-06d4-4de3-aae1-d3835cc0d957) (uuid 933a17ae-06d4-4de3-aae1-d3835cc0d957)
) )
(hierarchical_label "D[15..0]" (shape bidirectional) (at 110.49 93.98 0) (hierarchical_label "D[15..0]" (shape bidirectional) (at 111.76 93.98 0)
(effects (font (size 1.27 1.27)) (justify left)) (effects (font (size 1.27 1.27)) (justify left))
(uuid 96815f61-f3f5-43c2-b68f-856577233f16) (uuid 96815f61-f3f5-43c2-b68f-856577233f16)
) )
(hierarchical_label "~{BERR}" (shape output) (at 69.85 110.49 0) (hierarchical_label "~{BERR}" (shape output) (at 72.39 109.22 0)
(effects (font (size 1.27 1.27)) (justify left)) (effects (font (size 1.27 1.27)) (justify left))
(uuid a2ead14b-89a8-4438-a7df-7876de28e69a) (uuid a2ead14b-89a8-4438-a7df-7876de28e69a)
) )
(hierarchical_label "~{IPL}0" (shape output) (at 69.85 102.87 0) (hierarchical_label "~{IPL}0" (shape output) (at 72.39 101.6 0)
(effects (font (size 1.27 1.27)) (justify left)) (effects (font (size 1.27 1.27)) (justify left))
(uuid a6694369-d7a9-41d0-a88e-8a3c16982564) (uuid a6694369-d7a9-41d0-a88e-8a3c16982564)
) )
@ -1605,6 +1605,14 @@
(effects (font (size 1.27 1.27)) (justify left)) (effects (font (size 1.27 1.27)) (justify left))
(uuid df3e0d78-29b1-4811-9600-571610f4b8a8) (uuid df3e0d78-29b1-4811-9600-571610f4b8a8)
) )
(hierarchical_label "~{BG}" (shape output) (at 102.87 68.58 0)
(effects (font (size 1.27 1.27)) (justify left))
(uuid dfa923af-e317-49a2-8f50-ed13e05cb0c1)
)
(hierarchical_label "~{BR}" (shape input) (at 102.87 63.5 0)
(effects (font (size 1.27 1.27)) (justify left))
(uuid ee8a6fd8-1e7f-4e43-ab8e-8f82128f2170)
)
(symbol (lib_id "GW_Connector:MacSEPDS") (at 34.29 100.33 0) (unit 1) (symbol (lib_id "GW_Connector:MacSEPDS") (at 34.29 100.33 0) (unit 1)
(in_bom yes) (on_board yes) (in_bom yes) (on_board yes)
@ -1651,15 +1659,15 @@
(pin "A9" (uuid 8c4e0e15-73c5-4a3c-af3e-a7a172316f69)) (pin "A9" (uuid 8c4e0e15-73c5-4a3c-af3e-a7a172316f69))
) )
(symbol (lib_id "GW_Connector:MacSEPDS") (at 66.04 101.6 0) (unit 2) (symbol (lib_id "GW_Connector:MacSEPDS") (at 68.58 100.33 0) (unit 2)
(in_bom yes) (on_board yes) (in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005f6df4c8) (uuid 00000000-0000-0000-0000-00005f6df4c8)
(property "Reference" "J1" (id 0) (at 62.5348 52.9082 0)) (property "Reference" "J1" (id 0) (at 65.0748 51.6382 0))
(property "Value" "MacSEPDS" (id 1) (at 62.5348 55.2196 0)) (property "Value" "MacSEPDS" (id 1) (at 65.0748 53.9496 0))
(property "Footprint" "stdpads:DIN41612_R_3x32_Male_Vertical_THT" (id 2) (at 66.04 55.88 0) (property "Footprint" "stdpads:DIN41612_R_3x32_Male_Vertical_THT" (id 2) (at 68.58 54.61 0)
(effects (font (size 1.27 1.27)) hide) (effects (font (size 1.27 1.27)) hide)
) )
(property "Datasheet" "" (id 3) (at 66.04 55.88 0) (property "Datasheet" "" (id 3) (at 68.58 54.61 0)
(effects (font (size 1.27 1.27)) hide) (effects (font (size 1.27 1.27)) hide)
) )
(pin "B1" (uuid a2d090b5-bdc2-4863-87f2-2ea46a246d3d)) (pin "B1" (uuid a2d090b5-bdc2-4863-87f2-2ea46a246d3d))
@ -1741,33 +1749,33 @@
(pin "C9" (uuid 0844b132-5386-469c-86ff-d527c8a00608)) (pin "C9" (uuid 0844b132-5386-469c-86ff-d527c8a00608))
) )
(symbol (lib_id "power:+5V") (at 72.39 100.33 0) (unit 1) (symbol (lib_id "power:+5V") (at 74.93 99.06 0) (unit 1)
(in_bom yes) (on_board yes) (in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005f6e26cc) (uuid 00000000-0000-0000-0000-00005f6e26cc)
(property "Reference" "#PWR0143" (id 0) (at 72.39 104.14 0) (property "Reference" "#PWR0143" (id 0) (at 74.93 102.87 0)
(effects (font (size 1.27 1.27)) hide) (effects (font (size 1.27 1.27)) hide)
) )
(property "Value" "+5V" (id 1) (at 72.39 96.52 0)) (property "Value" "+5V" (id 1) (at 74.93 95.25 0))
(property "Footprint" "" (id 2) (at 72.39 100.33 0) (property "Footprint" "" (id 2) (at 74.93 99.06 0)
(effects (font (size 1.27 1.27)) hide) (effects (font (size 1.27 1.27)) hide)
) )
(property "Datasheet" "" (id 3) (at 72.39 100.33 0) (property "Datasheet" "" (id 3) (at 74.93 99.06 0)
(effects (font (size 1.27 1.27)) hide) (effects (font (size 1.27 1.27)) hide)
) )
(pin "1" (uuid 6287def5-62b2-4024-b166-e38fa9a13630)) (pin "1" (uuid 6287def5-62b2-4024-b166-e38fa9a13630))
) )
(symbol (lib_id "power:GND") (at 72.39 59.69 0) (unit 1) (symbol (lib_id "power:GND") (at 74.93 58.42 0) (unit 1)
(in_bom yes) (on_board yes) (in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005f6e368e) (uuid 00000000-0000-0000-0000-00005f6e368e)
(property "Reference" "#PWR0144" (id 0) (at 72.39 66.04 0) (property "Reference" "#PWR0144" (id 0) (at 74.93 64.77 0)
(effects (font (size 1.27 1.27)) hide) (effects (font (size 1.27 1.27)) hide)
) )
(property "Value" "GND" (id 1) (at 72.39 63.5 0)) (property "Value" "GND" (id 1) (at 74.93 62.23 0))
(property "Footprint" "" (id 2) (at 72.39 59.69 0) (property "Footprint" "" (id 2) (at 74.93 58.42 0)
(effects (font (size 1.27 1.27)) hide) (effects (font (size 1.27 1.27)) hide)
) )
(property "Datasheet" "" (id 3) (at 72.39 59.69 0) (property "Datasheet" "" (id 3) (at 74.93 58.42 0)
(effects (font (size 1.27 1.27)) hide) (effects (font (size 1.27 1.27)) hide)
) )
(pin "1" (uuid 3b6dd4ee-11c2-4737-a9fa-7ec95710931c)) (pin "1" (uuid 3b6dd4ee-11c2-4737-a9fa-7ec95710931c))
@ -1821,17 +1829,17 @@
(pin "1" (uuid e6f9345b-cf9f-4319-8dda-75e3abf6a605)) (pin "1" (uuid e6f9345b-cf9f-4319-8dda-75e3abf6a605))
) )
(symbol (lib_id "power:GND") (at 77.47 130.81 0) (unit 1) (symbol (lib_id "power:GND") (at 80.01 129.54 0) (unit 1)
(in_bom yes) (on_board yes) (in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005f6e6fcb) (uuid 00000000-0000-0000-0000-00005f6e6fcb)
(property "Reference" "#PWR0149" (id 0) (at 77.47 137.16 0) (property "Reference" "#PWR0149" (id 0) (at 80.01 135.89 0)
(effects (font (size 1.27 1.27)) hide) (effects (font (size 1.27 1.27)) hide)
) )
(property "Value" "GND" (id 1) (at 77.47 134.62 0)) (property "Value" "GND" (id 1) (at 80.01 133.35 0))
(property "Footprint" "" (id 2) (at 77.47 130.81 0) (property "Footprint" "" (id 2) (at 80.01 129.54 0)
(effects (font (size 1.27 1.27)) hide) (effects (font (size 1.27 1.27)) hide)
) )
(property "Datasheet" "" (id 3) (at 77.47 130.81 0) (property "Datasheet" "" (id 3) (at 80.01 129.54 0)
(effects (font (size 1.27 1.27)) hide) (effects (font (size 1.27 1.27)) hide)
) )
(pin "1" (uuid d5ddcb68-69ee-47bb-947f-7c80028aafb7)) (pin "1" (uuid d5ddcb68-69ee-47bb-947f-7c80028aafb7))
@ -2027,33 +2035,17 @@
(pin "1" (uuid 40147430-75f3-4901-978e-fbb941026134)) (pin "1" (uuid 40147430-75f3-4901-978e-fbb941026134))
) )
(symbol (lib_id "power:GND") (at 113.03 68.58 0) (unit 1) (symbol (lib_id "power:-5V") (at 72.39 137.16 180) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-000061704865)
(property "Reference" "#PWR0156" (id 0) (at 113.03 74.93 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "GND" (id 1) (at 113.03 72.39 0))
(property "Footprint" "" (id 2) (at 113.03 68.58 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (id 3) (at 113.03 68.58 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 22962f40-7568-4570-bdcf-54a33dacd259))
)
(symbol (lib_id "power:-5V") (at 69.85 138.43 180) (unit 1)
(in_bom yes) (on_board yes) (in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00006176540d) (uuid 00000000-0000-0000-0000-00006176540d)
(property "Reference" "#PWR0109" (id 0) (at 69.85 140.97 0) (property "Reference" "#PWR0109" (id 0) (at 72.39 139.7 0)
(effects (font (size 1.27 1.27)) hide) (effects (font (size 1.27 1.27)) hide)
) )
(property "Value" "-5V" (id 1) (at 69.85 142.24 0)) (property "Value" "-5V" (id 1) (at 72.39 140.97 0))
(property "Footprint" "" (id 2) (at 69.85 138.43 0) (property "Footprint" "" (id 2) (at 72.39 137.16 0)
(effects (font (size 1.27 1.27)) hide) (effects (font (size 1.27 1.27)) hide)
) )
(property "Datasheet" "" (id 3) (at 69.85 138.43 0) (property "Datasheet" "" (id 3) (at 72.39 137.16 0)
(effects (font (size 1.27 1.27)) hide) (effects (font (size 1.27 1.27)) hide)
) )
(pin "1" (uuid 53972f30-0e63-44ba-8151-bc725f5d8800)) (pin "1" (uuid 53972f30-0e63-44ba-8151-bc725f5d8800))
@ -2075,17 +2067,17 @@
(pin "1" (uuid 9bd119a1-3f5e-4d3e-99ad-3842a1f9d5e1)) (pin "1" (uuid 9bd119a1-3f5e-4d3e-99ad-3842a1f9d5e1))
) )
(symbol (lib_id "power:+12V") (at 72.39 135.89 0) (unit 1) (symbol (lib_id "power:+12V") (at 74.93 134.62 0) (unit 1)
(in_bom yes) (on_board yes) (in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-000061774c31) (uuid 00000000-0000-0000-0000-000061774c31)
(property "Reference" "#PWR0111" (id 0) (at 72.39 139.7 0) (property "Reference" "#PWR0111" (id 0) (at 74.93 138.43 0)
(effects (font (size 1.27 1.27)) hide) (effects (font (size 1.27 1.27)) hide)
) )
(property "Value" "+12V" (id 1) (at 72.39 132.08 0)) (property "Value" "+12V" (id 1) (at 74.93 130.81 0))
(property "Footprint" "" (id 2) (at 72.39 135.89 0) (property "Footprint" "" (id 2) (at 74.93 134.62 0)
(effects (font (size 1.27 1.27)) hide) (effects (font (size 1.27 1.27)) hide)
) )
(property "Datasheet" "" (id 3) (at 72.39 135.89 0) (property "Datasheet" "" (id 3) (at 74.93 134.62 0)
(effects (font (size 1.27 1.27)) hide) (effects (font (size 1.27 1.27)) hide)
) )
(pin "1" (uuid 5ae5dfb4-7aa0-4370-8d85-5e29d928370c)) (pin "1" (uuid 5ae5dfb4-7aa0-4370-8d85-5e29d928370c))

View File

@ -436,9 +436,15 @@
) )
) )
(junction (at 156.21 115.57) (diameter 0) (color 0 0 0 0)
(uuid 124ce659-22a5-4a84-b30d-e5ec849b4e60)
)
(junction (at 265.43 111.76) (diameter 0) (color 0 0 0 0) (junction (at 265.43 111.76) (diameter 0) (color 0 0 0 0)
(uuid 24fbbd33-4896-414c-ba79-167809dd0e90) (uuid 24fbbd33-4896-414c-ba79-167809dd0e90)
) )
(junction (at 166.37 120.65) (diameter 0) (color 0 0 0 0)
(uuid 36e0febe-ee03-4736-a347-1125cc37f35b)
)
(junction (at 265.43 106.68) (diameter 0) (color 0 0 0 0) (junction (at 265.43 106.68) (diameter 0) (color 0 0 0 0)
(uuid 54ea3c91-f824-4ee5-a744-4634dc7b3e93) (uuid 54ea3c91-f824-4ee5-a744-4634dc7b3e93)
) )
@ -454,9 +460,15 @@
(junction (at 125.73 115.57) (diameter 0) (color 0 0 0 0) (junction (at 125.73 115.57) (diameter 0) (color 0 0 0 0)
(uuid 856c0384-2dfc-47d2-a66c-a145c3149f14) (uuid 856c0384-2dfc-47d2-a66c-a145c3149f14)
) )
(junction (at 156.21 120.65) (diameter 0) (color 0 0 0 0)
(uuid c0bd1545-59cd-44cf-988b-e20f15f0765b)
)
(junction (at 135.89 120.65) (diameter 0) (color 0 0 0 0) (junction (at 135.89 120.65) (diameter 0) (color 0 0 0 0)
(uuid cad44c02-7fd2-4e9a-b93a-e1b73d6a3ee6) (uuid cad44c02-7fd2-4e9a-b93a-e1b73d6a3ee6)
) )
(junction (at 146.05 115.57) (diameter 0) (color 0 0 0 0)
(uuid d3ee6eac-d3bf-4f23-b360-491cc573114b)
)
(junction (at 275.59 111.76) (diameter 0) (color 0 0 0 0) (junction (at 275.59 111.76) (diameter 0) (color 0 0 0 0)
(uuid da8cef22-8613-4e08-9b41-406c689b1603) (uuid da8cef22-8613-4e08-9b41-406c689b1603)
) )
@ -471,10 +483,6 @@
(stroke (width 0) (type default) (color 0 0 0 0)) (stroke (width 0) (type default) (color 0 0 0 0))
(uuid 01422660-08c8-48f3-98ca-26cbe7f98f5b) (uuid 01422660-08c8-48f3-98ca-26cbe7f98f5b)
) )
(bus_entry (at 205.74 114.3) (size -2.54 2.54)
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 077985bd-c8a6-43b8-af30-1141a8334306)
)
(bus_entry (at 69.85 118.11) (size 2.54 -2.54) (bus_entry (at 69.85 118.11) (size 2.54 -2.54)
(stroke (width 0) (type default) (color 0 0 0 0)) (stroke (width 0) (type default) (color 0 0 0 0))
(uuid 08bb8c58-1868-4a96-8aaa-36d9e141ec38) (uuid 08bb8c58-1868-4a96-8aaa-36d9e141ec38)
@ -655,10 +663,6 @@
(stroke (width 0) (type default) (color 0 0 0 0)) (stroke (width 0) (type default) (color 0 0 0 0))
(uuid 88fb8817-4ee2-4465-a9af-37fedc8b835b) (uuid 88fb8817-4ee2-4465-a9af-37fedc8b835b)
) )
(bus_entry (at 205.74 68.58) (size -2.54 2.54)
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 8aaa3345-c586-4729-9584-3137be876023)
)
(bus_entry (at 69.85 90.17) (size 2.54 -2.54) (bus_entry (at 69.85 90.17) (size 2.54 -2.54)
(stroke (width 0) (type default) (color 0 0 0 0)) (stroke (width 0) (type default) (color 0 0 0 0))
(uuid 8b9c1722-a1fd-4391-b4b4-854b2cc1549f) (uuid 8b9c1722-a1fd-4391-b4b4-854b2cc1549f)
@ -857,10 +861,6 @@
(stroke (width 0) (type default) (color 0 0 0 0)) (stroke (width 0) (type default) (color 0 0 0 0))
(uuid 02895aea-3803-4b34-aaa6-c68c91c22fb6) (uuid 02895aea-3803-4b34-aaa6-c68c91c22fb6)
) )
(bus (pts (xy 203.2 114.3) (xy 203.2 116.84))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 02ef6ba5-01cf-4775-8ed2-2f5400027aad)
)
(bus (pts (xy 69.85 74.93) (xy 69.85 77.47)) (bus (pts (xy 69.85 74.93) (xy 69.85 77.47))
(stroke (width 0) (type default) (color 0 0 0 0)) (stroke (width 0) (type default) (color 0 0 0 0))
(uuid 0577beb6-fe9c-49d6-88c3-b0dc41d06ee3) (uuid 0577beb6-fe9c-49d6-88c3-b0dc41d06ee3)
@ -1041,10 +1041,6 @@
(uuid 427ea469-a00c-48a4-8283-a7d9e027923d) (uuid 427ea469-a00c-48a4-8283-a7d9e027923d)
) )
(wire (pts (xy 210.82 114.3) (xy 205.74 114.3))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 44c331f8-33e4-4ba1-bb1e-3071cc175bfd)
)
(wire (pts (xy 210.82 76.2) (xy 205.74 76.2)) (wire (pts (xy 210.82 76.2) (xy 205.74 76.2))
(stroke (width 0) (type default) (color 0 0 0 0)) (stroke (width 0) (type default) (color 0 0 0 0))
(uuid 4612f9f0-1343-4ba7-94dd-7d3e9fc08dad) (uuid 4612f9f0-1343-4ba7-94dd-7d3e9fc08dad)
@ -1130,6 +1126,10 @@
(stroke (width 0) (type default) (color 0 0 0 0)) (stroke (width 0) (type default) (color 0 0 0 0))
(uuid 60ca4740-3009-4486-93d6-c2502818122b) (uuid 60ca4740-3009-4486-93d6-c2502818122b)
) )
(wire (pts (xy 146.05 120.65) (xy 156.21 120.65))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 631fad6b-39ab-4683-8f03-06d96c2cffa8)
)
(wire (pts (xy 77.47 107.95) (xy 72.39 107.95)) (wire (pts (xy 77.47 107.95) (xy 72.39 107.95))
(stroke (width 0) (type default) (color 0 0 0 0)) (stroke (width 0) (type default) (color 0 0 0 0))
(uuid 63ace593-9960-4666-bb08-47e6f085cee8) (uuid 63ace593-9960-4666-bb08-47e6f085cee8)
@ -1151,6 +1151,10 @@
(uuid 6c3ce6af-6581-49de-9e44-109535b22e0f) (uuid 6c3ce6af-6581-49de-9e44-109535b22e0f)
) )
(wire (pts (xy 146.05 115.57) (xy 156.21 115.57))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 6cbd23ab-044d-4d6c-a58a-ab9085806702)
)
(wire (pts (xy 210.82 66.04) (xy 205.74 66.04)) (wire (pts (xy 210.82 66.04) (xy 205.74 66.04))
(stroke (width 0) (type default) (color 0 0 0 0)) (stroke (width 0) (type default) (color 0 0 0 0))
(uuid 6d401fdd-c1f6-4321-96c4-4843b6143be9) (uuid 6d401fdd-c1f6-4321-96c4-4843b6143be9)
@ -1246,10 +1250,6 @@
(uuid 840a2ba2-91b3-4f28-9c93-d358b4ac2daa) (uuid 840a2ba2-91b3-4f28-9c93-d358b4ac2daa)
) )
(wire (pts (xy 210.82 68.58) (xy 205.74 68.58))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 845f389f-ac5c-4af4-aa4f-3b1355707a5f)
)
(wire (pts (xy 77.47 87.63) (xy 72.39 87.63)) (wire (pts (xy 77.47 87.63) (xy 72.39 87.63))
(stroke (width 0) (type default) (color 0 0 0 0)) (stroke (width 0) (type default) (color 0 0 0 0))
(uuid 874dbaf8-adf6-4f01-81a0-e037bac53346) (uuid 874dbaf8-adf6-4f01-81a0-e037bac53346)
@ -1300,10 +1300,6 @@
(stroke (width 0) (type default) (color 0 0 0 0)) (stroke (width 0) (type default) (color 0 0 0 0))
(uuid 93579848-3c8e-40db-a11f-27e2944f5ed1) (uuid 93579848-3c8e-40db-a11f-27e2944f5ed1)
) )
(bus (pts (xy 203.2 71.12) (xy 203.2 76.2))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 9379168f-48ca-4895-ae2a-f80925ada292)
)
(bus (pts (xy 105.41 77.47) (xy 105.41 80.01)) (bus (pts (xy 105.41 77.47) (xy 105.41 80.01))
(stroke (width 0) (type default) (color 0 0 0 0)) (stroke (width 0) (type default) (color 0 0 0 0))
(uuid 95bbe087-b236-4671-a367-256052c9a762) (uuid 95bbe087-b236-4671-a367-256052c9a762)
@ -1395,6 +1391,11 @@
(stroke (width 0) (type default) (color 0 0 0 0)) (stroke (width 0) (type default) (color 0 0 0 0))
(uuid b13ec6aa-ced8-41c3-a177-f5f5e220aa52) (uuid b13ec6aa-ced8-41c3-a177-f5f5e220aa52)
) )
(wire (pts (xy 156.21 115.57) (xy 166.37 115.57))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid b16f84f3-f0f9-4259-bc5f-fc9ce360b3ba)
)
(bus (pts (xy 203.2 127) (xy 203.2 129.54)) (bus (pts (xy 203.2 127) (xy 203.2 129.54))
(stroke (width 0) (type default) (color 0 0 0 0)) (stroke (width 0) (type default) (color 0 0 0 0))
(uuid b1a9dace-99c0-47cc-a010-0c83878d27cc) (uuid b1a9dace-99c0-47cc-a010-0c83878d27cc)
@ -1462,7 +1463,7 @@
(stroke (width 0) (type default) (color 0 0 0 0)) (stroke (width 0) (type default) (color 0 0 0 0))
(uuid c34f5129-9516-486b-b322-ada2d7baa6ba) (uuid c34f5129-9516-486b-b322-ada2d7baa6ba)
) )
(bus (pts (xy 203.2 68.58) (xy 203.2 71.12)) (bus (pts (xy 203.2 68.58) (xy 203.2 76.2))
(stroke (width 0) (type default) (color 0 0 0 0)) (stroke (width 0) (type default) (color 0 0 0 0))
(uuid c35b1d90-5923-4fad-9651-259dd8ec2387) (uuid c35b1d90-5923-4fad-9651-259dd8ec2387)
) )
@ -1484,6 +1485,10 @@
(stroke (width 0) (type default) (color 0 0 0 0)) (stroke (width 0) (type default) (color 0 0 0 0))
(uuid c96fb61f-984b-4e24-874e-ad2f1e86f9d7) (uuid c96fb61f-984b-4e24-874e-ad2f1e86f9d7)
) )
(wire (pts (xy 156.21 120.65) (xy 166.37 120.65))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid ca6a2ccc-3fc7-4ad2-a324-eb2962fcbcc3)
)
(bus (pts (xy 203.2 96.52) (xy 203.2 99.06)) (bus (pts (xy 203.2 96.52) (xy 203.2 99.06))
(stroke (width 0) (type default) (color 0 0 0 0)) (stroke (width 0) (type default) (color 0 0 0 0))
(uuid cb84ef73-1c8b-46e2-9c22-3f1a4b886d2c) (uuid cb84ef73-1c8b-46e2-9c22-3f1a4b886d2c)
@ -1596,7 +1601,7 @@
(stroke (width 0) (type default) (color 0 0 0 0)) (stroke (width 0) (type default) (color 0 0 0 0))
(uuid e9597133-3d67-41f8-aabc-5b61d8d3c3c1) (uuid e9597133-3d67-41f8-aabc-5b61d8d3c3c1)
) )
(bus (pts (xy 203.2 116.84) (xy 203.2 119.38)) (bus (pts (xy 203.2 114.3) (xy 203.2 119.38))
(stroke (width 0) (type default) (color 0 0 0 0)) (stroke (width 0) (type default) (color 0 0 0 0))
(uuid ec07f7cb-1f5a-4e22-8c50-0af8d56c5b06) (uuid ec07f7cb-1f5a-4e22-8c50-0af8d56c5b06)
) )
@ -1662,6 +1667,10 @@
(uuid fe2b05f5-675b-44d0-956c-c5829b7c692a) (uuid fe2b05f5-675b-44d0-956c-c5829b7c692a)
) )
(label "RA11" (at 210.82 71.12 180)
(effects (font (size 1.27 1.27)) (justify right bottom))
(uuid 008a590c-a485-4884-9974-ba5a4616cbf5)
)
(label "A6" (at 210.82 38.1 180) (label "A6" (at 210.82 38.1 180)
(effects (font (size 1.27 1.27)) (justify right bottom)) (effects (font (size 1.27 1.27)) (justify right bottom))
(uuid 01caafb3-af8a-4642-870c-c290b286d040) (uuid 01caafb3-af8a-4642-870c-c290b286d040)
@ -1742,10 +1751,6 @@
(effects (font (size 1.27 1.27)) (justify right bottom)) (effects (font (size 1.27 1.27)) (justify right bottom))
(uuid 3afae848-3ba1-40f3-a73d-cfa98c2ff8b2) (uuid 3afae848-3ba1-40f3-a73d-cfa98c2ff8b2)
) )
(label "A18" (at 210.82 114.3 180)
(effects (font (size 1.27 1.27)) (justify right bottom))
(uuid 3b199d04-ad2b-4bc0-b66c-8629e7796fdd)
)
(label "D11" (at 231.14 104.14 0) (label "D11" (at 231.14 104.14 0)
(effects (font (size 1.27 1.27)) (justify left bottom)) (effects (font (size 1.27 1.27)) (justify left bottom))
(uuid 4102ae0e-3d75-40cd-957b-0b4db5d3f5ee) (uuid 4102ae0e-3d75-40cd-957b-0b4db5d3f5ee)
@ -1762,14 +1767,14 @@
(effects (font (size 1.27 1.27)) (justify right bottom)) (effects (font (size 1.27 1.27)) (justify right bottom))
(uuid 4e1a7683-466d-4d67-bce5-496395f4b0d5) (uuid 4e1a7683-466d-4d67-bce5-496395f4b0d5)
) )
(label "RA8" (at 210.82 114.3 180)
(effects (font (size 1.27 1.27)) (justify right bottom))
(uuid 4f19a2b5-c53b-41d5-a9fa-fe21ce685968)
)
(label "D4" (at 97.79 85.09 0) (label "D4" (at 97.79 85.09 0)
(effects (font (size 1.27 1.27)) (justify left bottom)) (effects (font (size 1.27 1.27)) (justify left bottom))
(uuid 5125c4d9-cf5c-4fe5-9dc8-c939e40fcd6f) (uuid 5125c4d9-cf5c-4fe5-9dc8-c939e40fcd6f)
) )
(label "A18" (at 210.82 68.58 180)
(effects (font (size 1.27 1.27)) (justify right bottom))
(uuid 56801e6d-c4ab-4f7b-8289-2119a52fa227)
)
(label "D6" (at 97.79 87.63 0) (label "D6" (at 97.79 87.63 0)
(effects (font (size 1.27 1.27)) (justify left bottom)) (effects (font (size 1.27 1.27)) (justify left bottom))
(uuid 58728297-c362-4c70-a751-4d60ffa81b1a) (uuid 58728297-c362-4c70-a751-4d60ffa81b1a)
@ -1930,6 +1935,14 @@
(effects (font (size 1.27 1.27)) (justify right bottom)) (effects (font (size 1.27 1.27)) (justify right bottom))
(uuid b4203b01-a27f-440d-ad64-759637213d6e) (uuid b4203b01-a27f-440d-ad64-759637213d6e)
) )
(label "RA8" (at 210.82 68.58 180)
(effects (font (size 1.27 1.27)) (justify right bottom))
(uuid b60503d0-fd39-4ca5-a75c-73f752ee1e77)
)
(label "RA11" (at 210.82 119.38 180)
(effects (font (size 1.27 1.27)) (justify right bottom))
(uuid b6074471-20ba-4542-8f37-04be07af178d)
)
(label "A15" (at 210.82 81.28 180) (label "A15" (at 210.82 81.28 180)
(effects (font (size 1.27 1.27)) (justify right bottom)) (effects (font (size 1.27 1.27)) (justify right bottom))
(uuid b830f01d-0d9c-451a-9ac4-3e5744deb516) (uuid b830f01d-0d9c-451a-9ac4-3e5744deb516)
@ -2039,10 +2052,6 @@
(effects (font (size 1.27 1.27)) (justify left)) (effects (font (size 1.27 1.27)) (justify left))
(uuid 44cd273f-f3a1-4b9a-83a6-972b276409e1) (uuid 44cd273f-f3a1-4b9a-83a6-972b276409e1)
) )
(hierarchical_label "B" (shape input) (at 210.82 71.12 180)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 495ef5b6-8285-4e01-ae9b-3f1afeab01f1)
)
(hierarchical_label "~{OE}" (shape input) (at 97.79 135.89 0) (hierarchical_label "~{OE}" (shape input) (at 97.79 135.89 0)
(effects (font (size 1.27 1.27)) (justify left)) (effects (font (size 1.27 1.27)) (justify left))
(uuid 504cb9e4-5572-4208-bc9d-30a7efff8b9a) (uuid 504cb9e4-5572-4208-bc9d-30a7efff8b9a)
@ -2075,10 +2084,6 @@
(effects (font (size 1.27 1.27)) (justify left)) (effects (font (size 1.27 1.27)) (justify left))
(uuid 91637a62-ec43-463a-9edc-420af478d9cb) (uuid 91637a62-ec43-463a-9edc-420af478d9cb)
) )
(hierarchical_label "B" (shape input) (at 210.82 119.38 180)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 9e40d895-a947-4b33-a248-0d0dda788e56)
)
(hierarchical_label "~{RAS}" (shape input) (at 97.79 92.71 0) (hierarchical_label "~{RAS}" (shape input) (at 97.79 92.71 0)
(effects (font (size 1.27 1.27)) (justify left)) (effects (font (size 1.27 1.27)) (justify left))
(uuid a1223b95-aa11-427a-b201-9190a86a68be) (uuid a1223b95-aa11-427a-b201-9190a86a68be)
@ -2582,6 +2587,50 @@
(pin "1" (uuid d590efe6-8b8a-49ca-a2b8-1c8b75eb0589)) (pin "1" (uuid d590efe6-8b8a-49ca-a2b8-1c8b75eb0589))
) )
(symbol (lib_id "Device:C_Small") (at 166.37 118.11 0) (unit 1)
(in_bom yes) (on_board yes)
(uuid 288541b7-4c27-4a3d-a164-daf4be300490)
(property "Reference" "C51" (id 0) (at 167.64 116.84 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Value" "10u" (id 1) (at 167.64 119.38 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Footprint" "stdpads:C_0805" (id 2) (at 166.37 118.11 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (id 3) (at 166.37 118.11 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "LCSC Part" "C15850" (id 4) (at 166.37 118.11 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 595404d6-c1b3-4bbd-91de-e465514e5162))
(pin "2" (uuid 6eac18c8-4e96-4799-97cb-b578f159a843))
)
(symbol (lib_id "Device:C_Small") (at 156.21 118.11 0) (unit 1)
(in_bom yes) (on_board yes)
(uuid 7ea41be9-54e8-47ac-8fd2-9913b35b7ed9)
(property "Reference" "C50" (id 0) (at 157.48 116.84 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Value" "10u" (id 1) (at 157.48 119.38 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Footprint" "stdpads:C_0805" (id 2) (at 156.21 118.11 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (id 3) (at 156.21 118.11 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "LCSC Part" "C15850" (id 4) (at 156.21 118.11 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid cb0ba17e-ff65-4279-b8b0-6f07c22a6481))
(pin "2" (uuid 2eb3ac88-11fd-4806-9c22-515eebc22488))
)
(symbol (lib_id "power:GND") (at 275.59 111.76 0) (unit 1) (symbol (lib_id "power:GND") (at 275.59 111.76 0) (unit 1)
(in_bom yes) (on_board yes) (in_bom yes) (on_board yes)
(uuid 98a835a6-2a9b-4a90-9b44-451f01d50d0c) (uuid 98a835a6-2a9b-4a90-9b44-451f01d50d0c)
@ -2620,19 +2669,19 @@
(pin "2" (uuid c2cedebd-33c7-4889-9977-33f1c49e5e6f)) (pin "2" (uuid c2cedebd-33c7-4889-9977-33f1c49e5e6f))
) )
(symbol (lib_id "power:GND") (at 146.05 120.65 0) (unit 1) (symbol (lib_id "power:GND") (at 166.37 120.65 0) (unit 1)
(in_bom yes) (on_board yes) (in_bom yes) (on_board yes)
(uuid c7ccb402-2065-48b9-b3c6-8970af29b229) (uuid dd546e6f-4429-48b7-9308-c650e538ed3c)
(property "Reference" "#PWR0157" (id 0) (at 146.05 127 0) (property "Reference" "#PWR0132" (id 0) (at 166.37 127 0)
(effects (font (size 1.27 1.27)) hide) (effects (font (size 1.27 1.27)) hide)
) )
(property "Value" "GND" (id 1) (at 146.05 124.46 0)) (property "Value" "GND" (id 1) (at 166.37 124.46 0))
(property "Footprint" "" (id 2) (at 146.05 120.65 0) (property "Footprint" "" (id 2) (at 166.37 120.65 0)
(effects (font (size 1.27 1.27)) hide) (effects (font (size 1.27 1.27)) hide)
) )
(property "Datasheet" "" (id 3) (at 146.05 120.65 0) (property "Datasheet" "" (id 3) (at 166.37 120.65 0)
(effects (font (size 1.27 1.27)) hide) (effects (font (size 1.27 1.27)) hide)
) )
(pin "1" (uuid d80dcff9-10e2-49a6-a256-1e79e51db9dc)) (pin "1" (uuid 4b037cb4-52c0-4073-8911-d1899fddecbc))
) )
) )

Binary file not shown.

Binary file not shown.

Binary file not shown.

Binary file not shown.

Binary file not shown.

File diff suppressed because it is too large Load Diff

75
WarpSE.kicad_prl Normal file
View File

@ -0,0 +1,75 @@
{
"board": {
"active_layer": 0,
"active_layer_preset": "All Layers",
"auto_track_width": true,
"hidden_nets": [],
"high_contrast_mode": 0,
"net_color_mode": 1,
"opacity": {
"pads": 1.0,
"tracks": 1.0,
"vias": 1.0,
"zones": 0.6
},
"ratsnest_display_mode": 0,
"selection_filter": {
"dimensions": true,
"footprints": true,
"graphics": true,
"keepouts": true,
"lockedItems": true,
"otherItems": true,
"pads": true,
"text": true,
"tracks": true,
"vias": true,
"zones": true
},
"visible_items": [
0,
1,
2,
3,
4,
5,
8,
9,
10,
11,
12,
13,
14,
15,
16,
17,
18,
19,
20,
21,
22,
23,
24,
25,
26,
27,
28,
29,
30,
32,
33,
34,
35,
36
],
"visible_layers": "fffffff_ffffffff",
"zone_display_mode": 0
},
"meta": {
"filename": "WarpSE.kicad_prl",
"version": 3
},
"project": {
"files": []
}
}

View File

@ -33,9 +33,9 @@
"other_text_thickness": 0.15, "other_text_thickness": 0.15,
"other_text_upright": false, "other_text_upright": false,
"pads": { "pads": {
"drill": 0.762, "drill": 0.0,
"height": 1.524, "height": 0.4,
"width": 1.524 "width": 0.4
}, },
"silk_line_width": 0.12, "silk_line_width": 0.12,
"silk_text_italic": false, "silk_text_italic": false,
@ -395,7 +395,7 @@
"pinned_symbol_libs": [] "pinned_symbol_libs": []
}, },
"meta": { "meta": {
"filename": "Warp-SE.kicad_pro", "filename": "WarpSE.kicad_pro",
"version": 1 "version": 1
}, },
"net_settings": { "net_settings": {
@ -427,7 +427,7 @@
"last_paths": { "last_paths": {
"gencad": "", "gencad": "",
"idf": "", "idf": "",
"netlist": "Warp-SE.net", "netlist": "WarpSE.net",
"specctra_dsn": "", "specctra_dsn": "",
"step": "", "step": "",
"vrml": "" "vrml": ""
@ -466,7 +466,7 @@
"workbook_filename": "" "workbook_filename": ""
}, },
"page_layout_descr_file": "", "page_layout_descr_file": "",
"plot_directory": "", "plot_directory": "Documentation/",
"spice_adjust_passive_values": false, "spice_adjust_passive_values": false,
"spice_external_command": "spice \"%I\"", "spice_external_command": "spice \"%I\"",
"subpart_first_id": 65, "subpart_first_id": 65,
@ -485,26 +485,26 @@
"00000000-0000-0000-0000-000061b3a5f1", "00000000-0000-0000-0000-000061b3a5f1",
"Power" "Power"
], ],
[
"00000000-0000-0000-0000-00005f72f108",
"MC68k"
],
[ [
"00000000-0000-0000-0000-000060941922", "00000000-0000-0000-0000-000060941922",
"Buf" "Buf"
], ],
[
"00000000-0000-0000-0000-00005f72f108",
"MC68k"
],
[ [
"00000000-0000-0000-0000-00005f723900", "00000000-0000-0000-0000-00005f723900",
"RAMROM" "RAMROM"
], ],
[
"00000000-0000-0000-0000-000061350d21",
"Clk.sch"
],
[ [
"00000000-0000-0000-0000-00005f723173", "00000000-0000-0000-0000-00005f723173",
"Control" "Control"
], ],
[
"00000000-0000-0000-0000-000061350d21",
"Clk.sch"
],
[ [
"00000000-0000-0000-0000-000061a87b62", "00000000-0000-0000-0000-000061a87b62",
"DIPSW" "DIPSW"

1837
WarpSE.kicad_sch Normal file

File diff suppressed because it is too large Load Diff

View File

@ -34,11 +34,11 @@
) )
) )
) )
(symbol "Mechanical:MountingHole_Pad" (pin_numbers hide) (pin_names (offset 1.016) hide) (in_bom yes) (on_board yes) (symbol "Mechanical:MountingHole" (pin_names (offset 1.016)) (in_bom yes) (on_board yes)
(property "Reference" "H" (id 0) (at 0 6.35 0) (property "Reference" "H" (id 0) (at 0 5.08 0)
(effects (font (size 1.27 1.27))) (effects (font (size 1.27 1.27)))
) )
(property "Value" "MountingHole_Pad" (id 1) (at 0 4.445 0) (property "Value" "MountingHole" (id 1) (at 0 3.175 0)
(effects (font (size 1.27 1.27))) (effects (font (size 1.27 1.27)))
) )
(property "Footprint" "" (id 2) (at 0 0 0) (property "Footprint" "" (id 2) (at 0 0 0)
@ -50,64 +50,18 @@
(property "ki_keywords" "mounting hole" (id 4) (at 0 0 0) (property "ki_keywords" "mounting hole" (id 4) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide) (effects (font (size 1.27 1.27)) hide)
) )
(property "ki_description" "Mounting Hole with connection" (id 5) (at 0 0 0) (property "ki_description" "Mounting Hole without connection" (id 5) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide) (effects (font (size 1.27 1.27)) hide)
) )
(property "ki_fp_filters" "MountingHole*Pad*" (id 6) (at 0 0 0) (property "ki_fp_filters" "MountingHole*" (id 6) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide) (effects (font (size 1.27 1.27)) hide)
) )
(symbol "MountingHole_Pad_0_1" (symbol "MountingHole_0_1"
(circle (center 0 1.27) (radius 1.27) (circle (center 0 0) (radius 1.27)
(stroke (width 1.27) (type default) (color 0 0 0 0)) (stroke (width 1.27) (type default) (color 0 0 0 0))
(fill (type none)) (fill (type none))
) )
) )
(symbol "MountingHole_Pad_1_1"
(pin input line (at 0 -2.54 90) (length 2.54)
(name "1" (effects (font (size 1.27 1.27))))
(number "1" (effects (font (size 1.27 1.27))))
)
)
)
(symbol "power:GND" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes)
(property "Reference" "#PWR" (id 0) (at 0 -6.35 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "GND" (id 1) (at 0 -3.81 0)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "" (id 2) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (id 3) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_keywords" "power-flag" (id 4) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_description" "Power symbol creates a global label with name \"GND\" , ground" (id 5) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(symbol "GND_0_1"
(polyline
(pts
(xy 0 0)
(xy 0 -1.27)
(xy 1.27 -1.27)
(xy 0 -2.54)
(xy -1.27 -1.27)
(xy 0 -1.27)
)
(stroke (width 0) (type default) (color 0 0 0 0))
(fill (type none))
)
)
(symbol "GND_1_1"
(pin power_in line (at 0 0 270) (length 0) hide
(name "GND" (effects (font (size 1.27 1.27))))
(number "1" (effects (font (size 1.27 1.27))))
)
)
) )
) )
@ -117,24 +71,15 @@
(junction (at 78.74 73.66) (diameter 0) (color 0 0 0 0) (junction (at 78.74 73.66) (diameter 0) (color 0 0 0 0)
(uuid 475ed8b3-90bf-48cd-bce5-d8f48b689541) (uuid 475ed8b3-90bf-48cd-bce5-d8f48b689541)
) )
(junction (at 33.02 176.53) (diameter 0) (color 0 0 0 0)
(uuid 53e34696-241f-47e5-a477-f469335c8a61)
)
(junction (at 71.12 59.69) (diameter 0) (color 0 0 0 0) (junction (at 71.12 59.69) (diameter 0) (color 0 0 0 0)
(uuid 7c00778a-4692-4f9b-87d5-2d355077ce1e) (uuid 7c00778a-4692-4f9b-87d5-2d355077ce1e)
) )
(junction (at 71.12 68.58) (diameter 0) (color 0 0 0 0) (junction (at 71.12 68.58) (diameter 0) (color 0 0 0 0)
(uuid 90e761f6-1432-4f73-ad28-fa8869b7ec31) (uuid 90e761f6-1432-4f73-ad28-fa8869b7ec31)
) )
(junction (at 40.64 176.53) (diameter 0) (color 0 0 0 0)
(uuid 9390234f-bf3f-46cd-b6a0-8a438ec76e9f)
)
(junction (at 76.2 62.23) (diameter 0) (color 0 0 0 0) (junction (at 76.2 62.23) (diameter 0) (color 0 0 0 0)
(uuid a07b6b2b-7179-4297-b163-5e47ffbe76d3) (uuid a07b6b2b-7179-4297-b163-5e47ffbe76d3)
) )
(junction (at 48.26 176.53) (diameter 0) (color 0 0 0 0)
(uuid a90361cd-254c-4d27-ae1f-9a6c85bafe28)
)
(junction (at 78.74 40.64) (diameter 0) (color 0 0 0 0) (junction (at 78.74 40.64) (diameter 0) (color 0 0 0 0)
(uuid b78cb2c1-ae4b-4d9b-acd8-d7fe342342f2) (uuid b78cb2c1-ae4b-4d9b-acd8-d7fe342342f2)
) )
@ -198,10 +143,6 @@
(stroke (width 0) (type default) (color 0 0 0 0)) (stroke (width 0) (type default) (color 0 0 0 0))
(uuid 18ca5aef-6a2c-41ac-9e7f-bf7acb716e53) (uuid 18ca5aef-6a2c-41ac-9e7f-bf7acb716e53)
) )
(wire (pts (xy 48.26 176.53) (xy 40.64 176.53))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 18d11f32-e1a6-4f29-8e3c-0bfeb07299bd)
)
(wire (pts (xy 127 81.28) (xy 106.68 81.28)) (wire (pts (xy 127 81.28) (xy 106.68 81.28))
(stroke (width 0) (type default) (color 0 0 0 0)) (stroke (width 0) (type default) (color 0 0 0 0))
(uuid 1e48966e-d29d-4521-8939-ec8ac570431d) (uuid 1e48966e-d29d-4521-8939-ec8ac570431d)
@ -222,7 +163,7 @@
(stroke (width 0) (type default) (color 0 0 0 0)) (stroke (width 0) (type default) (color 0 0 0 0))
(uuid 269f19c3-6824-45a8-be29-fa58d70cbb42) (uuid 269f19c3-6824-45a8-be29-fa58d70cbb42)
) )
(wire (pts (xy 40.64 114.3) (xy 81.28 114.3)) (wire (pts (xy 40.64 127) (xy 81.28 127))
(stroke (width 0) (type default) (color 0 0 0 0)) (stroke (width 0) (type default) (color 0 0 0 0))
(uuid 283c990c-ae5a-4e41-a3ad-b40ca29fe90e) (uuid 283c990c-ae5a-4e41-a3ad-b40ca29fe90e)
) )
@ -248,7 +189,7 @@
(stroke (width 0) (type default) (color 0 0 0 0)) (stroke (width 0) (type default) (color 0 0 0 0))
(uuid 34c0bee6-7425-4435-8857-d1fe8dfb6d89) (uuid 34c0bee6-7425-4435-8857-d1fe8dfb6d89)
) )
(wire (pts (xy 40.64 106.68) (xy 81.28 106.68)) (wire (pts (xy 40.64 109.22) (xy 81.28 109.22))
(stroke (width 0) (type default) (color 0 0 0 0)) (stroke (width 0) (type default) (color 0 0 0 0))
(uuid 38cfe839-c630-43d3-a9ec-6a89ba9e318a) (uuid 38cfe839-c630-43d3-a9ec-6a89ba9e318a)
) )
@ -274,11 +215,11 @@
(uuid 44035e53-ff94-45ad-801f-55a1ce042a0d) (uuid 44035e53-ff94-45ad-801f-55a1ce042a0d)
) )
(wire (pts (xy 40.64 116.84) (xy 81.28 116.84)) (wire (pts (xy 40.64 129.54) (xy 81.28 129.54))
(stroke (width 0) (type default) (color 0 0 0 0)) (stroke (width 0) (type default) (color 0 0 0 0))
(uuid 49575217-40b0-4890-8acf-12982cca52b5) (uuid 49575217-40b0-4890-8acf-12982cca52b5)
) )
(wire (pts (xy 40.64 109.22) (xy 81.28 109.22)) (wire (pts (xy 40.64 111.76) (xy 81.28 111.76))
(stroke (width 0) (type default) (color 0 0 0 0)) (stroke (width 0) (type default) (color 0 0 0 0))
(uuid 4cafb73d-1ad8-4d24-acf7-63d78095ae46) (uuid 4cafb73d-1ad8-4d24-acf7-63d78095ae46)
) )
@ -295,23 +236,27 @@
(uuid 582622a2-fad4-4737-9a80-be9fffbba8ab) (uuid 582622a2-fad4-4737-9a80-be9fffbba8ab)
) )
(wire (pts (xy 40.64 104.14) (xy 81.28 104.14)) (wire (pts (xy 40.64 106.68) (xy 81.28 106.68))
(stroke (width 0) (type default) (color 0 0 0 0)) (stroke (width 0) (type default) (color 0 0 0 0))
(uuid 5889287d-b845-4684-b23e-663811b25d27) (uuid 5889287d-b845-4684-b23e-663811b25d27)
) )
(wire (pts (xy 40.64 116.84) (xy 81.28 116.84))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 5f307aaa-c78d-466a-b5f1-e9749b8abb96)
)
(wire (pts (xy 40.64 53.34) (xy 81.28 53.34)) (wire (pts (xy 40.64 53.34) (xy 81.28 53.34))
(stroke (width 0) (type default) (color 0 0 0 0)) (stroke (width 0) (type default) (color 0 0 0 0))
(uuid 62e8c4d4-266c-4e53-8981-1028251d724c) (uuid 62e8c4d4-266c-4e53-8981-1028251d724c)
) )
(wire (pts (xy 55.88 176.53) (xy 48.26 176.53))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 6325c32f-c82a-4357-b022-f9c7e76f412e)
)
(bus (pts (xy 71.12 59.69) (xy 111.76 59.69)) (bus (pts (xy 71.12 59.69) (xy 111.76 59.69))
(stroke (width 0) (type default) (color 0 0 0 0)) (stroke (width 0) (type default) (color 0 0 0 0))
(uuid 63489ebf-0f52-43a6-a0ab-158b1a7d4988) (uuid 63489ebf-0f52-43a6-a0ab-158b1a7d4988)
) )
(wire (pts (xy 40.64 119.38) (xy 81.28 119.38))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 665158e2-2e89-40cf-858d-d80a34677763)
)
(wire (pts (xy 106.68 111.76) (xy 114.3 111.76)) (wire (pts (xy 106.68 111.76) (xy 114.3 111.76))
(stroke (width 0) (type default) (color 0 0 0 0)) (stroke (width 0) (type default) (color 0 0 0 0))
(uuid 6a2bcc72-047b-4846-8583-1109e3552669) (uuid 6a2bcc72-047b-4846-8583-1109e3552669)
@ -381,10 +326,6 @@
(stroke (width 0) (type default) (color 0 0 0 0)) (stroke (width 0) (type default) (color 0 0 0 0))
(uuid 84f2ad5c-d152-445e-a7aa-9d4631eecac2) (uuid 84f2ad5c-d152-445e-a7aa-9d4631eecac2)
) )
(wire (pts (xy 33.02 176.53) (xy 25.4 176.53))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 8cdc8ef9-532e-4bf5-9998-7213b9e692a2)
)
(wire (pts (xy 73.66 71.12) (xy 81.28 71.12)) (wire (pts (xy 73.66 71.12) (xy 81.28 71.12))
(stroke (width 0) (type default) (color 0 0 0 0)) (stroke (width 0) (type default) (color 0 0 0 0))
(uuid 91fe070a-a49b-4bc5-805a-42f23e10d114) (uuid 91fe070a-a49b-4bc5-805a-42f23e10d114)
@ -397,10 +338,6 @@
(stroke (width 0) (type default) (color 0 0 0 0)) (stroke (width 0) (type default) (color 0 0 0 0))
(uuid 9c607e49-ee5c-4e85-a7da-6fede9912412) (uuid 9c607e49-ee5c-4e85-a7da-6fede9912412)
) )
(wire (pts (xy 40.64 176.53) (xy 33.02 176.53))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 9e813ec2-d4ce-4e2e-b379-c6fedb4c45db)
)
(wire (pts (xy 106.68 109.22) (xy 114.3 109.22)) (wire (pts (xy 106.68 109.22) (xy 114.3 109.22))
(stroke (width 0) (type default) (color 0 0 0 0)) (stroke (width 0) (type default) (color 0 0 0 0))
(uuid a0e7a81b-2259-4f8d-8368-ba75f2004714) (uuid a0e7a81b-2259-4f8d-8368-ba75f2004714)
@ -435,7 +372,7 @@
(stroke (width 0) (type default) (color 0 0 0 0)) (stroke (width 0) (type default) (color 0 0 0 0))
(uuid be4b72db-0e02-4d9b-844a-aff689b4e648) (uuid be4b72db-0e02-4d9b-844a-aff689b4e648)
) )
(wire (pts (xy 40.64 111.76) (xy 81.28 111.76)) (wire (pts (xy 40.64 124.46) (xy 81.28 124.46))
(stroke (width 0) (type default) (color 0 0 0 0)) (stroke (width 0) (type default) (color 0 0 0 0))
(uuid c1bac86f-cbf6-4c5b-b60d-c26fa73d9c09) (uuid c1bac86f-cbf6-4c5b-b60d-c26fa73d9c09)
) )
@ -542,38 +479,21 @@
(uuid fe14c012-3d58-4e5e-9a37-4b9765a7f764) (uuid fe14c012-3d58-4e5e-9a37-4b9765a7f764)
) )
(symbol (lib_id "power:GND") (at 48.26 176.53 0) (unit 1) (symbol (lib_id "Mechanical:MountingHole") (at 55.88 173.99 0) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005cc8bafd)
(property "Reference" "#PWR0132" (id 0) (at 48.26 182.88 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "GND" (id 1) (at 48.387 180.9242 0))
(property "Footprint" "" (id 2) (at 48.26 176.53 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (id 3) (at 48.26 176.53 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 67bb613e-fef7-4add-b665-d588fcb40f13))
)
(symbol (lib_id "Mechanical:MountingHole_Pad") (at 55.88 173.99 0) (unit 1)
(in_bom yes) (on_board yes) (in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005ed15a93) (uuid 00000000-0000-0000-0000-00005ed15a93)
(property "Reference" "H5" (id 0) (at 58.42 172.6946 0) (property "Reference" "H5" (id 0) (at 58.42 173.99 0)
(effects (font (size 1.27 1.27)) (justify left)) (effects (font (size 1.27 1.27)) (justify left))
) )
(property "Value" " " (id 1) (at 58.42 175.006 0) (property "Value" " " (id 1) (at 58.42 175.006 0)
(effects (font (size 1.27 1.27)) (justify left)) (effects (font (size 1.27 1.27)) (justify left))
) )
(property "Footprint" "stdpads:PasteHole_1.1mm_PTH" (id 2) (at 55.88 173.99 0) (property "Footprint" "stdpads:PasteHole_1.152mm_NPTH" (id 2) (at 55.88 173.99 0)
(effects (font (size 1.27 1.27)) hide) (effects (font (size 1.27 1.27)) hide)
) )
(property "Datasheet" "~" (id 3) (at 55.88 173.99 0) (property "Datasheet" "~" (id 3) (at 55.88 173.99 0)
(effects (font (size 1.27 1.27)) hide) (effects (font (size 1.27 1.27)) hide)
) )
(pin "1" (uuid 69e1082c-8471-453c-b3a7-6648df2618e2))
) )
(symbol (lib_id "Mechanical:Fiducial") (at 25.4 181.61 0) (unit 1) (symbol (lib_id "Mechanical:Fiducial") (at 25.4 181.61 0) (unit 1)
@ -644,86 +564,82 @@
) )
) )
(symbol (lib_id "Mechanical:MountingHole_Pad") (at 25.4 173.99 0) (unit 1) (symbol (lib_id "Mechanical:MountingHole") (at 25.4 173.99 0) (unit 1)
(in_bom yes) (on_board yes) (in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-000061b21233) (uuid 00000000-0000-0000-0000-000061b21233)
(property "Reference" "H1" (id 0) (at 27.94 172.6946 0) (property "Reference" "H1" (id 0) (at 27.94 173.99 0)
(effects (font (size 1.27 1.27)) (justify left)) (effects (font (size 1.27 1.27)) (justify left))
) )
(property "Value" " " (id 1) (at 27.94 175.006 0) (property "Value" " " (id 1) (at 27.94 175.006 0)
(effects (font (size 1.27 1.27)) (justify left)) (effects (font (size 1.27 1.27)) (justify left))
) )
(property "Footprint" "stdpads:PasteHole_1.1mm_PTH" (id 2) (at 25.4 173.99 0) (property "Footprint" "stdpads:PasteHole_1.152mm_NPTH" (id 2) (at 25.4 173.99 0)
(effects (font (size 1.27 1.27)) hide) (effects (font (size 1.27 1.27)) hide)
) )
(property "Datasheet" "~" (id 3) (at 25.4 173.99 0) (property "Datasheet" "~" (id 3) (at 25.4 173.99 0)
(effects (font (size 1.27 1.27)) hide) (effects (font (size 1.27 1.27)) hide)
) )
(pin "1" (uuid 73de999d-cdc3-4d25-803d-363f3e918253))
) )
(symbol (lib_id "Mechanical:MountingHole_Pad") (at 33.02 173.99 0) (unit 1) (symbol (lib_id "Mechanical:MountingHole") (at 33.02 173.99 0) (unit 1)
(in_bom yes) (on_board yes) (in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-000061b21234) (uuid 00000000-0000-0000-0000-000061b21234)
(property "Reference" "H2" (id 0) (at 35.56 172.6946 0) (property "Reference" "H2" (id 0) (at 35.56 173.99 0)
(effects (font (size 1.27 1.27)) (justify left)) (effects (font (size 1.27 1.27)) (justify left))
) )
(property "Value" " " (id 1) (at 35.56 175.006 0) (property "Value" " " (id 1) (at 35.56 175.006 0)
(effects (font (size 1.27 1.27)) (justify left)) (effects (font (size 1.27 1.27)) (justify left))
) )
(property "Footprint" "stdpads:PasteHole_1.1mm_PTH" (id 2) (at 33.02 173.99 0) (property "Footprint" "stdpads:PasteHole_1.152mm_NPTH" (id 2) (at 33.02 173.99 0)
(effects (font (size 1.27 1.27)) hide) (effects (font (size 1.27 1.27)) hide)
) )
(property "Datasheet" "~" (id 3) (at 33.02 173.99 0) (property "Datasheet" "~" (id 3) (at 33.02 173.99 0)
(effects (font (size 1.27 1.27)) hide) (effects (font (size 1.27 1.27)) hide)
) )
(pin "1" (uuid 9faad16b-7ecd-46b4-b009-a3b5688fdc1e))
) )
(symbol (lib_id "Mechanical:MountingHole_Pad") (at 40.64 173.99 0) (unit 1) (symbol (lib_id "Mechanical:MountingHole") (at 40.64 173.99 0) (unit 1)
(in_bom yes) (on_board yes) (in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-000061b21235) (uuid 00000000-0000-0000-0000-000061b21235)
(property "Reference" "H3" (id 0) (at 43.18 172.6946 0) (property "Reference" "H3" (id 0) (at 43.18 173.99 0)
(effects (font (size 1.27 1.27)) (justify left)) (effects (font (size 1.27 1.27)) (justify left))
) )
(property "Value" " " (id 1) (at 43.18 175.006 0) (property "Value" " " (id 1) (at 43.18 175.006 0)
(effects (font (size 1.27 1.27)) (justify left)) (effects (font (size 1.27 1.27)) (justify left))
) )
(property "Footprint" "stdpads:PasteHole_1.1mm_PTH" (id 2) (at 40.64 173.99 0) (property "Footprint" "stdpads:PasteHole_1.152mm_NPTH" (id 2) (at 40.64 173.99 0)
(effects (font (size 1.27 1.27)) hide) (effects (font (size 1.27 1.27)) hide)
) )
(property "Datasheet" "~" (id 3) (at 40.64 173.99 0) (property "Datasheet" "~" (id 3) (at 40.64 173.99 0)
(effects (font (size 1.27 1.27)) hide) (effects (font (size 1.27 1.27)) hide)
) )
(pin "1" (uuid e990b5ec-f70e-4ffa-bc73-9e5eee4b6cbf))
) )
(symbol (lib_id "Mechanical:MountingHole_Pad") (at 48.26 173.99 0) (unit 1) (symbol (lib_id "Mechanical:MountingHole") (at 48.26 173.99 0) (unit 1)
(in_bom yes) (on_board yes) (in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-000061b21236) (uuid 00000000-0000-0000-0000-000061b21236)
(property "Reference" "H4" (id 0) (at 50.8 172.6946 0) (property "Reference" "H4" (id 0) (at 50.8 173.99 0)
(effects (font (size 1.27 1.27)) (justify left)) (effects (font (size 1.27 1.27)) (justify left))
) )
(property "Value" " " (id 1) (at 50.8 175.006 0) (property "Value" " " (id 1) (at 50.8 175.006 0)
(effects (font (size 1.27 1.27)) (justify left)) (effects (font (size 1.27 1.27)) (justify left))
) )
(property "Footprint" "stdpads:PasteHole_1.1mm_PTH" (id 2) (at 48.26 173.99 0) (property "Footprint" "stdpads:PasteHole_1.152mm_NPTH" (id 2) (at 48.26 173.99 0)
(effects (font (size 1.27 1.27)) hide) (effects (font (size 1.27 1.27)) hide)
) )
(property "Datasheet" "~" (id 3) (at 48.26 173.99 0) (property "Datasheet" "~" (id 3) (at 48.26 173.99 0)
(effects (font (size 1.27 1.27)) hide) (effects (font (size 1.27 1.27)) hide)
) )
(pin "1" (uuid 69607e7c-0042-4e3a-8fa1-ce24ac796e72))
) )
(sheet (at 26.67 27.94) (size 13.97 93.98) (sheet (at 26.67 25.4) (size 13.97 106.68)
(stroke (width 0) (type solid) (color 0 0 0 0)) (stroke (width 0) (type solid) (color 0 0 0 0))
(fill (color 0 0 0 0.0000)) (fill (color 0 0 0 0.0000))
(uuid 00000000-0000-0000-0000-00005f6da71d) (uuid 00000000-0000-0000-0000-00005f6da71d)
(property "Sheet name" "PDS" (id 0) (at 26.67 27.94 0) (property "Sheet name" "PDS" (id 0) (at 26.67 25.4 0)
(effects (font (size 1.27 1.27)) (justify left bottom)) (effects (font (size 1.27 1.27)) (justify left bottom))
) )
(property "Sheet file" "PDS.kicad_sch" (id 1) (at 26.67 121.92 0) (property "Sheet file" "PDS.kicad_sch" (id 1) (at 26.67 132.08 0)
(effects (font (size 1.27 1.27)) (justify left top)) (effects (font (size 1.27 1.27)) (justify left top))
) )
(pin "A[23..1]" bidirectional (at 40.64 71.12 0) (pin "A[23..1]" bidirectional (at 40.64 71.12 0)
@ -754,11 +670,11 @@
(effects (font (size 1.27 1.27)) (justify right)) (effects (font (size 1.27 1.27)) (justify right))
(uuid d68e5ddb-039c-483f-88a3-1b0b7964b482) (uuid d68e5ddb-039c-483f-88a3-1b0b7964b482)
) )
(pin "~{VPA}" output (at 40.64 106.68 0) (pin "~{VPA}" output (at 40.64 109.22 0)
(effects (font (size 1.27 1.27)) (justify right)) (effects (font (size 1.27 1.27)) (justify right))
(uuid 6f580eb1-88cc-489d-a7ca-9efa5e590715) (uuid 6f580eb1-88cc-489d-a7ca-9efa5e590715)
) )
(pin "~{DTACK}" output (at 40.64 104.14 0) (pin "~{DTACK}" output (at 40.64 106.68 0)
(effects (font (size 1.27 1.27)) (justify right)) (effects (font (size 1.27 1.27)) (justify right))
(uuid b13e8448-bf35-4ec0-9c70-3f2250718cc2) (uuid b13e8448-bf35-4ec0-9c70-3f2250718cc2)
) )
@ -778,22 +694,30 @@
(effects (font (size 1.27 1.27)) (justify right)) (effects (font (size 1.27 1.27)) (justify right))
(uuid d38aa458-d7c4-47af-ba08-2b6be506a3fd) (uuid d38aa458-d7c4-47af-ba08-2b6be506a3fd)
) )
(pin "~{BERR}" output (at 40.64 109.22 0) (pin "~{BERR}" output (at 40.64 111.76 0)
(effects (font (size 1.27 1.27)) (justify right)) (effects (font (size 1.27 1.27)) (justify right))
(uuid 3a41dd27-ec14-44d5-b505-aad1d829f79a) (uuid 3a41dd27-ec14-44d5-b505-aad1d829f79a)
) )
(pin "E" output (at 40.64 116.84 0) (pin "E" output (at 40.64 129.54 0)
(effects (font (size 1.27 1.27)) (justify right)) (effects (font (size 1.27 1.27)) (justify right))
(uuid 0dfdfa9f-1e3f-4e14-b64b-12bde76a80c7) (uuid 0dfdfa9f-1e3f-4e14-b64b-12bde76a80c7)
) )
(pin "C8M" output (at 40.64 114.3 0) (pin "C8M" output (at 40.64 127 0)
(effects (font (size 1.27 1.27)) (justify right)) (effects (font (size 1.27 1.27)) (justify right))
(uuid e7d81bce-286e-41e4-9181-3511e9c0455e) (uuid e7d81bce-286e-41e4-9181-3511e9c0455e)
) )
(pin "C16M" output (at 40.64 111.76 0) (pin "C16M" output (at 40.64 124.46 0)
(effects (font (size 1.27 1.27)) (justify right)) (effects (font (size 1.27 1.27)) (justify right))
(uuid 98fe66f3-ec8b-4515-ae34-617f2124a7ec) (uuid 98fe66f3-ec8b-4515-ae34-617f2124a7ec)
) )
(pin "~{BG}" output (at 40.64 119.38 0)
(effects (font (size 1.27 1.27)) (justify right))
(uuid a4f30452-13ce-41db-a994-304cf064bc1c)
)
(pin "~{BR}" input (at 40.64 116.84 0)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 0da2a34a-5106-4597-b573-3c36f99a32d1)
)
) )
(sheet (at 81.28 66.04) (size 25.4 73.66) (sheet (at 81.28 66.04) (size 25.4 73.66)
@ -822,27 +746,27 @@
(effects (font (size 1.27 1.27)) (justify left)) (effects (font (size 1.27 1.27)) (justify left))
(uuid 443bc73a-8dc0-4e2f-a292-a5eff00efa5b) (uuid 443bc73a-8dc0-4e2f-a292-a5eff00efa5b)
) )
(pin "Mac~{DTACK}" input (at 81.28 104.14 180) (pin "Mac~{DTACK}" input (at 81.28 106.68 180)
(effects (font (size 1.27 1.27)) (justify left)) (effects (font (size 1.27 1.27)) (justify left))
(uuid cc75e5ae-3348-4e7a-bd16-4df685ee47bd) (uuid cc75e5ae-3348-4e7a-bd16-4df685ee47bd)
) )
(pin "Mac~{VPA}" input (at 81.28 106.68 180) (pin "Mac~{VPA}" input (at 81.28 109.22 180)
(effects (font (size 1.27 1.27)) (justify left)) (effects (font (size 1.27 1.27)) (justify left))
(uuid 83021f70-e61e-4ad3-bae7-b9f02b28be4f) (uuid 83021f70-e61e-4ad3-bae7-b9f02b28be4f)
) )
(pin "Mac~{BERR}" input (at 81.28 109.22 180) (pin "Mac~{BERR}" input (at 81.28 111.76 180)
(effects (font (size 1.27 1.27)) (justify left)) (effects (font (size 1.27 1.27)) (justify left))
(uuid a25b7e01-1754-4cc9-8a14-3d9c461e5af5) (uuid a25b7e01-1754-4cc9-8a14-3d9c461e5af5)
) )
(pin "MacE" input (at 81.28 116.84 180) (pin "MacE" input (at 81.28 129.54 180)
(effects (font (size 1.27 1.27)) (justify left)) (effects (font (size 1.27 1.27)) (justify left))
(uuid 014d13cd-26ad-4d0e-86ad-a43b541cab14) (uuid 014d13cd-26ad-4d0e-86ad-a43b541cab14)
) )
(pin "C8M" input (at 81.28 114.3 180) (pin "C8M" input (at 81.28 127 180)
(effects (font (size 1.27 1.27)) (justify left)) (effects (font (size 1.27 1.27)) (justify left))
(uuid 7744b6ee-910d-401d-b730-65c35d3d8092) (uuid 7744b6ee-910d-401d-b730-65c35d3d8092)
) )
(pin "C16M" input (at 81.28 111.76 180) (pin "C16M" input (at 81.28 124.46 180)
(effects (font (size 1.27 1.27)) (justify left)) (effects (font (size 1.27 1.27)) (justify left))
(uuid 633292d3-80c5-4986-be82-ce926e9f09f4) (uuid 633292d3-80c5-4986-be82-ce926e9f09f4)
) )
@ -974,6 +898,14 @@
(effects (font (size 1.27 1.27)) (justify right)) (effects (font (size 1.27 1.27)) (justify right))
(uuid 2165c9a4-eb84-4cb6-a870-2fdc39d2511b) (uuid 2165c9a4-eb84-4cb6-a870-2fdc39d2511b)
) )
(pin "Mac~{BR}" output (at 81.28 116.84 180)
(effects (font (size 1.27 1.27)) (justify left))
(uuid 6ce84655-15b6-4143-aed8-826f523d6c72)
)
(pin "Mac~{BG}" input (at 81.28 119.38 180)
(effects (font (size 1.27 1.27)) (justify left))
(uuid db830c04-994e-4195-9fb2-da435a828685)
)
) )
(sheet (at 114.3 96.52) (size 15.24 27.94) (sheet (at 114.3 96.52) (size 15.24 27.94)
@ -1240,14 +1172,14 @@
) )
) )
(sheet (at 26.67 127) (size 13.97 5.08) (sheet (at 26.67 139.7) (size 13.97 5.08)
(stroke (width 0) (type solid) (color 0 0 0 0)) (stroke (width 0) (type solid) (color 0 0 0 0))
(fill (color 0 0 0 0.0000)) (fill (color 0 0 0 0.0000))
(uuid 00000000-0000-0000-0000-000061b3a5f1) (uuid 00000000-0000-0000-0000-000061b3a5f1)
(property "Sheet name" "Power" (id 0) (at 26.67 127 0) (property "Sheet name" "Power" (id 0) (at 26.67 139.7 0)
(effects (font (size 1.27 1.27)) (justify left bottom)) (effects (font (size 1.27 1.27)) (justify left bottom))
) )
(property "Sheet file" "Power.kicad_sch" (id 1) (at 26.67 132.08 0) (property "Sheet file" "Power.kicad_sch" (id 1) (at 26.67 144.78 0)
(effects (font (size 1.27 1.27)) (justify left top)) (effects (font (size 1.27 1.27)) (justify left top))
) )
) )
@ -1256,11 +1188,11 @@
(path "/" (page "1")) (path "/" (page "1"))
(path "/00000000-0000-0000-0000-00005f6da71d" (page "2")) (path "/00000000-0000-0000-0000-00005f6da71d" (page "2"))
(path "/00000000-0000-0000-0000-000061b3a5f1" (page "3")) (path "/00000000-0000-0000-0000-000061b3a5f1" (page "3"))
(path "/00000000-0000-0000-0000-00005f72f108" (page "5"))
(path "/00000000-0000-0000-0000-000060941922" (page "4")) (path "/00000000-0000-0000-0000-000060941922" (page "4"))
(path "/00000000-0000-0000-0000-00005f72f108" (page "5"))
(path "/00000000-0000-0000-0000-00005f723900" (page "6")) (path "/00000000-0000-0000-0000-00005f723900" (page "6"))
(path "/00000000-0000-0000-0000-000061350d21" (page "8"))
(path "/00000000-0000-0000-0000-00005f723173" (page "7")) (path "/00000000-0000-0000-0000-00005f723173" (page "7"))
(path "/00000000-0000-0000-0000-000061350d21" (page "8"))
(path "/00000000-0000-0000-0000-000061a87b62" (page "9")) (path "/00000000-0000-0000-0000-000061a87b62" (page "9"))
(path "/00000000-0000-0000-0000-000061aa52c4" (page "10")) (path "/00000000-0000-0000-0000-000061aa52c4" (page "10"))
) )
@ -1359,7 +1291,7 @@
(path "/00000000-0000-0000-0000-000061b3a5f1/00000000-0000-0000-0000-000061b3d39e" (path "/00000000-0000-0000-0000-000061b3a5f1/00000000-0000-0000-0000-000061b3d39e"
(reference "#PWR0131") (unit 1) (value "+3V3") (footprint "") (reference "#PWR0131") (unit 1) (value "+3V3") (footprint "")
) )
(path "/00000000-0000-0000-0000-00005cc8bafd" (path "/00000000-0000-0000-0000-00005f723900/dd546e6f-4429-48b7-9308-c650e538ed3c"
(reference "#PWR0132") (unit 1) (value "GND") (footprint "") (reference "#PWR0132") (unit 1) (value "GND") (footprint "")
) )
(path "/00000000-0000-0000-0000-00005f723900/00000000-0000-0000-0000-00006144a3ad" (path "/00000000-0000-0000-0000-00005f723900/00000000-0000-0000-0000-00006144a3ad"
@ -1431,12 +1363,6 @@
(path "/00000000-0000-0000-0000-00005f6da71d/00000000-0000-0000-0000-0000616f0982" (path "/00000000-0000-0000-0000-00005f6da71d/00000000-0000-0000-0000-0000616f0982"
(reference "#PWR0155") (unit 1) (value "+12V") (footprint "") (reference "#PWR0155") (unit 1) (value "+12V") (footprint "")
) )
(path "/00000000-0000-0000-0000-00005f6da71d/00000000-0000-0000-0000-000061704865"
(reference "#PWR0156") (unit 1) (value "GND") (footprint "")
)
(path "/00000000-0000-0000-0000-00005f723900/c7ccb402-2065-48b9-b3c6-8970af29b229"
(reference "#PWR0157") (unit 1) (value "GND") (footprint "")
)
(path "/00000000-0000-0000-0000-00005f723173/00000000-0000-0000-0000-0000616131f5" (path "/00000000-0000-0000-0000-00005f723173/00000000-0000-0000-0000-0000616131f5"
(reference "#PWR0158") (unit 1) (value "+3V3") (footprint "") (reference "#PWR0158") (unit 1) (value "+3V3") (footprint "")
) )
@ -1716,6 +1642,12 @@
(path "/00000000-0000-0000-0000-000061aa52c4/00000000-0000-0000-0000-000061f5861d" (path "/00000000-0000-0000-0000-000061aa52c4/00000000-0000-0000-0000-000061f5861d"
(reference "C49") (unit 1) (value "2u2") (footprint "stdpads:C_0603") (reference "C49") (unit 1) (value "2u2") (footprint "stdpads:C_0603")
) )
(path "/00000000-0000-0000-0000-00005f723900/7ea41be9-54e8-47ac-8fd2-9913b35b7ed9"
(reference "C50") (unit 1) (value "10u") (footprint "stdpads:C_0805")
)
(path "/00000000-0000-0000-0000-00005f723900/288541b7-4c27-4a3d-a164-daf4be300490"
(reference "C51") (unit 1) (value "10u") (footprint "stdpads:C_0805")
)
(path "/00000000-0000-0000-0000-000061b2122f" (path "/00000000-0000-0000-0000-000061b2122f"
(reference "FID1") (unit 1) (value "Fiducial") (footprint "stdpads:Fiducial") (reference "FID1") (unit 1) (value "Fiducial") (footprint "stdpads:Fiducial")
) )
@ -1729,19 +1661,19 @@
(reference "FID4") (unit 1) (value "Fiducial") (footprint "stdpads:Fiducial") (reference "FID4") (unit 1) (value "Fiducial") (footprint "stdpads:Fiducial")
) )
(path "/00000000-0000-0000-0000-000061b21233" (path "/00000000-0000-0000-0000-000061b21233"
(reference "H1") (unit 1) (value " ") (footprint "stdpads:PasteHole_1.1mm_PTH") (reference "H1") (unit 1) (value " ") (footprint "stdpads:PasteHole_1.152mm_NPTH")
) )
(path "/00000000-0000-0000-0000-000061b21234" (path "/00000000-0000-0000-0000-000061b21234"
(reference "H2") (unit 1) (value " ") (footprint "stdpads:PasteHole_1.1mm_PTH") (reference "H2") (unit 1) (value " ") (footprint "stdpads:PasteHole_1.152mm_NPTH")
) )
(path "/00000000-0000-0000-0000-000061b21235" (path "/00000000-0000-0000-0000-000061b21235"
(reference "H3") (unit 1) (value " ") (footprint "stdpads:PasteHole_1.1mm_PTH") (reference "H3") (unit 1) (value " ") (footprint "stdpads:PasteHole_1.152mm_NPTH")
) )
(path "/00000000-0000-0000-0000-000061b21236" (path "/00000000-0000-0000-0000-000061b21236"
(reference "H4") (unit 1) (value " ") (footprint "stdpads:PasteHole_1.1mm_PTH") (reference "H4") (unit 1) (value " ") (footprint "stdpads:PasteHole_1.152mm_NPTH")
) )
(path "/00000000-0000-0000-0000-00005ed15a93" (path "/00000000-0000-0000-0000-00005ed15a93"
(reference "H5") (unit 1) (value " ") (footprint "stdpads:PasteHole_1.1mm_PTH") (reference "H5") (unit 1) (value " ") (footprint "stdpads:PasteHole_1.152mm_NPTH")
) )
(path "/00000000-0000-0000-0000-00005f6da71d/00000000-0000-0000-0000-00005f6dd05a" (path "/00000000-0000-0000-0000-00005f6da71d/00000000-0000-0000-0000-00005f6dd05a"
(reference "J1") (unit 1) (value "MacSEPDS") (footprint "stdpads:DIN41612_R_3x32_Male_Vertical_THT") (reference "J1") (unit 1) (value "MacSEPDS") (footprint "stdpads:DIN41612_R_3x32_Male_Vertical_THT")
@ -1804,7 +1736,7 @@
(reference "R16") (unit 1) (value "10k") (footprint "stdpads:R_0603") (reference "R16") (unit 1) (value "10k") (footprint "stdpads:R_0603")
) )
(path "/00000000-0000-0000-0000-000061a87b62/00000000-0000-0000-0000-000061a8bbd9" (path "/00000000-0000-0000-0000-000061a87b62/00000000-0000-0000-0000-000061a8bbd9"
(reference "SW1") (unit 1) (value "OSCSEL") (footprint "stdpads:SW_DIP_SPSTx03_Slide_DSHP03TS_P1.27mm") (reference "SW1") (unit 1) (value "CFG") (footprint "stdpads:SW_DIP_SPSTx03_Slide_DSHP03TS_P1.27mm")
) )
(path "/00000000-0000-0000-0000-00005f723173/00000000-0000-0000-0000-00006318b3c7" (path "/00000000-0000-0000-0000-00005f723173/00000000-0000-0000-0000-00006318b3c7"
(reference "U1") (unit 1) (value "XC95144XL-TQ100") (footprint "stdpads:TQFP-100_14x14mm_P0.5mm") (reference "U1") (unit 1) (value "XC95144XL-TQ100") (footprint "stdpads:TQFP-100_14x14mm_P0.5mm")

View File

@ -1,4 +1,6 @@
module CS( module CS(
/* Setting input */
input MotherboardROMEN,
/* MC68HC000 interface */ /* MC68HC000 interface */
input [23:08] A, input CLK, input nRES, input nWE, input [23:08] A, input CLK, input nRES, input nWE,
/* AS cycle detection */ /* AS cycle detection */
@ -42,11 +44,14 @@ module CS(
(A[15:12]==4'hF && (A[11:8]==4'hD || A[11:8]==4'hE || A[11:8]==4'hF)) || (A[15:12]==4'hF && (A[11:8]==4'hD || A[11:8]==4'hE || A[11:8]==4'hF)) ||
(A[15:12]==4'hA && (A[11:8]==4'h1 || A[11:8]==4'h2 || A[11:8]==4'h3))); (A[15:12]==4'hA && (A[11:8]==4'h1 || A[11:8]==4'h2 || A[11:8]==4'h3)));
assign ROMCS = A[23:20]==4'h4 || (A[23:20]==4'h0 && Overlay); assign ROMCS = (A[23:20]==4'h4 && !MotherboardROMEN) ||
(A[23:20]==4'h8 && MotherboardROMEN) ||
(A[23:20]==4'h0 && Overlay);
/* Select signals - IOB domain */ /* Select signals - IOB domain */
assign IACS = A[23:08]==16'hFFFF; // IACK assign IACS = A[23:08]==16'hFFFF; // IACK
assign IOCS = A[23:20]==4'h5 || // SCSI assign IOCS = (A[23:20]==4'h4 && MotherboardROMEN) || // Motherboard ROM
A[23:20]==4'h5 || // SCSI
A[23:20]==4'h8 || // empty A[23:20]==4'h8 || // empty
A[23:20]==4'h9 || // SCC read/reset A[23:20]==4'h9 || // SCC read/reset
A[23:20]==4'hA || // empty A[23:20]==4'hA || // empty

View File

@ -4,7 +4,7 @@ module FSB(
/* AS cycle detection */ /* AS cycle detection */
output BACT, output BACT,
/* Ready inputs */ /* Ready inputs */
input Ready0, input Ready1, input Ready2, input Ready0, input Ready1, input Ready2, input Disable,
/* BERR inputs */ /* BERR inputs */
input BERR0, input BERR1, input BERR0, input BERR1,
/* Interrupt acknowledge select */ /* Interrupt acknowledge select */
@ -18,9 +18,9 @@ module FSB(
/* Ready and BERR "remember" */ /* Ready and BERR "remember" */
reg Ready0r, Ready1r, Ready2r; reg Ready0r, Ready1r, Ready2r;
reg BERR0r, BERR1r; reg BERR0r, BERR1r;
wire Ready = (Ready0 || Ready0r) && wire Ready = ~Disable && (Ready0 || Ready0r) &&
(Ready1 || Ready1r) && (Ready1 || Ready1r) &&
(Ready2 || Ready2r); (Ready2 || Ready2r);
wire BERR = (BERR0 || BERR0r || BERR1 || BERR1r); wire BERR = (BERR0 || BERR0r || BERR1 || BERR1r);
assign nBERR = ~(~nAS && BERR); assign nBERR = ~(~nAS && BERR);
always @(posedge FCLK) begin always @(posedge FCLK) begin

View File

@ -1,12 +1,13 @@
module IOBM( module IOBM(
/* PDS interface */ /* PDS interface */
input C16M, input C8M, input E, input C16M, input C8M, input E,
output reg nAS, output reg nLDS, output reg nUDS, output reg nVMA, output nBR, output reg nASout, output reg nLDS, output reg nUDS, output reg nVMA,
input nDTACK, input nVPA, input nBERR, input nRES, input nASin, input nBG, input nDTACK, input nVPA, input nBERR, input nRES,
/* PDS address and data latch control */ /* PDS address and data latch control */
output nAoutOE, output reg nDoutOE, output reg ALE0, output reg nDinLE, output nAoutOE, output reg nDoutOE, output reg ALE0, output reg nDinLE,
/* IO bus slave port interface */ /* IO bus slave port interface */
output reg IOACT, output reg IOBERR, input IOREQ, input IOLDS, input IOUDS, input IOWE); output reg IOACT, output reg IOBERR,
input Park, input IOREQ, input IOLDS, input IOUDS, input IOWE);
/* I/O bus slave port input synchronization */ /* I/O bus slave port input synchronization */
reg IOREQr = 0; reg IOREQr = 0;
@ -51,11 +52,23 @@ module IOBM(
else if (ES==0) nVMA <= 1; else if (ES==0) nVMA <= 1;
end end
/* Bus Request/Grant control */
assign nBR = Park;
reg BGr0 = 0;
reg BGr1 = 0;
reg BG = 0;
always @(posedge C16M) begin
BGr0 <= ~nBG;
BGr1 <= BGr0;
if (BGr1 && nASin) BG <= 1;
else if (~BGr0) BG <= 0;
end
/* I/O bus state */ /* I/O bus state */
reg [2:0] IOS = 0; reg [2:0] IOS = 0;
always @(posedge C16M) begin always @(posedge C16M) begin
if (IOS==0) begin if (IOS==0) begin
if (IOREQr) begin if (IOREQr && BG) begin
if (~C8M) begin if (~C8M) begin
IOS <= 1; IOS <= 1;
end else begin end else begin
@ -107,7 +120,7 @@ module IOBM(
end end
/* PDS address and data latch control */ /* PDS address and data latch control */
assign nAoutOE = 0; assign nAoutOE = ~BG;
always @(negedge C16M) begin nDinLE <= IOS==4 || IOS==5; end always @(negedge C16M) begin nDinLE <= IOS==4 || IOS==5; end
always @(posedge C16M) begin always @(posedge C16M) begin
nDoutOE <= ~(IOWE && (IOS==1 || IOS==2 || IOS==3 || nDoutOE <= ~(IOWE && (IOS==1 || IOS==2 || IOS==3 ||
@ -116,7 +129,7 @@ module IOBM(
/* AS, DS control */ /* AS, DS control */
always @(negedge C16M) begin always @(negedge C16M) begin
nAS <= ~(IOS==1 || IOS==2 || IOS==3 || IOS==4 || IOS==5); nASout <= ~(IOS==1 || IOS==2 || IOS==3 || IOS==4 || IOS==5);
nLDS <= ~(IOLDS && (((IOS==1 || IOS==2) && ~IOWE) || IOS==3 || IOS==4 || IOS==5)); nLDS <= ~(IOLDS && (((IOS==1 || IOS==2) && ~IOWE) || IOS==3 || IOS==4 || IOS==5));
nUDS <= ~(IOUDS && (((IOS==1 || IOS==2) && ~IOWE) || IOS==3 || IOS==4 || IOS==5)); nUDS <= ~(IOUDS && (((IOS==1 || IOS==2) && ~IOWE) || IOS==3 || IOS==4 || IOS==5));
end end

View File

@ -1,76 +1,3 @@
#PACE: Start of Constraints generated by PACE
#PACE: Start of PACE I/O Pin Assignments
NET "A_FSB[10]" LOC = "P8" ;
NET "A_FSB[11]" LOC = "P9" ;
NET "A_FSB[12]" LOC = "P10" ;
NET "A_FSB[13]" LOC = "P11" ;
NET "A_FSB[14]" LOC = "P12" ;
NET "A_FSB[15]" LOC = "P13" ;
NET "A_FSB[16]" LOC = "P14" ;
NET "A_FSB[17]" LOC = "P15" ;
NET "A_FSB[18]" LOC = "P16" ;
NET "A_FSB[19]" LOC = "P17" ;
NET "A_FSB[1]" LOC = "P94" ;
NET "A_FSB[20]" LOC = "P18" ;
NET "A_FSB[21]" LOC = "P19" ;
NET "A_FSB[22]" LOC = "P20" ;
NET "A_FSB[23]" LOC = "P24" ;
NET "A_FSB[2]" LOC = "P95" ;
NET "A_FSB[3]" LOC = "P96" ;
NET "A_FSB[4]" LOC = "P97" ;
NET "A_FSB[5]" LOC = "P2" ;
NET "A_FSB[6]" LOC = "P3" ;
NET "A_FSB[7]" LOC = "P4" ;
NET "A_FSB[8]" LOC = "P6" ;
NET "A_FSB[9]" LOC = "P7" ;
NET "CLK2X_IOB" LOC = "P22" ;
NET "CLK_FSB" LOC = "P27" ;
NET "CLK_IOB" LOC = "P23" ;
NET "E_IOB" LOC = "P25" ;
NET "nADoutLE0" LOC = "P85" ;
NET "nADoutLE1" LOC = "P82" ;
NET "nAoutOE" LOC = "P87" ;
NET "nAS_FSB" LOC = "P32" ;
NET "nAS_IOB" LOC = "P81" ;
NET "nBERR_FSB" LOC = "P70" ;
NET "nBERR_IOB" LOC = "P76" ;
NET "nCAS" LOC = "P36" ;
NET "nDinLE" LOC = "P86" ;
NET "nDinOE" LOC = "P90" ;
NET "nDoutOE" LOC = "P89" ;
NET "nDTACK_FSB" LOC = "P28" ;
NET "nDTACK_IOB" LOC = "P78" ;
NET "nLDS_FSB" LOC = "P30" ;
NET "nLDS_IOB" LOC = "P79" ;
NET "nOE" LOC = "P37" ;
NET "nRAMLWE" LOC = "P65" ;
NET "nRAMUWE" LOC = "P66" ;
NET "nRAS" LOC = "P64" ;
NET "nRES" LOC = "P91" ;
NET "nROMCS" LOC = "P35" ;
NET "nROMWE" LOC = "P34" ;
NET "nUDS_FSB" LOC = "P33" ;
NET "nUDS_IOB" LOC = "P80" ;
NET "nVMA_IOB" LOC = "P74" ;
NET "nVPA_FSB" LOC = "P93" ;
NET "nVPA_IOB" LOC = "P77" ;
NET "nWE_FSB" LOC = "P29" ;
NET "RA[0]" LOC = "P53" ;
NET "RA[10]" LOC = "P55" ;
NET "RA[11]" LOC = "P63" ;
NET "RA[1]" LOC = "P50" ;
NET "RA[2]" LOC = "P43" ;
NET "RA[3]" LOC = "P41" ;
NET "RA[4]" LOC = "P40" ;
NET "RA[5]" LOC = "P42" ;
NET "RA[6]" LOC = "P46" ;
NET "RA[7]" LOC = "P52" ;
NET "RA[8]" LOC = "P54" ;
NET "RA[9]" LOC = "P56" ;
#PACE: Start of PACE Area Constraints
#PACE: Start of PACE Prohibit Constraints
#PACE: End of Constraints generated by PACE
#Created by Constraints Editor (xc95144xl-tq100-10) - 2022/02/07 #Created by Constraints Editor (xc95144xl-tq100-10) - 2022/02/07
NET "CLK_FSB" TNM_NET = CLK_FSB; NET "CLK_FSB" TNM_NET = CLK_FSB;
TIMESPEC TS_CLK_FSB = PERIOD "CLK_FSB" 25 MHz HIGH 50%; TIMESPEC TS_CLK_FSB = PERIOD "CLK_FSB" 25 MHz HIGH 50%;
@ -78,3 +5,87 @@ NET "CLK2X_IOB" TNM_NET = CLK2X_IOB;
TIMESPEC TS_CLK2X_IOB = PERIOD "CLK2X_IOB" 15.6672 MHz HIGH 50%; TIMESPEC TS_CLK2X_IOB = PERIOD "CLK2X_IOB" 15.6672 MHz HIGH 50%;
NET "CLK_IOB" TNM_NET = CLK_IOB; NET "CLK_IOB" TNM_NET = CLK_IOB;
TIMESPEC TS_CLK_IOB = PERIOD "CLK_IOB" 7.8336 MHz HIGH 50%; TIMESPEC TS_CLK_IOB = PERIOD "CLK_IOB" 7.8336 MHz HIGH 50%;
#PACE: Start of Constraints generated by PACE
#PACE: Start of PACE I/O Pin Assignments
NET "A_FSB<10>" LOC = "P8" ;
NET "A_FSB<11>" LOC = "P9" ;
NET "A_FSB<12>" LOC = "P10" ;
NET "A_FSB<13>" LOC = "P11" ;
NET "A_FSB<14>" LOC = "P12" ;
NET "A_FSB<15>" LOC = "P13" ;
NET "A_FSB<16>" LOC = "P14" ;
NET "A_FSB<17>" LOC = "P15" ;
NET "A_FSB<18>" LOC = "P16" ;
NET "A_FSB<19>" LOC = "P17" ;
NET "A_FSB<1>" LOC = "P94" ;
NET "A_FSB<20>" LOC = "P18" ;
NET "A_FSB<21>" LOC = "P19" ;
NET "A_FSB<22>" LOC = "P20" ;
NET "A_FSB<23>" LOC = "P24" ;
NET "A_FSB<2>" LOC = "P95" ;
NET "A_FSB<3>" LOC = "P96" ;
NET "A_FSB<4>" LOC = "P97" ;
NET "A_FSB<5>" LOC = "P2" ;
NET "A_FSB<6>" LOC = "P3" ;
NET "A_FSB<7>" LOC = "P4" ;
NET "A_FSB<8>" LOC = "P6" ;
NET "A_FSB<9>" LOC = "P7" ;
NET "CLK20EN" LOC = "P59" ;
NET "CLK25EN" LOC = "P58" ;
NET "CLK2X_IOB" LOC = "P22" ;
NET "CLK_FSB" LOC = "P27" ;
NET "CLK_IOB" LOC = "P23" ;
NET "E_IOB" LOC = "P25" ;
NET "nADoutLE0" LOC = "P85" ;
NET "nADoutLE1" LOC = "P82" ;
NET "nAoutOE" LOC = "P87" ;
NET "nAS_FSB" LOC = "P32" ;
NET "nAS_IOB" LOC = "P81" ;
NET "nBERR_FSB" LOC = "P70" ;
NET "nBERR_IOB" LOC = "P76" ;
NET "nBG_IOB" LOC = "P73" ;
NET "nBR_IOB" LOC = "P72" ;
NET "nCAS" LOC = "P36" ;
NET "nDinLE" LOC = "P86" ;
NET "nDinOE" LOC = "P90" ;
NET "nDoutOE" LOC = "P89" ;
NET "nDTACK_FSB" LOC = "P28" ;
NET "nDTACK_IOB" LOC = "P78" ;
NET "nIPL2" LOC = "P92" ;
NET "nLDS_FSB" LOC = "P30" ;
NET "nLDS_IOB" LOC = "P79" ;
NET "nOE" LOC = "P37" ;
NET "nRAMLWE" LOC = "P65" ;
NET "nRAMUWE" LOC = "P66" ;
NET "nRAS" LOC = "P64" ;
NET "nRES" LOC = "P91" ;
NET "nROMCS" LOC = "P35" ;
NET "nROMWE" LOC = "P34" ;
NET "nUDS_FSB" LOC = "P33" ;
NET "nUDS_IOB" LOC = "P80" ;
NET "nVMA_IOB" LOC = "P74" ;
NET "nVPA_FSB" LOC = "P93" ;
NET "nVPA_IOB" LOC = "P77" ;
NET "nWE_FSB" LOC = "P29" ;
NET "RA<0>" LOC = "P53" ;
NET "RA<10>" LOC = "P55" ;
NET "RA<11>" LOC = "P63" ;
NET "RA<1>" LOC = "P50" ;
NET "RA<2>" LOC = "P43" ;
NET "RA<3>" LOC = "P41" ;
NET "RA<4>" LOC = "P40" ;
NET "RA<5>" LOC = "P42" ;
NET "RA<6>" LOC = "P46" ;
NET "RA<7>" LOC = "P52" ;
NET "RA<8>" LOC = "P54" ;
NET "RA<9>" LOC = "P56" ;
NET "SW<0>" LOC = "P61" ;
NET "SW<1>" LOC = "P60" ;
NET "SW<2>" LOC = "P67" ;
#PACE: Start of PACE Area Constraints
#PACE: Start of PACE Prohibit Constraints
#PACE: End of Constraints generated by PACE

View File

@ -17,8 +17,11 @@ module MXSE(
output nAS_IOB, output nAS_IOB,
output nUDS_IOB, output nUDS_IOB,
output nLDS_IOB, output nLDS_IOB,
output nBR_IOB,
input nBG_IOB,
input nBERR_IOB, input nBERR_IOB,
input nRES, input nRES,
input nIPL2,
output nROMCS, output nROMCS,
output nRAMLWE, output nRAMLWE,
output nRAMUWE, output nRAMUWE,
@ -32,7 +35,15 @@ module MXSE(
output nAoutOE, output nAoutOE,
output nDoutOE, output nDoutOE,
output nDinOE, output nDinOE,
output nDinLE); output nDinLE,
input [2:0] SW,
output CLK20EN,
output CLK25EN);
/* DIP switches */
assign CLK20EN = SW[0];
assign CLK25EN = !SW[0];
wire MotherboardROMEN = !SW[1];
/* AS cycle detection */ /* AS cycle detection */
wire BACT; wire BACT;
@ -42,6 +53,8 @@ module MXSE(
wire IOCS, SCSICS, IOPWCS, IACS, ROMCS, RAMCS, SndRAMCSWR; wire IOCS, SCSICS, IOPWCS, IACS, ROMCS, RAMCS, SndRAMCSWR;
CS cs( CS cs(
/* Setting input */
MotherboardROMEN,
/* MC68HC000 interface */ /* MC68HC000 interface */
A_FSB[23:08], CLK_FSB, nRES, nWE_FSB, A_FSB[23:08], CLK_FSB, nRES, nWE_FSB,
/* AS cycle detection */ /* AS cycle detection */
@ -64,7 +77,7 @@ module MXSE(
nRAMLWE, nRAMUWE, nOE, nROMCS, nROMWE); nRAMLWE, nRAMUWE, nOE, nROMCS, nROMWE);
wire Ready_IOBS, BERR_IOBS; wire Ready_IOBS, BERR_IOBS;
wire IOREQ, IOACT, IOBERR; wire Park, IOREQ, IOACT, IOBERR;
wire ALE0S, ALE0M, ALE1; wire ALE0S, ALE0M, ALE1;
assign nADoutLE0 = ~(ALE0S || ALE0M); assign nADoutLE0 = ~(ALE0S || ALE0M);
assign nADoutLE1 = ~ALE1; assign nADoutLE1 = ~ALE1;
@ -84,16 +97,22 @@ module MXSE(
ALE0S, IORW0, IOL0, IOU0, ALE0S, IORW0, IOL0, IOU0,
/* FIFO secondary level control */ /* FIFO secondary level control */
ALE1); ALE1);
wire nAS_IOBout, nLDS_IOBout, nUDS_IOBout, nVMA_IOBout;
assign nAS_IOB = nAoutOE ? 1'bZ : nAS_IOBout;
assign nLDS_IOB = nAoutOE ? 1'bZ : nLDS_IOBout;
assign nUDS_IOB = nAoutOE ? 1'bZ : nUDS_IOBout;
assign nVMA_IOB = nAoutOE ? 1'bZ : nVMA_IOBout;
IOBM iobm( IOBM iobm(
/* PDS interface */ /* PDS interface */
CLK2X_IOB, CLK_IOB, E_IOB, CLK2X_IOB, CLK_IOB, E_IOB,
nAS_IOB, nLDS_IOB, nUDS_IOB, nVMA_IOB, nBR_IOB, nAS_IOBout, nLDS_IOBout, nUDS_IOBout, nVMA_IOBout,
nDTACK_IOB, nVPA_IOB, nBERR_IOB, nRES, nAS_IOB, nBG_IOB, nDTACK_IOB, nVPA_IOB, nBERR_IOB, nRES,
/* PDS address and data latch control */ /* PDS address and data latch control */
nAoutOE, nDoutOE, ALE0M, nDinLE, nAoutOE, nDoutOE, ALE0M, nDinLE,
/* IO bus slave port interface */ /* IO bus slave port interface */
IOACT, IOBERR, IOREQ, IOL0, IOU0, IORW0); IOACT, IOBERR,
Park, IOREQ, IOL0, IOU0, IORW0);
wire TimeoutA, TimeoutB; wire TimeoutA, TimeoutB;
CNT cnt( CNT cnt(
@ -103,6 +122,22 @@ module MXSE(
RefReq, RefUrgent, RefAck, RefReq, RefUrgent, RefAck,
/* Timeout signals */ /* Timeout signals */
TimeoutA, TimeoutB); TimeoutA, TimeoutB);
/* Accelerator Disable Control */
reg RESr0 = 0;
reg RESr1 = 0;
reg RESr2 = 0;
reg IPL2r0 = 0;
reg IPL2r1 = 0;
reg RESDone = 0;
reg Disable = 0;
assign Park = ~Disable;
always @(posedge CLK_FSB) begin
RESr0 <= ~nRES; RESr1 <= RESr0; RESr2 <= RESr1;
IPL2r0 <= ~nIPL2; IPL2r1 <= IPL2r0;
if ( RESr0 && RESr1 && RESr2 && ~RESDone && IPL2r0 && IPL2r1) Disable <= 1;
if (~RESr0 && ~RESr1 && RESr2) RESDone <= 1;
end
FSB fsb( FSB fsb(
/* MC68HC000 interface */ /* MC68HC000 interface */
@ -110,7 +145,7 @@ module MXSE(
/* AS cycle detection */ /* AS cycle detection */
BACT, BACT,
/* Ready and IA inputs */ /* Ready and IA inputs */
Ready_RAM, Ready_IOBS, ~(SndRAMCSWR && ~TimeoutA), Ready_RAM, Ready_IOBS, ~(SndRAMCSWR && ~TimeoutA), Disable,
/* BERR inputs */ /* BERR inputs */
(~SCSICS && TimeoutB), BERR_IOBS, (~SCSICS && TimeoutB), BERR_IOBS,
/* Interrupt acknowledge select */ /* Interrupt acknowledge select */

View File

@ -1,166 +0,0 @@
xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.syr"
ngdbuild -intstyle ise -dd _ngo -uc C:/Users/zanek/Documents/GitHub/SE-030/cpld/MXSE.ucf -p xc95144xl-TQ100-10 MXSE.ngc MXSE.ngd
cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper MXSE.ngd
XSLTProcess MXSE_build.xml
tsim -intstyle ise MXSE MXSE.nga
taengine -intstyle ise -f MXSE -w --format html1 -l MXSE_html/tim/timing_report.htm
hprep6 -s IEEE1149 -n MXSE -i MXSE
xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.syr"
ngdbuild -intstyle ise -dd _ngo -uc C:/Users/zanek/Documents/GitHub/SE-030/cpld/MXSE.ucf -p xc95144xl-TQ100-10 MXSE.ngc MXSE.ngd
cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper MXSE.ngd
XSLTProcess MXSE_build.xml
tsim -intstyle ise MXSE MXSE.nga
taengine -intstyle ise -f MXSE -w --format html1 -l MXSE_html/tim/timing_report.htm
xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.syr"
ngdbuild -intstyle ise -dd _ngo -uc C:/Users/zanek/Documents/GitHub/SE-030/cpld/MXSE.ucf -p xc95144xl-TQ100-10 MXSE.ngc MXSE.ngd
xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.syr"
ngdbuild -intstyle ise -dd _ngo -uc C:/Users/zanek/Documents/GitHub/SE-030/cpld/MXSE.ucf -p xc95144xl-TQ100-10 MXSE.ngc MXSE.ngd
cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper MXSE.ngd
XSLTProcess MXSE_build.xml
tsim -intstyle ise MXSE MXSE.nga
taengine -intstyle ise -f MXSE -w --format html1 -l MXSE_html/tim/timing_report.htm
xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.syr"
ngdbuild -intstyle ise -dd _ngo -uc C:/Users/zanek/Documents/GitHub/SE-030/cpld/MXSE.ucf -p xc95144xl-TQ100-10 MXSE.ngc MXSE.ngd
cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper MXSE.ngd
XSLTProcess MXSE_build.xml
tsim -intstyle ise MXSE MXSE.nga
taengine -intstyle ise -f MXSE -w --format html1 -l MXSE_html/tim/timing_report.htm
xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.syr"
ngdbuild -intstyle ise -dd _ngo -uc C:/Users/zanek/Documents/GitHub/SE-030/cpld/MXSE.ucf -p xc95144xl-TQ100-10 MXSE.ngc MXSE.ngd
cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper MXSE.ngd
XSLTProcess MXSE_build.xml
tsim -intstyle ise MXSE MXSE.nga
taengine -intstyle ise -f MXSE -w --format html1 -l MXSE_html/tim/timing_report.htm
xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.syr"
xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.syr"
ngdbuild -intstyle ise -dd _ngo -uc C:/Users/zanek/Documents/GitHub/SE-030/cpld/MXSE.ucf -p xc95144xl-TQ100-10 MXSE.ngc MXSE.ngd
cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper MXSE.ngd
XSLTProcess MXSE_build.xml
tsim -intstyle ise MXSE MXSE.nga
taengine -intstyle ise -f MXSE -w --format html1 -l MXSE_html/tim/timing_report.htm
hprep6 -s IEEE1149 -n MXSE -i MXSE
-cwd "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL" timingan_cpld -intstyle ise "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.vm6"
xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.syr"
ngdbuild -intstyle ise -dd _ngo -uc C:/Users/zanek/Documents/GitHub/SE-030/cpld/MXSE.ucf -p xc95144xl-TQ100-10 MXSE.ngc MXSE.ngd
cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper MXSE.ngd
XSLTProcess MXSE_build.xml
tsim -intstyle ise MXSE MXSE.nga
taengine -intstyle ise -f MXSE -w --format html1 -l MXSE_html/tim/timing_report.htm
-cwd "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL" timingan_cpld -intstyle ise "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.vm6"
hprep6 -s IEEE1149 -n MXSE -i MXSE
xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.syr"
ngdbuild -intstyle ise -dd _ngo -uc C:/Users/zanek/Documents/GitHub/SE-030/cpld/MXSE.ucf -p xc95144xl-TQ100-10 MXSE.ngc MXSE.ngd
cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper MXSE.ngd
XSLTProcess MXSE_build.xml
tsim -intstyle ise MXSE MXSE.nga
hprep6 -s IEEE1149 -n MXSE -i MXSE
xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.syr"
ngdbuild -intstyle ise -dd _ngo -uc C:/Users/zanek/Documents/GitHub/SE-030/cpld/MXSE.ucf -p xc95144xl-TQ100-10 MXSE.ngc MXSE.ngd
cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper MXSE.ngd
XSLTProcess MXSE_build.xml
tsim -intstyle ise MXSE MXSE.nga
hprep6 -s IEEE1149 -n MXSE -i MXSE
xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.syr"
ngdbuild -intstyle ise -dd _ngo -uc C:/Users/zanek/Documents/GitHub/SE-030/cpld/MXSE.ucf -p xc95144xl-TQ100-10 MXSE.ngc MXSE.ngd
cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper MXSE.ngd
XSLTProcess MXSE_build.xml
tsim -intstyle ise MXSE MXSE.nga
hprep6 -s IEEE1149 -n MXSE -i MXSE
xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.syr"
xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.syr"
xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.syr"
xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.syr"
xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.syr"
xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.syr"
xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.syr"
ngdbuild -intstyle ise -dd _ngo -uc C:/Users/zanek/Documents/GitHub/SE-030/cpld/MXSE.ucf -p xc95144xl-TQ100-10 MXSE.ngc MXSE.ngd
cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper MXSE.ngd
XSLTProcess MXSE_build.xml
tsim -intstyle ise MXSE MXSE.nga
hprep6 -s IEEE1149 -n MXSE -i MXSE
taengine -intstyle ise -f MXSE -w --format html1 -l MXSE_html/tim/timing_report.htm
xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.syr"
ngdbuild -intstyle ise -dd _ngo -uc C:/Users/zanek/Documents/GitHub/SE-030/cpld/MXSE.ucf -p xc95144xl-TQ100-10 MXSE.ngc MXSE.ngd
cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper MXSE.ngd
XSLTProcess MXSE_build.xml
tsim -intstyle ise MXSE MXSE.nga
hprep6 -s IEEE1149 -n MXSE -i MXSE
xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.syr"
xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.syr"
ngdbuild -intstyle ise -dd _ngo -uc C:/Users/zanek/Documents/GitHub/SE-030/cpld/MXSE.ucf -p xc95144xl-TQ100-10 MXSE.ngc MXSE.ngd
cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper MXSE.ngd
XSLTProcess MXSE_build.xml
tsim -intstyle ise MXSE MXSE.nga
hprep6 -s IEEE1149 -n MXSE -i MXSE
xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.syr"
ngdbuild -intstyle ise -dd _ngo -uc C:/Users/zanek/Documents/GitHub/SE-030/cpld/MXSE.ucf -p xc95144xl-TQ100-10 MXSE.ngc MXSE.ngd
cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper MXSE.ngd
XSLTProcess MXSE_build.xml
tsim -intstyle ise MXSE MXSE.nga
hprep6 -s IEEE1149 -n MXSE -i MXSE
xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.syr"
ngdbuild -intstyle ise -dd _ngo -uc C:/Users/zanek/Documents/GitHub/SE-030/cpld/MXSE.ucf -p xc95144xl-TQ100-10 MXSE.ngc MXSE.ngd
cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper MXSE.ngd
XSLTProcess MXSE_build.xml
tsim -intstyle ise MXSE MXSE.nga
taengine -intstyle ise -f MXSE -w --format html1 -l MXSE_html/tim/timing_report.htm
xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.syr"
xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.syr"
xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.syr"
xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.syr"
xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.syr"
ngdbuild -intstyle ise -dd _ngo -uc C:/Users/zanek/Documents/GitHub/SE-030/cpld/MXSE.ucf -p xc95144xl-TQ100-10 MXSE.ngc MXSE.ngd
cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper MXSE.ngd
XSLTProcess MXSE_build.xml
tsim -intstyle ise MXSE MXSE.nga
hprep6 -s IEEE1149 -n MXSE -i MXSE
xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.syr"
ngdbuild -intstyle ise -dd _ngo -uc C:/Users/zanek/Documents/GitHub/SE-030/cpld/MXSE.ucf -p xc95144xl-TQ100-10 MXSE.ngc MXSE.ngd
cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper MXSE.ngd
XSLTProcess MXSE_build.xml
tsim -intstyle ise MXSE MXSE.nga
hprep6 -s IEEE1149 -n MXSE -i MXSE
xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.syr"
ngdbuild -intstyle ise -dd _ngo -uc C:/Users/zanek/Documents/GitHub/SE-030/cpld/MXSE.ucf -p xc95144xl-TQ100-10 MXSE.ngc MXSE.ngd
cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper MXSE.ngd
XSLTProcess MXSE_build.xml
tsim -intstyle ise MXSE MXSE.nga
hprep6 -s IEEE1149 -n MXSE -i MXSE
xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.syr"
ngdbuild -intstyle ise -dd _ngo -uc C:/Users/zanek/Documents/GitHub/SE-030/cpld/MXSE.ucf -p xc95144xl-TQ100-10 MXSE.ngc MXSE.ngd
cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper MXSE.ngd
XSLTProcess MXSE_build.xml
tsim -intstyle ise MXSE MXSE.nga
hprep6 -s IEEE1149 -n MXSE -i MXSE
xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.syr"
xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.syr"
ngdbuild -intstyle ise -dd _ngo -uc C:/Users/zanek/Documents/GitHub/SE-030/cpld/MXSE.ucf -p xc95144xl-TQ100-10 MXSE.ngc MXSE.ngd
cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper MXSE.ngd
XSLTProcess MXSE_build.xml
tsim -intstyle ise MXSE MXSE.nga
hprep6 -s IEEE1149 -n MXSE -i MXSE
xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.syr"
ngdbuild -intstyle ise -dd _ngo -uc C:/Users/zanek/Documents/GitHub/SE-030/cpld/MXSE.ucf -p xc95144xl-TQ100-10 MXSE.ngc MXSE.ngd
cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper MXSE.ngd
XSLTProcess MXSE_build.xml
tsim -intstyle ise MXSE MXSE.nga
hprep6 -s IEEE1149 -n MXSE -i MXSE
xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.syr"
ngdbuild -intstyle ise -dd _ngo -uc C:/Users/zanek/Documents/GitHub/SE-030/cpld/MXSE.ucf -p xc95144xl-TQ100-10 MXSE.ngc MXSE.ngd
cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper MXSE.ngd
XSLTProcess MXSE_build.xml
tsim -intstyle ise MXSE MXSE.nga
hprep6 -s IEEE1149 -n MXSE -i MXSE
taengine -intstyle ise -f MXSE -w --format html1 -l MXSE_html/tim/timing_report.htm
xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.syr"
ngdbuild -intstyle ise -dd _ngo -uc C:/Users/zanek/Documents/GitHub/SE-030/cpld/MXSE.ucf -p xc95144xl-TQ100-10 MXSE.ngc MXSE.ngd
xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.syr"
ngdbuild -intstyle ise -dd _ngo -uc C:/Users/zanek/Documents/GitHub/SE-030/cpld/MXSE.ucf -p xc95144xl-TQ100-10 MXSE.ngc MXSE.ngd
cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper MXSE.ngd
xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/XC95144XL/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/XC95144XL/MXSE.syr"
ngdbuild -intstyle ise -dd _ngo -uc C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/MXSE.ucf -p xc95144xl-TQ100-10 MXSE.ngc MXSE.ngd
cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper MXSE.ngd
XSLTProcess MXSE_build.xml
tsim -intstyle ise MXSE MXSE.nga
taengine -intstyle ise -f MXSE -w --format html1 -l MXSE_html/tim/timing_report.htm
hprep6 -s IEEE1149 -n MXSE -i MXSE

View File

@ -1,117 +0,0 @@
Pin Freeze File: version P.20131013
95144XL100 XC95144XL-10-TQ100
A_FSB<10> S:PIN89
A_FSB<11> S:PIN86
A_FSB<12> S:PIN92
A_FSB<13> S:PIN82
A_FSB<14> S:PIN93
A_FSB<15> S:PIN80
A_FSB<16> S:PIN95
A_FSB<17> S:PIN96
A_FSB<18> S:PIN76
A_FSB<19> S:PIN73
A_FSB<1> S:PIN90
A_FSB<20> S:PIN71
A_FSB<21> S:PIN68
A_FSB<22> S:PIN9
A_FSB<23> S:PIN66
A_FSB<2> S:PIN16
A_FSB<3> S:PIN78
A_FSB<4> S:PIN56
A_FSB<5> S:PIN15
A_FSB<6> S:PIN19
A_FSB<7> S:PIN20
A_FSB<8> S:PIN64
A_FSB<9> S:PIN12
CLK2X_IOB S:PIN22
CLK_FSB S:PIN23
CLK_IOB S:PIN27
E_IOB S:PIN49
nAS_FSB S:PIN13
nBERR_IOB S:PIN18
nDTACK_IOB S:PIN54
nLDS_FSB S:PIN25
nRES S:PIN99
nUDS_FSB S:PIN52
nVPA_IOB S:PIN59
nWE_FSB S:PIN61
RA<11> S:PIN63
RA<10> S:PIN65
RA<0> S:PIN87
RA<1> S:PIN35
RA<2> S:PIN91
RA<3> S:PIN39
RA<4> S:PIN94
RA<5> S:PIN50
RA<6> S:PIN53
RA<7> S:PIN55
RA<8> S:PIN58
RA<9> S:PIN60
nADoutLE0 S:PIN67
nADoutLE1 S:PIN29
nAS_IOB S:PIN10
nAoutOE S:PIN70
nBERR_FSB S:PIN14
nCAS S:PIN74
nDTACK_FSB S:PIN11
nDinLE S:PIN72
nDinOE S:PIN97
nDoutOE S:PIN8
nLDS_IOB S:PIN6
nOE S:PIN17
nRAMLWE S:PIN77
nRAMUWE S:PIN79
nRAS S:PIN24
nROMCS S:PIN42
nROMWE S:PIN81
nUDS_IOB S:PIN7
nVMA_IOB S:PIN33
nVPA_FSB S:PIN85
;The remaining section of the .gyd file is for documentation purposes only.
;It shows where your internal equations were placed in the last successful fit.
PARTITION FB1_1 EXP14_ nDTACK_FSB_OBUF EXP15_ $OpTx$FX_DC$606
EXP16_ nBERR_FSB_OBUF fsb/Ready1r
PARTITION FB1_9 EXP17_ fsb/VPA__or00001/fsb/VPA__or00001_D2 nOE_OBUF fsb/Ready2r
EXP18_ EXP19_ fsb/VPA EXP20_
$OpTx$FX_DC$602 EXP21_
PARTITION FB2_1 iobm/VPArr iobm/VPArf iobm/RESrr iobm/RESrf
iobm/IOREQr cnt/RefCnt<3> cnt/RefCnt<2> cnt/RefCnt<1>
fsb/BERR1r cnt/RefDone nLDS_IOB_OBUF nUDS_IOB_OBUF
cnt/TimeoutBPre nDoutOE_OBUF TimeoutB TimeoutA
nAS_IOB_OBUF iobs/IOReady
PARTITION FB3_1 EXP22_ EXP23_ IORW0 iobs/IOACTr
nRAS_OBUF iobs/Clear1 fsb/ASrf ALE0S
iobs/PS_FSM_FFd2 EXP24_ nADoutLE1_OBUF iobs/PS_FSM_FFd1
IOREQ iobm/ETACK nVMA_IOB_OBUF EXP25_
iobs/IORW1 ram/BACTr
PARTITION FB4_1 ram/RASEL RA_0_OBUF cs/nOverlay1
PARTITION FB4_5 EXP26_ iobs/Load1 EXP27_ RA_2_OBUF
EXP28_ iobs/Once EXP29_ RA_4_OBUF
EXP30_ ram/RAMDIS1 EXP31_ fsb/BERR0r
nDinOE_OBUF EXP32_
PARTITION FB5_1 IOACT RA_1_OBUF iobm/IOS_FSM_FFd7 iobm/IOS_FSM_FFd6
iobm/IOS_FSM_FFd5 iobm/IOS_FSM_FFd4 iobm/BERRrr RA_3_OBUF
iobm/BERRrf cnt/RefCnt<0> iobm/IOS_FSM_FFd8 nROMCS_OBUF
ALE0M iobm/IOS_FSM_FFd2 BERR_IOBS iobm/IOS_FSM_FFd3
EXP33_ IOBERR
PARTITION FB6_1 iobm/Er2 nCAS_OBUF iobm/DTACKrr iobm/DTACKrf
RefAck nRAMLWE_OBUF iobs/IOU1 iobs/IOL1
nRAMUWE_OBUF iobm/ES<3> iobm/ES<1> nROMWE_OBUF
iobm/ES<0> IOU0 nVPA_FSB_OBUF IOL0
iobm/ES<4> iobm/ES<2>
PARTITION FB7_1 cnt/RefCnt<5> RA_5_OBUF cnt/RefCnt<4> cs/nOverlay0
ram/RS_FSM_FFd3 RA_6_OBUF fsb/Ready0r ram/RS_FSM_FFd1
RA_7_OBUF ram/Once ram/RAMDIS2 RA_8_OBUF
ram/RS_FSM_FFd2 cnt/RefCnt<7> RA_9_OBUF cnt/RefCnt<6>
ram/RAMReady EXP34_
PARTITION FB8_2 A_FSB_19_IBUF$BUF0
PARTITION FB8_6 A_FSB_21_IBUF$BUF0
PARTITION FB8_9 nADoutLE0_OBUF
PARTITION FB8_12 nAoutOE_OBUF
PARTITION FB8_15 nDinLE_OBUF
PARTITION FB8_17 iobm/IOS_FSM_FFd1 iobm/Er

File diff suppressed because it is too large Load Diff

File diff suppressed because one or more lines are too long

File diff suppressed because one or more lines are too long

File diff suppressed because one or more lines are too long

File diff suppressed because one or more lines are too long

View File

@ -1,18 +0,0 @@
<?xml version='1.0' encoding='utf-8' ?>
<!DOCTYPE ibis [
<!ELEMENT ibis (part, pin+)>
<!ELEMENT part EMPTY>
<!ELEMENT pin EMPTY>
<!ATTLIST part
arch CDATA #REQUIRED
device CDATA #REQUIRED
spg CDATA #REQUIRED
pkg CDATA #REQUIRED>
<!ATTLIST pin
nm CDATA #REQUIRED
no CDATA #REQUIRED
iostd (TTL|LVTTL|LVCMOS2|NA) "NA"
sr (SLOW|FAST|slow|fast) "SLOW"
dir (BIDIR|bidir|INPUT|input|OUTPUT|output) "BIDIR">
]>
<ibis><part arch="xc9500xl" device="XC95144XL" pkg="TQ100" spg="-10"/><pin dir="input" nm="A_FSB&lt;9&gt;" no="12"/><pin dir="input" nm="A_FSB&lt;8&gt;" no="64"/><pin dir="input" nm="A_FSB&lt;15&gt;" no="80"/><pin dir="input" nm="A_FSB&lt;14&gt;" no="93"/><pin dir="input" nm="A_FSB&lt;13&gt;" no="82"/><pin dir="input" nm="A_FSB&lt;12&gt;" no="92"/><pin dir="input" nm="A_FSB&lt;11&gt;" no="86"/><pin dir="input" nm="A_FSB&lt;10&gt;" no="89"/><pin dir="input" nm="A_FSB&lt;23&gt;" no="66"/><pin dir="input" nm="A_FSB&lt;22&gt;" no="9"/><pin dir="input" nm="A_FSB&lt;21&gt;" no="68"/><pin dir="input" nm="A_FSB&lt;20&gt;" no="71"/><pin dir="input" nm="A_FSB&lt;19&gt;" no="73"/><pin dir="input" nm="A_FSB&lt;18&gt;" no="76"/><pin dir="input" nm="A_FSB&lt;17&gt;" no="96"/><pin dir="input" nm="A_FSB&lt;16&gt;" no="95"/><pin dir="input" nm="CLK2X_IOB" no="22"/><pin dir="input" nm="CLK_FSB" no="23"/><pin dir="input" nm="nAS_FSB" no="13"/><pin dir="input" nm="nWE_FSB" no="61"/><pin dir="input" nm="nBERR_IOB" no="18"/><pin dir="input" nm="CLK_IOB" no="27"/><pin dir="input" nm="nRES" no="99"/><pin dir="input" nm="nLDS_FSB" no="25"/><pin dir="input" nm="nUDS_FSB" no="52"/><pin dir="input" nm="E_IOB" no="49"/><pin dir="input" nm="nVPA_IOB" no="59"/><pin dir="input" nm="nDTACK_IOB" no="54"/><pin dir="input" nm="A_FSB&lt;1&gt;" no="90"/><pin dir="input" nm="A_FSB&lt;2&gt;" no="16"/><pin dir="input" nm="A_FSB&lt;3&gt;" no="78"/><pin dir="input" nm="A_FSB&lt;4&gt;" no="56"/><pin dir="input" nm="A_FSB&lt;5&gt;" no="15"/><pin dir="input" nm="A_FSB&lt;6&gt;" no="19"/><pin dir="input" nm="A_FSB&lt;7&gt;" no="20"/><pin dir="output" nm="nVMA_IOB" no="33" sr="fast"/><pin dir="output" nm="nDTACK_FSB" no="11" sr="fast"/><pin dir="output" nm="nAS_IOB" no="10" sr="fast"/><pin dir="output" nm="nCAS" no="74" sr="fast"/><pin dir="output" nm="nDinLE" no="72" sr="fast"/><pin dir="output" nm="nDoutOE" no="8" sr="fast"/><pin dir="output" nm="nLDS_IOB" no="6" sr="fast"/><pin dir="output" nm="nUDS_IOB" no="7" sr="fast"/><pin dir="output" nm="RA&lt;0&gt;" no="87" sr="fast"/><pin dir="output" nm="RA&lt;1&gt;" no="35" sr="fast"/><pin dir="output" nm="RA&lt;2&gt;" no="91" sr="fast"/><pin dir="output" nm="RA&lt;3&gt;" no="39" sr="fast"/><pin dir="output" nm="RA&lt;4&gt;" no="94" sr="fast"/><pin dir="output" nm="RA&lt;5&gt;" no="50" sr="fast"/><pin dir="output" nm="RA&lt;6&gt;" no="53" sr="fast"/><pin dir="output" nm="RA&lt;7&gt;" no="55" sr="fast"/><pin dir="output" nm="RA&lt;8&gt;" no="58" sr="fast"/><pin dir="output" nm="RA&lt;9&gt;" no="60" sr="fast"/><pin dir="output" nm="nBERR_FSB" no="14" sr="fast"/><pin dir="output" nm="nOE" no="17" sr="fast"/><pin dir="output" nm="nROMWE" no="81" sr="fast"/><pin dir="output" nm="nVPA_FSB" no="85" sr="fast"/><pin dir="output" nm="nADoutLE0" no="67" sr="fast"/><pin dir="output" nm="nDinOE" no="97" sr="fast"/><pin dir="output" nm="nRAS" no="24" sr="fast"/><pin dir="output" nm="RA&lt;11&gt;" no="63" sr="fast"/><pin dir="output" nm="RA&lt;10&gt;" no="65" sr="fast"/><pin dir="output" nm="nADoutLE1" no="29" sr="fast"/><pin dir="output" nm="nRAMLWE" no="77" sr="fast"/><pin dir="output" nm="nRAMUWE" no="79" sr="fast"/><pin dir="output" nm="nROMCS" no="42" sr="fast"/><pin dir="output" nm="nAoutOE" no="70" sr="fast"/></ibis>

File diff suppressed because it is too large Load Diff

View File

@ -1,474 +0,0 @@
Release 14.7 - xst P.20131013 (nt)
Copyright (c) 1995-2013 Xilinx, Inc. All rights reserved.
--> Parameter TMPDIR set to xst/projnav.tmp
Total REAL time to Xst completion: 0.00 secs
Total CPU time to Xst completion: 0.36 secs
--> Parameter xsthdpdir set to xst
Total REAL time to Xst completion: 0.00 secs
Total CPU time to Xst completion: 0.36 secs
--> Reading design: MXSE.prj
TABLE OF CONTENTS
1) Synthesis Options Summary
2) HDL Compilation
3) Design Hierarchy Analysis
4) HDL Analysis
5) HDL Synthesis
5.1) HDL Synthesis Report
6) Advanced HDL Synthesis
6.1) Advanced HDL Synthesis Report
7) Low Level Synthesis
8) Partition Report
9) Final Report
=========================================================================
* Synthesis Options Summary *
=========================================================================
---- Source Parameters
Input File Name : "MXSE.prj"
Input Format : mixed
Ignore Synthesis Constraint File : NO
---- Target Parameters
Output File Name : "MXSE"
Output Format : NGC
Target Device : XC9500XL CPLDs
---- Source Options
Top Module Name : MXSE
Automatic FSM Extraction : YES
FSM Encoding Algorithm : Auto
Safe Implementation : No
Mux Extraction : Yes
Resource Sharing : YES
---- Target Options
Add IO Buffers : YES
MACRO Preserve : YES
XOR Preserve : YES
Equivalent register Removal : YES
---- General Options
Optimization Goal : Speed
Optimization Effort : 1
Keep Hierarchy : Yes
Netlist Hierarchy : As_Optimized
RTL Output : Yes
Hierarchy Separator : /
Bus Delimiter : <>
Case Specifier : Maintain
Verilog 2001 : YES
---- Other Options
Clock Enable : YES
wysiwyg : NO
=========================================================================
=========================================================================
* HDL Compilation *
=========================================================================
Compiling verilog file "../RAM.v" in library work
Compiling verilog file "../IOBS.v" in library work
Module <RAM> compiled
Compiling verilog file "../IOBM.v" in library work
Module <IOBS> compiled
Compiling verilog file "../FSB.v" in library work
Module <IOBM> compiled
Compiling verilog file "../CS.v" in library work
Module <FSB> compiled
Compiling verilog file "../CNT.v" in library work
Module <CS> compiled
Compiling verilog file "../MXSE.v" in library work
Module <CNT> compiled
Module <MXSE> compiled
No errors in compilation
Analysis of file <"MXSE.prj"> succeeded.
=========================================================================
* Design Hierarchy Analysis *
=========================================================================
Analyzing hierarchy for module <MXSE> in library <work>.
Analyzing hierarchy for module <CS> in library <work>.
Analyzing hierarchy for module <RAM> in library <work>.
Analyzing hierarchy for module <IOBS> in library <work>.
Analyzing hierarchy for module <IOBM> in library <work>.
Analyzing hierarchy for module <CNT> in library <work>.
Analyzing hierarchy for module <FSB> in library <work>.
=========================================================================
* HDL Analysis *
=========================================================================
Analyzing top module <MXSE>.
Module <MXSE> is correct for synthesis.
Analyzing module <CS> in library <work>.
Module <CS> is correct for synthesis.
Analyzing module <RAM> in library <work>.
Module <RAM> is correct for synthesis.
Analyzing module <IOBS> in library <work>.
Module <IOBS> is correct for synthesis.
Analyzing module <IOBM> in library <work>.
Module <IOBM> is correct for synthesis.
Analyzing module <CNT> in library <work>.
Module <CNT> is correct for synthesis.
Analyzing module <FSB> in library <work>.
Module <FSB> is correct for synthesis.
=========================================================================
* HDL Synthesis *
=========================================================================
Performing bidirectional port resolution...
Synthesizing Unit <CS>.
Related source file is "../CS.v".
Found 1-bit register for signal <nOverlay0>.
Found 1-bit register for signal <nOverlay1>.
Summary:
inferred 2 D-type flip-flop(s).
Unit <CS> synthesized.
Synthesizing Unit <RAM>.
Related source file is "../RAM.v".
Found finite state machine <FSM_0> for signal <RS>.
-----------------------------------------------------------------------
| States | 8 |
| Transitions | 18 |
| Inputs | 6 |
| Outputs | 9 |
| Clock | CLK (rising_edge) |
| Power Up State | 000 |
| Encoding | automatic |
| Implementation | automatic |
-----------------------------------------------------------------------
Found 1-bit register for signal <nCAS>.
Found 1-bit register for signal <BACTr>.
Found 1-bit register for signal <Once>.
Found 1-bit register for signal <RAMDIS1>.
Found 1-bit register for signal <RAMDIS2>.
Found 1-bit register for signal <RAMReady>.
Found 1-bit register for signal <RASEL>.
Found 1-bit register for signal <RefRAS>.
Summary:
inferred 1 Finite State Machine(s).
inferred 6 D-type flip-flop(s).
Unit <RAM> synthesized.
Synthesizing Unit <IOBS>.
Related source file is "../IOBS.v".
Found finite state machine <FSM_1> for signal <PS>.
-----------------------------------------------------------------------
| States | 4 |
| Transitions | 10 |
| Inputs | 5 |
| Outputs | 5 |
| Clock | CLK (rising_edge) |
| Power Up State | 00 |
| Encoding | automatic |
| Implementation | automatic |
-----------------------------------------------------------------------
Found 1-bit register for signal <BERR>.
Found 1-bit register for signal <IOREQ>.
Found 1-bit register for signal <IORW0>.
Found 1-bit register for signal <IOL0>.
Found 1-bit register for signal <IOU0>.
Found 1-bit register for signal <ALE0>.
Found 1-bit register for signal <ALE1>.
Found 1-bit register for signal <Clear1>.
Found 1-bit register for signal <IOACTr>.
Found 1-bit register for signal <IOL1>.
Found 1-bit register for signal <IOReady>.
Found 1-bit register for signal <IORW1>.
Found 1-bit register for signal <IOU1>.
Found 1-bit register for signal <Load1>.
Found 1-bit register for signal <Once>.
Summary:
inferred 1 Finite State Machine(s).
inferred 9 D-type flip-flop(s).
Unit <IOBS> synthesized.
Synthesizing Unit <IOBM>.
Related source file is "../IOBM.v".
Found finite state machine <FSM_2> for signal <IOS>.
-----------------------------------------------------------------------
| States | 8 |
| Transitions | 15 |
| Inputs | 6 |
| Outputs | 9 |
| Clock | C16M (rising_edge) |
| Power Up State | 000 |
| Encoding | automatic |
| Implementation | automatic |
-----------------------------------------------------------------------
Found 1-bit register for signal <IOBERR>.
Found 1-bit register for signal <IOACT>.
Found 1-bit register for signal <nAS>.
Found 1-bit register for signal <nLDS>.
Found 1-bit register for signal <nUDS>.
Found 1-bit register for signal <nDinLE>.
Found 1-bit register for signal <nDoutOE>.
Found 1-bit register for signal <ALE0>.
Found 1-bit register for signal <nVMA>.
Found 1-bit register for signal <BERRrf>.
Found 1-bit register for signal <BERRrr>.
Found 1-bit register for signal <DTACKrf>.
Found 1-bit register for signal <DTACKrr>.
Found 1-bit register for signal <Er>.
Found 1-bit register for signal <Er2>.
Found 5-bit up counter for signal <ES>.
Found 1-bit register for signal <ETACK>.
Found 1-bit register for signal <IOREQr>.
Found 1-bit register for signal <RESrf>.
Found 1-bit register for signal <RESrr>.
Found 1-bit register for signal <VPArf>.
Found 1-bit register for signal <VPArr>.
Summary:
inferred 1 Finite State Machine(s).
inferred 1 Counter(s).
inferred 20 D-type flip-flop(s).
Unit <IOBM> synthesized.
Synthesizing Unit <CNT>.
Related source file is "../CNT.v".
Found 1-bit register for signal <TimeoutA>.
Found 1-bit register for signal <TimeoutB>.
Found 8-bit up counter for signal <RefCnt>.
Found 1-bit register for signal <RefDone>.
Found 1-bit register for signal <TimeoutBPre>.
Summary:
inferred 1 Counter(s).
Unit <CNT> synthesized.
Synthesizing Unit <FSB>.
Related source file is "../FSB.v".
Found 1-bit register for signal <nDTACK>.
Found 1-bit register for signal <ASrf>.
Found 1-bit register for signal <BERR0r>.
Found 1-bit register for signal <BERR1r>.
Found 1-bit register for signal <Ready0r>.
Found 1-bit register for signal <Ready1r>.
Found 1-bit register for signal <Ready2r>.
Found 1-bit register for signal <VPA>.
Summary:
inferred 1 D-type flip-flop(s).
Unit <FSB> synthesized.
Synthesizing Unit <MXSE>.
Related source file is "../MXSE.v".
Unit <MXSE> synthesized.
=========================================================================
HDL Synthesis Report
Macro Statistics
# Counters : 2
5-bit up counter : 1
8-bit up counter : 1
# Registers : 58
1-bit register : 58
=========================================================================
=========================================================================
* Advanced HDL Synthesis *
=========================================================================
Analyzing FSM <FSM_2> for best encoding.
Optimizing FSM <iobm/IOS/FSM> on signal <IOS[1:8]> with one-hot encoding.
-------------------
State | Encoding
-------------------
000 | 00000001
001 | 00000010
010 | 00000100
011 | 00001000
100 | 00010000
101 | 00100000
110 | 01000000
111 | 10000000
-------------------
Analyzing FSM <FSM_1> for best encoding.
Optimizing FSM <iobs/PS/FSM> on signal <PS[1:2]> with johnson encoding.
-------------------
State | Encoding
-------------------
00 | 00
11 | 01
10 | 11
01 | 10
-------------------
Analyzing FSM <FSM_0> for best encoding.
Optimizing FSM <ram/RS/FSM> on signal <RS[1:3]> with user encoding.
-------------------
State | Encoding
-------------------
000 | 000
010 | 010
101 | 101
001 | 001
011 | 011
100 | 100
111 | 111
110 | 110
-------------------
=========================================================================
Advanced HDL Synthesis Report
Macro Statistics
# FSMs : 3
# Counters : 2
5-bit up counter : 1
8-bit up counter : 1
# Registers : 38
Flip-Flops : 38
=========================================================================
=========================================================================
* Low Level Synthesis *
=========================================================================
Optimizing unit <MXSE> ...
Optimizing unit <CS> ...
implementation constraint: INIT=r : nOverlay0
implementation constraint: INIT=r : nOverlay1
Optimizing unit <RAM> ...
implementation constraint: INIT=r : RAMReady
implementation constraint: INIT=r : RASEL
implementation constraint: INIT=r : RAMDIS1
implementation constraint: INIT=r : RefRAS
implementation constraint: INIT=r : RAMDIS2
implementation constraint: INIT=r : Once
implementation constraint: INIT=r : RS_FSM_FFd1
implementation constraint: INIT=r : RS_FSM_FFd2
implementation constraint: INIT=r : RS_FSM_FFd3
Optimizing unit <IOBS> ...
implementation constraint: INIT=r : IOACTr
implementation constraint: INIT=r : PS_FSM_FFd2
implementation constraint: INIT=r : Once
implementation constraint: INIT=r : PS_FSM_FFd1
Optimizing unit <FSB> ...
implementation constraint: INIT=r : ASrf
Optimizing unit <IOBM> ...
implementation constraint: INIT=r : ETACK
implementation constraint: INIT=r : IOREQr
implementation constraint: INIT=r : IOS_FSM_FFd1
implementation constraint: INIT=r : IOS_FSM_FFd2
implementation constraint: INIT=r : IOS_FSM_FFd3
implementation constraint: INIT=r : IOS_FSM_FFd4
implementation constraint: INIT=r : IOS_FSM_FFd5
implementation constraint: INIT=r : IOS_FSM_FFd6
implementation constraint: INIT=r : IOS_FSM_FFd7
implementation constraint: INIT=s : IOS_FSM_FFd8
Optimizing unit <CNT> ...
implementation constraint: INIT=r : RefDone
implementation constraint: INIT=r : RefCnt_7
implementation constraint: INIT=r : RefCnt_6
implementation constraint: INIT=r : RefCnt_5
implementation constraint: INIT=r : RefCnt_4
implementation constraint: INIT=r : RefCnt_3
implementation constraint: INIT=r : RefCnt_2
implementation constraint: INIT=r : RefCnt_1
implementation constraint: INIT=r : RefCnt_0
=========================================================================
* Partition Report *
=========================================================================
Partition Implementation Status
-------------------------------
No Partitions were found in this design.
-------------------------------
=========================================================================
* Final Report *
=========================================================================
Final Results
RTL Top Level Output File Name : MXSE.ngr
Top Level Output File Name : MXSE
Output Format : NGC
Optimization Goal : Speed
Keep Hierarchy : Yes
Target Technology : XC9500XL CPLDs
Macro Preserve : YES
XOR Preserve : YES
Clock Enable : YES
wysiwyg : NO
Design Statistics
# IOs : 67
Cell Usage :
# BELS : 570
# AND2 : 160
# AND3 : 20
# AND4 : 15
# AND5 : 1
# AND6 : 3
# AND7 : 1
# AND8 : 3
# GND : 6
# INV : 243
# OR2 : 92
# OR3 : 8
# OR4 : 5
# VCC : 1
# XOR2 : 12
# FlipFlops/Latches : 84
# FD : 57
# FDCE : 27
# IO Buffers : 67
# IBUF : 35
# OBUF : 32
=========================================================================
Total REAL time to Xst completion: 3.00 secs
Total CPU time to Xst completion: 3.01 secs
-->
Total memory usage is 232788 kilobytes
Number of errors : 0 ( 0 filtered)
Number of warnings : 0 ( 0 filtered)
Number of infos : 0 ( 0 filtered)

View File

@ -1,393 +0,0 @@
TS_CLK2X_IOB:FROM:IOBERR.Q:TO:IOBERR.D:666
TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd3.Q:TO:IOBERR.D:666
TS_CLK2X_IOB:FROM:iobm/DTACKrf.Q:TO:IOBERR.D:666
TS_CLK2X_IOB:FROM:iobm/DTACKrr.Q:TO:IOBERR.D:666
TS_CLK2X_IOB:FROM:iobm/RESrf.Q:TO:IOBERR.D:666
TS_CLK2X_IOB:FROM:iobm/RESrr.Q:TO:IOBERR.D:666
TS_CLK2X_IOB:FROM:iobm/BERRrf.Q:TO:IOBERR.D:666
TS_CLK2X_IOB:FROM:iobm/BERRrr.Q:TO:IOBERR.D:666
TS_CLK2X_IOB:FROM:iobm/ETACK.Q:TO:IOBERR.D:666
TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd3.Q:TO:iobm/IOS_FSM_FFd3.D:666
TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd4.Q:TO:iobm/IOS_FSM_FFd3.D:666
TS_CLK2X_IOB:FROM:iobm/ETACK.Q:TO:iobm/IOS_FSM_FFd3.D:666
TS_CLK2X_IOB:FROM:iobm/BERRrf.Q:TO:iobm/IOS_FSM_FFd3.D:666
TS_CLK2X_IOB:FROM:iobm/BERRrr.Q:TO:iobm/IOS_FSM_FFd3.D:666
TS_CLK2X_IOB:FROM:iobm/DTACKrf.Q:TO:iobm/IOS_FSM_FFd3.D:666
TS_CLK2X_IOB:FROM:iobm/DTACKrr.Q:TO:iobm/IOS_FSM_FFd3.D:666
TS_CLK2X_IOB:FROM:iobm/RESrf.Q:TO:iobm/IOS_FSM_FFd3.D:666
TS_CLK2X_IOB:FROM:iobm/RESrr.Q:TO:iobm/IOS_FSM_FFd3.D:666
TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd8.Q:TO:iobm/IOS_FSM_FFd7.D:666
TS_CLK2X_IOB:FROM:iobm/IOREQr.Q:TO:iobm/IOS_FSM_FFd7.D:666
TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd8.Q:TO:iobm/IOS_FSM_FFd8.D:666
TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd1.Q:TO:iobm/IOS_FSM_FFd8.D:666
TS_CLK2X_IOB:FROM:iobm/IOREQr.Q:TO:iobm/IOS_FSM_FFd8.D:666
TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd7.Q:TO:IOACT.D:666
TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd8.Q:TO:IOACT.D:666
TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd3.Q:TO:IOACT.D:666
TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd4.Q:TO:IOACT.D:666
TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd5.Q:TO:IOACT.D:666
TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd6.Q:TO:IOACT.D:666
TS_CLK2X_IOB:FROM:iobm/IOREQr.Q:TO:IOACT.D:666
TS_CLK2X_IOB:FROM:iobm/DTACKrf.Q:TO:IOACT.D:666
TS_CLK2X_IOB:FROM:iobm/DTACKrr.Q:TO:IOACT.D:666
TS_CLK2X_IOB:FROM:iobm/RESrf.Q:TO:IOACT.D:666
TS_CLK2X_IOB:FROM:iobm/RESrr.Q:TO:IOACT.D:666
TS_CLK2X_IOB:FROM:iobm/BERRrf.Q:TO:IOACT.D:666
TS_CLK2X_IOB:FROM:iobm/BERRrr.Q:TO:IOACT.D:666
TS_CLK2X_IOB:FROM:iobm/ETACK.Q:TO:IOACT.D:666
TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd3.Q:TO:iobm/IOS_FSM_FFd2.D:666
TS_CLK2X_IOB:FROM:iobm/ETACK.Q:TO:iobm/IOS_FSM_FFd2.D:666
TS_CLK2X_IOB:FROM:iobm/BERRrf.Q:TO:iobm/IOS_FSM_FFd2.D:666
TS_CLK2X_IOB:FROM:iobm/BERRrr.Q:TO:iobm/IOS_FSM_FFd2.D:666
TS_CLK2X_IOB:FROM:iobm/DTACKrf.Q:TO:iobm/IOS_FSM_FFd2.D:666
TS_CLK2X_IOB:FROM:iobm/DTACKrr.Q:TO:iobm/IOS_FSM_FFd2.D:666
TS_CLK2X_IOB:FROM:iobm/RESrf.Q:TO:iobm/IOS_FSM_FFd2.D:666
TS_CLK2X_IOB:FROM:iobm/RESrr.Q:TO:iobm/IOS_FSM_FFd2.D:666
TS_CLK_FSB:FROM:cs/nOverlay0.Q:TO:cs/nOverlay1.D:400
TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:cs/nOverlay1.CE:400
TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:ram/Once.D:400
TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:ram/Once.D:400
TS_CLK_FSB:FROM:ram/Once.Q:TO:ram/Once.D:400
TS_CLK_FSB:FROM:ram/RS_FSM_FFd2.Q:TO:ram/Once.D:400
TS_CLK_FSB:FROM:ram/RS_FSM_FFd1.Q:TO:ram/Once.D:400
TS_CLK_FSB:FROM:ram/RS_FSM_FFd3.Q:TO:ram/Once.D:400
TS_CLK_FSB:FROM:cnt/RefDone.Q:TO:cnt/RefDone.D:400
TS_CLK_FSB:FROM:RefAck.Q:TO:cnt/RefDone.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<5>.Q:TO:cnt/RefDone.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<6>.Q:TO:cnt/RefDone.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<7>.Q:TO:cnt/RefDone.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<0>.Q:TO:cnt/RefDone.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<1>.Q:TO:cnt/RefDone.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<2>.Q:TO:cnt/RefDone.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<3>.Q:TO:cnt/RefDone.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<4>.Q:TO:cnt/RefDone.D:400
TS_CLK_FSB:FROM:iobs/Once.Q:TO:iobs/Once.D:400
TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:iobs/Once.D:400
TS_CLK_FSB:FROM:iobs/PS_FSM_FFd1.Q:TO:iobs/Once.D:400
TS_CLK_FSB:FROM:iobs/PS_FSM_FFd2.Q:TO:iobs/Once.D:400
TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:iobs/Once.D:400
TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:iobs/Once.D:400
TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:BERR_IOBS.D:400
TS_CLK_FSB:FROM:BERR_IOBS.Q:TO:BERR_IOBS.D:400
TS_CLK_FSB:FROM:iobs/Once.Q:TO:BERR_IOBS.D:400
TS_CLK_FSB:FROM:iobs/PS_FSM_FFd2.Q:TO:BERR_IOBS.D:400
TS_CLK_FSB:FROM:iobs/IOACTr.Q:TO:BERR_IOBS.D:400
TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:BERR_IOBS.D:400
TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:IORW0.D:400
TS_CLK_FSB:FROM:iobs/Once.Q:TO:IORW0.D:400
TS_CLK_FSB:FROM:iobs/PS_FSM_FFd2.Q:TO:IORW0.D:400
TS_CLK_FSB:FROM:iobs/PS_FSM_FFd1.Q:TO:IORW0.D:400
TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:IORW0.D:400
TS_CLK_FSB:FROM:IORW0.Q:TO:IORW0.D:400
TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:IORW0.D:400
TS_CLK_FSB:FROM:iobs/IORW1.Q:TO:IORW0.D:400
TS_CLK_FSB:FROM:cs/nOverlay0.Q:TO:cs/nOverlay0.D:400
TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:cs/nOverlay0.D:400
TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:IOL0.D:400
TS_CLK_FSB:FROM:iobs/IOL1.Q:TO:IOL0.D:400
TS_CLK_FSB:FROM:iobs/PS_FSM_FFd2.Q:TO:IOL0.CE:400
TS_CLK_FSB:FROM:iobs/PS_FSM_FFd1.Q:TO:IOL0.CE:400
TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:IOU0.D:400
TS_CLK_FSB:FROM:iobs/IOU1.Q:TO:IOU0.D:400
TS_CLK_FSB:FROM:iobs/PS_FSM_FFd2.Q:TO:IOU0.CE:400
TS_CLK_FSB:FROM:iobs/PS_FSM_FFd1.Q:TO:IOU0.CE:400
TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:TimeoutA.D:400
TS_CLK_FSB:FROM:TimeoutA.Q:TO:TimeoutA.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<5>.Q:TO:TimeoutA.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<6>.Q:TO:TimeoutA.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<0>.Q:TO:TimeoutA.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<1>.Q:TO:TimeoutA.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<2>.Q:TO:TimeoutA.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<3>.Q:TO:TimeoutA.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<4>.Q:TO:TimeoutA.D:400
TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:TimeoutB.D:400
TS_CLK_FSB:FROM:TimeoutB.Q:TO:TimeoutB.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<5>.Q:TO:TimeoutB.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<6>.Q:TO:TimeoutB.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<7>.Q:TO:TimeoutB.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<0>.Q:TO:TimeoutB.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<1>.Q:TO:TimeoutB.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<2>.Q:TO:TimeoutB.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<3>.Q:TO:TimeoutB.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<4>.Q:TO:TimeoutB.D:400
TS_CLK_FSB:FROM:cnt/TimeoutBPre.Q:TO:TimeoutB.D:400
TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:cnt/TimeoutBPre.D:400
TS_CLK_FSB:FROM:cnt/TimeoutBPre.Q:TO:cnt/TimeoutBPre.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<5>.Q:TO:cnt/TimeoutBPre.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<6>.Q:TO:cnt/TimeoutBPre.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<7>.Q:TO:cnt/TimeoutBPre.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<0>.Q:TO:cnt/TimeoutBPre.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<1>.Q:TO:cnt/TimeoutBPre.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<2>.Q:TO:cnt/TimeoutBPre.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<3>.Q:TO:cnt/TimeoutBPre.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<4>.Q:TO:cnt/TimeoutBPre.D:400
TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:fsb/BERR0r.D:400
TS_CLK_FSB:FROM:TimeoutB.Q:TO:fsb/BERR0r.D:400
TS_CLK_FSB:FROM:fsb/BERR0r.Q:TO:fsb/BERR0r.D:400
TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:fsb/BERR1r.D:400
TS_CLK_FSB:FROM:BERR_IOBS.Q:TO:fsb/BERR1r.D:400
TS_CLK_FSB:FROM:fsb/BERR1r.Q:TO:fsb/BERR1r.D:400
TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:fsb/Ready0r.D:400
TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:fsb/Ready0r.D:400
TS_CLK_FSB:FROM:fsb/Ready0r.Q:TO:fsb/Ready0r.D:400
TS_CLK_FSB:FROM:ram/RAMReady.Q:TO:fsb/Ready0r.D:400
TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:fsb/Ready1r.D:400
TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:fsb/Ready1r.D:400
TS_CLK_FSB:FROM:fsb/Ready1r.Q:TO:fsb/Ready1r.D:400
TS_CLK_FSB:FROM:iobs/IOReady.Q:TO:fsb/Ready1r.D:400
TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:fsb/Ready1r.D:400
TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:fsb/Ready2r.D:400
TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:fsb/Ready2r.D:400
TS_CLK_FSB:FROM:TimeoutA.Q:TO:fsb/Ready2r.D:400
TS_CLK_FSB:FROM:fsb/Ready2r.Q:TO:fsb/Ready2r.D:400
TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:fsb/VPA.D:400
TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:fsb/VPA.D:400
TS_CLK_FSB:FROM:fsb/Ready0r.Q:TO:fsb/VPA.D:400
TS_CLK_FSB:FROM:ram/RAMReady.Q:TO:fsb/VPA.D:400
TS_CLK_FSB:FROM:fsb/VPA.Q:TO:fsb/VPA.D:400
TS_CLK_FSB:FROM:BERR_IOBS.Q:TO:fsb/VPA.D:400
TS_CLK_FSB:FROM:fsb/BERR0r.Q:TO:fsb/VPA.D:400
TS_CLK_FSB:FROM:fsb/BERR1r.Q:TO:fsb/VPA.D:400
TS_CLK_FSB:FROM:fsb/Ready1r.Q:TO:fsb/VPA.D:400
TS_CLK_FSB:FROM:TimeoutA.Q:TO:fsb/VPA.D:400
TS_CLK_FSB:FROM:fsb/Ready2r.Q:TO:fsb/VPA.D:400
TS_CLK_FSB:FROM:TimeoutB.Q:TO:fsb/VPA.D:400
TS_CLK_FSB:FROM:iobs/IOReady.Q:TO:fsb/VPA.D:400
TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:fsb/VPA.D:400
TS_CLK_FSB:FROM:iobs/Load1.Q:TO:iobs/IOL1.CE:400
TS_CLK_FSB:FROM:iobs/Once.Q:TO:iobs/IORW1.D:400
TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:iobs/IORW1.D:400
TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:iobs/IORW1.D:400
TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:iobs/IORW1.D:400
TS_CLK_FSB:FROM:iobs/IORW1.Q:TO:iobs/IORW1.D:400
TS_CLK_FSB:FROM:iobs/PS_FSM_FFd2.Q:TO:iobs/IORW1.D:400
TS_CLK_FSB:FROM:iobs/PS_FSM_FFd1.Q:TO:iobs/IORW1.D:400
TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:iobs/IOReady.D:400
TS_CLK_FSB:FROM:iobs/IOReady.Q:TO:iobs/IOReady.D:400
TS_CLK_FSB:FROM:iobs/Once.Q:TO:iobs/IOReady.D:400
TS_CLK_FSB:FROM:iobs/PS_FSM_FFd2.Q:TO:iobs/IOReady.D:400
TS_CLK_FSB:FROM:iobs/IOACTr.Q:TO:iobs/IOReady.D:400
TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:iobs/IOReady.D:400
TS_CLK_FSB:FROM:iobs/Load1.Q:TO:iobs/IOU1.CE:400
TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:ram/RAMDIS2.D:400
TS_CLK_FSB:FROM:ram/Once.Q:TO:ram/RAMDIS2.D:400
TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:ram/RAMDIS2.D:400
TS_CLK_FSB:FROM:ram/RS_FSM_FFd2.Q:TO:ram/RAMDIS2.D:400
TS_CLK_FSB:FROM:ram/RS_FSM_FFd1.Q:TO:ram/RAMDIS2.D:400
TS_CLK_FSB:FROM:ram/RS_FSM_FFd3.Q:TO:ram/RAMDIS2.D:400
TS_CLK_FSB:FROM:cnt/RefDone.Q:TO:ram/RAMDIS2.D:400
TS_CLK_FSB:FROM:ram/RAMDIS2.Q:TO:ram/RAMDIS2.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<5>.Q:TO:ram/RAMDIS2.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<6>.Q:TO:ram/RAMDIS2.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<7>.Q:TO:ram/RAMDIS2.D:400
TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:nDTACK_FSB_OBUF.D:400
TS_CLK_FSB:FROM:TimeoutA.Q:TO:nDTACK_FSB_OBUF.D:400
TS_CLK_FSB:FROM:fsb/Ready2r.Q:TO:nDTACK_FSB_OBUF.D:400
TS_CLK_FSB:FROM:nDTACK_FSB_OBUF.Q:TO:nDTACK_FSB_OBUF.D:400
TS_CLK_FSB:FROM:fsb/Ready1r.Q:TO:nDTACK_FSB_OBUF.D:400
TS_CLK_FSB:FROM:iobs/IOReady.Q:TO:nDTACK_FSB_OBUF.D:400
TS_CLK_FSB:FROM:fsb/Ready0r.Q:TO:nDTACK_FSB_OBUF.D:400
TS_CLK_FSB:FROM:ram/RAMReady.Q:TO:nDTACK_FSB_OBUF.D:400
TS_CLK_FSB:FROM:BERR_IOBS.Q:TO:nDTACK_FSB_OBUF.D:400
TS_CLK_FSB:FROM:fsb/BERR0r.Q:TO:nDTACK_FSB_OBUF.D:400
TS_CLK_FSB:FROM:fsb/BERR1r.Q:TO:nDTACK_FSB_OBUF.D:400
TS_CLK_FSB:FROM:TimeoutB.Q:TO:nDTACK_FSB_OBUF.D:400
TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:nDTACK_FSB_OBUF.D:400
TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:nDTACK_FSB_OBUF.D:400
TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:ram/RASEL.D:400
TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:ram/RASEL.D:400
TS_CLK_FSB:FROM:ram/RS_FSM_FFd2.Q:TO:ram/RASEL.D:400
TS_CLK_FSB:FROM:ram/RS_FSM_FFd1.Q:TO:ram/RASEL.D:400
TS_CLK_FSB:FROM:cnt/RefDone.Q:TO:ram/RASEL.D:400
TS_CLK_FSB:FROM:ram/BACTr.Q:TO:ram/RASEL.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<5>.Q:TO:ram/RASEL.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<6>.Q:TO:ram/RASEL.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<7>.Q:TO:ram/RASEL.D:400
TS_CLK_FSB:FROM:ram/RS_FSM_FFd3.Q:TO:ram/RASEL.D:400
TS_CLK_FSB:FROM:ram/Once.Q:TO:ram/RASEL.D:400
TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:ram/RS_FSM_FFd2.D:400
TS_CLK_FSB:FROM:ram/RS_FSM_FFd1.Q:TO:ram/RS_FSM_FFd2.D:400
TS_CLK_FSB:FROM:ram/RS_FSM_FFd3.Q:TO:ram/RS_FSM_FFd2.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<5>.Q:TO:ram/RS_FSM_FFd2.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<6>.Q:TO:ram/RS_FSM_FFd2.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<7>.Q:TO:ram/RS_FSM_FFd2.D:400
TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:ram/RS_FSM_FFd2.D:400
TS_CLK_FSB:FROM:ram/RS_FSM_FFd2.Q:TO:ram/RS_FSM_FFd2.D:400
TS_CLK_FSB:FROM:cnt/RefDone.Q:TO:ram/RS_FSM_FFd2.D:400
TS_CLK_FSB:FROM:ram/BACTr.Q:TO:ram/RS_FSM_FFd2.D:400
TS_CLK_FSB:FROM:ram/RS_FSM_FFd2.Q:TO:ram/RS_FSM_FFd1.D:400
TS_CLK_FSB:FROM:ram/RS_FSM_FFd3.Q:TO:ram/RS_FSM_FFd1.D:400
TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:ram/RS_FSM_FFd1.D:400
TS_CLK_FSB:FROM:ram/Once.Q:TO:ram/RS_FSM_FFd1.D:400
TS_CLK_FSB:FROM:ram/RS_FSM_FFd1.Q:TO:ram/RS_FSM_FFd1.D:400
TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:ram/RS_FSM_FFd1.D:400
TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:ram/RS_FSM_FFd3.D:400
TS_CLK_FSB:FROM:ram/RS_FSM_FFd2.Q:TO:ram/RS_FSM_FFd3.D:400
TS_CLK_FSB:FROM:ram/RS_FSM_FFd1.Q:TO:ram/RS_FSM_FFd3.D:400
TS_CLK_FSB:FROM:ram/RS_FSM_FFd3.Q:TO:ram/RS_FSM_FFd3.D:400
TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:ram/RS_FSM_FFd3.D:400
TS_CLK_FSB:FROM:cnt/RefDone.Q:TO:ram/RS_FSM_FFd3.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<5>.Q:TO:ram/RS_FSM_FFd3.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<6>.Q:TO:ram/RS_FSM_FFd3.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<7>.Q:TO:ram/RS_FSM_FFd3.D:400
TS_CLK_FSB:FROM:ram/Once.Q:TO:ram/RS_FSM_FFd3.D:400
TS_CLK_FSB:FROM:iobs/PS_FSM_FFd2.Q:TO:iobs/PS_FSM_FFd2.D:400
TS_CLK_FSB:FROM:iobs/PS_FSM_FFd1.Q:TO:iobs/PS_FSM_FFd2.D:400
TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:iobs/PS_FSM_FFd2.D:400
TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:iobs/PS_FSM_FFd2.D:400
TS_CLK_FSB:FROM:iobs/IOACTr.Q:TO:iobs/PS_FSM_FFd2.D:400
TS_CLK_FSB:FROM:iobs/Once.Q:TO:iobs/PS_FSM_FFd2.D:400
TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:iobs/PS_FSM_FFd2.D:400
TS_CLK_FSB:FROM:iobs/PS_FSM_FFd2.Q:TO:iobs/PS_FSM_FFd1.D:400
TS_CLK_FSB:FROM:iobs/PS_FSM_FFd1.Q:TO:iobs/PS_FSM_FFd1.D:400
TS_CLK_FSB:FROM:iobs/IOACTr.Q:TO:iobs/PS_FSM_FFd1.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<0>.Q:TO:cnt/RefCnt<5>.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<1>.Q:TO:cnt/RefCnt<5>.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<2>.Q:TO:cnt/RefCnt<5>.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<3>.Q:TO:cnt/RefCnt<5>.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<4>.Q:TO:cnt/RefCnt<5>.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<5>.Q:TO:cnt/RefCnt<6>.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<0>.Q:TO:cnt/RefCnt<6>.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<1>.Q:TO:cnt/RefCnt<6>.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<2>.Q:TO:cnt/RefCnt<6>.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<3>.Q:TO:cnt/RefCnt<6>.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<4>.Q:TO:cnt/RefCnt<6>.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<0>.Q:TO:cnt/RefCnt<1>.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<0>.Q:TO:cnt/RefCnt<2>.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<1>.Q:TO:cnt/RefCnt<2>.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<0>.Q:TO:cnt/RefCnt<3>.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<1>.Q:TO:cnt/RefCnt<3>.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<2>.Q:TO:cnt/RefCnt<3>.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<0>.Q:TO:cnt/RefCnt<4>.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<1>.Q:TO:cnt/RefCnt<4>.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<2>.Q:TO:cnt/RefCnt<4>.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<3>.Q:TO:cnt/RefCnt<4>.D:400
TS_CLK_FSB:FROM:iobs/Once.Q:TO:iobs/Load1.D:400
TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:iobs/Load1.D:400
TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:iobs/Load1.D:400
TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:iobs/Load1.D:400
TS_CLK_FSB:FROM:iobs/PS_FSM_FFd2.Q:TO:iobs/Load1.D:400
TS_CLK_FSB:FROM:iobs/PS_FSM_FFd1.Q:TO:iobs/Load1.D:400
TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:ram/BACTr.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<5>.Q:TO:cnt/RefCnt<7>.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<6>.Q:TO:cnt/RefCnt<7>.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<0>.Q:TO:cnt/RefCnt<7>.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<1>.Q:TO:cnt/RefCnt<7>.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<2>.Q:TO:cnt/RefCnt<7>.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<3>.Q:TO:cnt/RefCnt<7>.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<4>.Q:TO:cnt/RefCnt<7>.D:400
TS_CLK_FSB:FROM:ram/RS_FSM_FFd2.Q:TO:RefAck.D:400
TS_CLK_FSB:FROM:ram/RS_FSM_FFd1.Q:TO:RefAck.D:400
TS_CLK_FSB:FROM:iobs/PS_FSM_FFd2.Q:TO:ALE0S.D:400
TS_CLK_FSB:FROM:iobs/PS_FSM_FFd1.Q:TO:ALE0S.D:400
TS_CLK_FSB:FROM:iobs/PS_FSM_FFd2.Q:TO:IOREQ.D:400
TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:IOREQ.D:400
TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:IOREQ.D:400
TS_CLK_FSB:FROM:iobs/PS_FSM_FFd1.Q:TO:IOREQ.D:400
TS_CLK_FSB:FROM:iobs/IOACTr.Q:TO:IOREQ.D:400
TS_CLK_FSB:FROM:iobs/Once.Q:TO:IOREQ.D:400
TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:IOREQ.D:400
TS_CLK_FSB:FROM:iobs/PS_FSM_FFd2.Q:TO:iobs/Clear1.D:400
TS_CLK_FSB:FROM:iobs/PS_FSM_FFd1.Q:TO:iobs/Clear1.D:400
TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:iobs/Clear1.D:400
TS_CLK_FSB:FROM:ram/RS_FSM_FFd1.Q:TO:ram/RAMDIS1.D:400
TS_CLK_FSB:FROM:cnt/RefDone.Q:TO:ram/RAMDIS1.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<5>.Q:TO:ram/RAMDIS1.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<6>.Q:TO:ram/RAMDIS1.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<7>.Q:TO:ram/RAMDIS1.D:400
TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:ram/RAMDIS1.D:400
TS_CLK_FSB:FROM:ram/BACTr.Q:TO:ram/RAMDIS1.D:400
TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:ram/RAMDIS1.D:400
TS_CLK_FSB:FROM:ram/Once.Q:TO:ram/RAMDIS1.D:400
TS_CLK_FSB:FROM:ram/RS_FSM_FFd2.Q:TO:ram/RAMDIS1.D:400
TS_CLK_FSB:FROM:ram/RS_FSM_FFd3.Q:TO:ram/RAMDIS1.D:400
TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:ram/RAMReady.D:400
TS_CLK_FSB:FROM:ram/Once.Q:TO:ram/RAMReady.D:400
TS_CLK_FSB:FROM:ram/RS_FSM_FFd1.Q:TO:ram/RAMReady.D:400
TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:ram/RAMReady.D:400
TS_CLK_FSB:FROM:cnt/RefDone.Q:TO:ram/RAMReady.D:400
TS_CLK_FSB:FROM:ram/BACTr.Q:TO:ram/RAMReady.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<5>.Q:TO:ram/RAMReady.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<6>.Q:TO:ram/RAMReady.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<7>.Q:TO:ram/RAMReady.D:400
TS_CLK_FSB:FROM:ram/RS_FSM_FFd2.Q:TO:ram/RAMReady.D:400
TS_CLK_FSB:FROM:ram/RS_FSM_FFd3.Q:TO:ram/RAMReady.D:400
TS_CLK_FSB:FROM:ram/RASEL.Q:TO:nCAS_OBUF.D:400
TS_CLK_FSB:FROM:iobs/Load1.Q:TO:nADoutLE1_OBUF.D:400
TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:nADoutLE1_OBUF.D:400
TS_CLK_FSB:FROM:iobs/Clear1.Q:TO:nADoutLE1_OBUF.D:400
TS_CLK2X_IOB:FROM:nVMA_IOB_OBUF.Q:TO:nVMA_IOB_OBUF.D:666
TS_CLK2X_IOB:FROM:iobm/ES<0>.Q:TO:nVMA_IOB_OBUF.D:666
TS_CLK2X_IOB:FROM:iobm/ES<1>.Q:TO:nVMA_IOB_OBUF.D:666
TS_CLK2X_IOB:FROM:iobm/ES<2>.Q:TO:nVMA_IOB_OBUF.D:666
TS_CLK2X_IOB:FROM:iobm/ES<3>.Q:TO:nVMA_IOB_OBUF.D:666
TS_CLK2X_IOB:FROM:iobm/ES<4>.Q:TO:nVMA_IOB_OBUF.D:666
TS_CLK2X_IOB:FROM:IOACT.Q:TO:nVMA_IOB_OBUF.D:666
TS_CLK2X_IOB:FROM:iobm/VPArf.Q:TO:nVMA_IOB_OBUF.D:666
TS_CLK2X_IOB:FROM:iobm/VPArr.Q:TO:nVMA_IOB_OBUF.D:666
TS_CLK2X_IOB:FROM:iobm/ES<0>.Q:TO:iobm/ES<0>.D:666
TS_CLK2X_IOB:FROM:iobm/Er2.Q:TO:iobm/ES<0>.D:666
TS_CLK2X_IOB:FROM:iobm/ES<1>.Q:TO:iobm/ES<0>.D:666
TS_CLK2X_IOB:FROM:iobm/ES<2>.Q:TO:iobm/ES<0>.D:666
TS_CLK2X_IOB:FROM:iobm/ES<3>.Q:TO:iobm/ES<0>.D:666
TS_CLK2X_IOB:FROM:iobm/ES<4>.Q:TO:iobm/ES<0>.D:666
TS_CLK2X_IOB:FROM:iobm/ES<0>.Q:TO:iobm/ES<1>.D:666
TS_CLK2X_IOB:FROM:iobm/ES<1>.Q:TO:iobm/ES<1>.D:666
TS_CLK2X_IOB:FROM:iobm/Er2.Q:TO:iobm/ES<1>.D:666
TS_CLK2X_IOB:FROM:iobm/ES<0>.Q:TO:iobm/ES<2>.D:666
TS_CLK2X_IOB:FROM:iobm/ES<2>.Q:TO:iobm/ES<2>.D:666
TS_CLK2X_IOB:FROM:iobm/ES<1>.Q:TO:iobm/ES<2>.D:666
TS_CLK2X_IOB:FROM:iobm/Er2.Q:TO:iobm/ES<2>.D:666
TS_CLK2X_IOB:FROM:iobm/ES<3>.Q:TO:iobm/ES<2>.D:666
TS_CLK2X_IOB:FROM:iobm/ES<4>.Q:TO:iobm/ES<2>.D:666
TS_CLK2X_IOB:FROM:iobm/ES<3>.Q:TO:iobm/ES<3>.D:666
TS_CLK2X_IOB:FROM:iobm/Er2.Q:TO:iobm/ES<3>.D:666
TS_CLK2X_IOB:FROM:iobm/ES<0>.Q:TO:iobm/ES<3>.D:666
TS_CLK2X_IOB:FROM:iobm/ES<1>.Q:TO:iobm/ES<3>.D:666
TS_CLK2X_IOB:FROM:iobm/ES<2>.Q:TO:iobm/ES<3>.D:666
TS_CLK2X_IOB:FROM:iobm/ES<4>.Q:TO:iobm/ES<4>.D:666
TS_CLK2X_IOB:FROM:iobm/Er2.Q:TO:iobm/ES<4>.D:666
TS_CLK2X_IOB:FROM:iobm/ES<0>.Q:TO:iobm/ES<4>.D:666
TS_CLK2X_IOB:FROM:iobm/ES<1>.Q:TO:iobm/ES<4>.D:666
TS_CLK2X_IOB:FROM:iobm/ES<2>.Q:TO:iobm/ES<4>.D:666
TS_CLK2X_IOB:FROM:iobm/ES<3>.Q:TO:iobm/ES<4>.D:666
TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd5.Q:TO:iobm/IOS_FSM_FFd4.D:666
TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd6.Q:TO:iobm/IOS_FSM_FFd5.D:666
TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd7.Q:TO:iobm/IOS_FSM_FFd6.D:666
TS_CLK2X_IOB:FROM:nVMA_IOB_OBUF.Q:TO:iobm/ETACK.D:666
TS_CLK2X_IOB:FROM:iobm/ES<0>.Q:TO:iobm/ETACK.D:666
TS_CLK2X_IOB:FROM:iobm/ES<1>.Q:TO:iobm/ETACK.D:666
TS_CLK2X_IOB:FROM:iobm/ES<2>.Q:TO:iobm/ETACK.D:666
TS_CLK2X_IOB:FROM:iobm/ES<3>.Q:TO:iobm/ETACK.D:666
TS_CLK2X_IOB:FROM:iobm/ES<4>.Q:TO:iobm/ETACK.D:666
TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd3.Q:TO:ALE0M.D:666
TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd4.Q:TO:ALE0M.D:666
TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd5.Q:TO:ALE0M.D:666
TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd6.Q:TO:ALE0M.D:666
TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd7.Q:TO:ALE0M.D:666
TS_CLK2X_IOB:FROM:iobm/IOREQr.Q:TO:ALE0M.D:666
TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd8.Q:TO:ALE0M.D:666
TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd2.Q:TO:iobm/IOS_FSM_FFd1.D:666
TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd3.Q:TO:nAS_IOB_OBUF.D:666
TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd4.Q:TO:nAS_IOB_OBUF.D:666
TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd5.Q:TO:nAS_IOB_OBUF.D:666
TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd6.Q:TO:nAS_IOB_OBUF.D:666
TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd7.Q:TO:nAS_IOB_OBUF.D:666
TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd3.Q:TO:nDinLE_OBUF.D:666
TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd4.Q:TO:nDinLE_OBUF.D:666
TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd3.Q:TO:nDoutOE_OBUF.D:666
TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd4.Q:TO:nDoutOE_OBUF.D:666
TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd5.Q:TO:nDoutOE_OBUF.D:666
TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd6.Q:TO:nDoutOE_OBUF.D:666
TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd7.Q:TO:nDoutOE_OBUF.D:666
TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd2.Q:TO:nDoutOE_OBUF.D:666
TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd3.Q:TO:nLDS_IOB_OBUF.D:666
TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd4.Q:TO:nLDS_IOB_OBUF.D:666
TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd5.Q:TO:nLDS_IOB_OBUF.D:666
TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd6.Q:TO:nLDS_IOB_OBUF.D:666
TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd7.Q:TO:nLDS_IOB_OBUF.D:666
TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd3.Q:TO:nUDS_IOB_OBUF.D:666
TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd4.Q:TO:nUDS_IOB_OBUF.D:666
TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd5.Q:TO:nUDS_IOB_OBUF.D:666
TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd6.Q:TO:nUDS_IOB_OBUF.D:666
TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd7.Q:TO:nUDS_IOB_OBUF.D:666

File diff suppressed because it is too large Load Diff

View File

@ -28,10 +28,10 @@ NGDBUILD Design Results Summary:
Number of errors: 0 Number of errors: 0
Number of warnings: 0 Number of warnings: 0
Total memory usage is 117236 kilobytes Total memory usage is 118196 kilobytes
Writing NGD file "MXSE.ngd" ... Writing NGD file "MXSE.ngd" ...
Total REAL time to NGDBUILD completion: 17 sec Total REAL time to NGDBUILD completion: 18 sec
Total CPU time to NGDBUILD completion: 17 sec Total CPU time to NGDBUILD completion: 17 sec
Writing NGDBUILD log file "MXSE.bld"... Writing NGDBUILD log file "MXSE.bld"...

View File

@ -19,3 +19,78 @@ cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt
XSLTProcess MXSE_build.xml XSLTProcess MXSE_build.xml
tsim -intstyle ise MXSE MXSE.nga tsim -intstyle ise MXSE MXSE.nga
hprep6 -s IEEE1149 -n MXSE -i MXSE hprep6 -s IEEE1149 -n MXSE -i MXSE
xst -intstyle ise -ifn "//192.168.64.1/Repos/Warp-SE/cpld/XC95144XL/MXSE.xst" -ofn "//192.168.64.1/Repos/Warp-SE/cpld/XC95144XL/MXSE.syr"
ngdbuild -intstyle ise -dd _ngo -uc //192.168.64.1/Repos/Warp-SE/cpld/MXSE.ucf -p xc95144xl-TQ100-10 MXSE.ngc MXSE.ngd
cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper MXSE.ngd
xst -intstyle ise -ifn "//192.168.64.1/Repos/Warp-SE/cpld/XC95144XL/MXSE.xst" -ofn "//192.168.64.1/Repos/Warp-SE/cpld/XC95144XL/MXSE.syr"
ngdbuild -intstyle ise -dd _ngo -uc //192.168.64.1/Repos/Warp-SE/cpld/MXSE.ucf -p xc95144xl-TQ100-10 MXSE.ngc MXSE.ngd
cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper MXSE.ngd
XSLTProcess MXSE_build.xml
tsim -intstyle ise MXSE MXSE.nga
xst -intstyle ise -ifn "//192.168.64.1/Repos/Warp-SE/cpld/XC95144XL/MXSE.xst" -ofn "//192.168.64.1/Repos/Warp-SE/cpld/XC95144XL/MXSE.syr"
xst -intstyle ise -ifn "//192.168.64.1/Repos/Warp-SE/cpld/XC95144XL/MXSE.xst" -ofn "//192.168.64.1/Repos/Warp-SE/cpld/XC95144XL/MXSE.syr"
ngdbuild -intstyle ise -dd _ngo -uc //192.168.64.1/Repos/Warp-SE/cpld/MXSE.ucf -p xc95144xl-TQ100-10 MXSE.ngc MXSE.ngd
cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper MXSE.ngd
XSLTProcess MXSE_build.xml
tsim -intstyle ise MXSE MXSE.nga
xst -intstyle ise -ifn "//192.168.64.1/Repos/Warp-SE/cpld/XC95144XL/MXSE.xst" -ofn "//192.168.64.1/Repos/Warp-SE/cpld/XC95144XL/MXSE.syr"
ngdbuild -intstyle ise -dd _ngo -uc //192.168.64.1/Repos/Warp-SE/cpld/MXSE.ucf -p xc95144xl-TQ100-10 MXSE.ngc MXSE.ngd
cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper MXSE.ngd
XSLTProcess MXSE_build.xml
tsim -intstyle ise MXSE MXSE.nga
xst -intstyle ise -ifn "//192.168.64.1/Repos/Warp-SE/cpld/XC95144XL/MXSE.xst" -ofn "//192.168.64.1/Repos/Warp-SE/cpld/XC95144XL/MXSE.syr"
ngdbuild -intstyle ise -dd _ngo -uc //192.168.64.1/Repos/Warp-SE/cpld/MXSE.ucf -p xc95144xl-TQ100-10 MXSE.ngc MXSE.ngd
cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper MXSE.ngd
XSLTProcess MXSE_build.xml
tsim -intstyle ise MXSE MXSE.nga
taengine -intstyle ise -f MXSE -w --format html1 -l MXSE_html/tim/timing_report.htm
xst -intstyle ise -ifn "//192.168.64.1/Repos/Warp-SE/cpld/XC95144XL/MXSE.xst" -ofn "//192.168.64.1/Repos/Warp-SE/cpld/XC95144XL/MXSE.syr"
xst -intstyle ise -ifn "//192.168.64.1/Repos/Warp-SE/cpld/XC95144XL/MXSE.xst" -ofn "//192.168.64.1/Repos/Warp-SE/cpld/XC95144XL/MXSE.syr"
xst -intstyle ise -ifn "//192.168.64.1/Repos/Warp-SE/cpld/XC95144XL/MXSE.xst" -ofn "//192.168.64.1/Repos/Warp-SE/cpld/XC95144XL/MXSE.syr"
xst -intstyle ise -ifn "//192.168.64.1/Repos/Warp-SE/cpld/XC95144XL/MXSE.xst" -ofn "//192.168.64.1/Repos/Warp-SE/cpld/XC95144XL/MXSE.syr"
xst -intstyle ise -ifn "//192.168.64.1/Repos/Warp-SE/cpld/XC95144XL/MXSE.xst" -ofn "//192.168.64.1/Repos/Warp-SE/cpld/XC95144XL/MXSE.syr"
xst -intstyle ise -ifn "//192.168.64.1/Repos/Warp-SE/cpld/XC95144XL/MXSE.xst" -ofn "//192.168.64.1/Repos/Warp-SE/cpld/XC95144XL/MXSE.syr"
ngdbuild -intstyle ise -dd _ngo -uc //192.168.64.1/Repos/Warp-SE/cpld/MXSE.ucf -p xc95144xl-TQ100-10 MXSE.ngc MXSE.ngd
cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper MXSE.ngd
xst -intstyle ise -ifn "//192.168.64.1/Repos/Warp-SE/cpld/XC95144XL/MXSE.xst" -ofn "//192.168.64.1/Repos/Warp-SE/cpld/XC95144XL/MXSE.syr"
xst -intstyle ise -ifn "//192.168.64.1/Repos/Warp-SE/cpld/XC95144XL/MXSE.xst" -ofn "//192.168.64.1/Repos/Warp-SE/cpld/XC95144XL/MXSE.syr"
ngdbuild -intstyle ise -dd _ngo -uc //192.168.64.1/Repos/Warp-SE/cpld/MXSE.ucf -p xc95144xl-TQ100-10 MXSE.ngc MXSE.ngd
cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper MXSE.ngd
XSLTProcess MXSE_build.xml
tsim -intstyle ise MXSE MXSE.nga
xst -intstyle ise -ifn "//192.168.64.1/Repos/Warp-SE/cpld/XC95144XL/MXSE.xst" -ofn "//192.168.64.1/Repos/Warp-SE/cpld/XC95144XL/MXSE.syr"
xst -intstyle ise -ifn "//192.168.64.1/Repos/Warp-SE/cpld/XC95144XL/MXSE.xst" -ofn "//192.168.64.1/Repos/Warp-SE/cpld/XC95144XL/MXSE.syr"
xst -intstyle ise -ifn "//192.168.64.1/Repos/Warp-SE/cpld/XC95144XL/MXSE.xst" -ofn "//192.168.64.1/Repos/Warp-SE/cpld/XC95144XL/MXSE.syr"
xst -intstyle ise -ifn "//192.168.64.1/Repos/Warp-SE/cpld/XC95144XL/MXSE.xst" -ofn "//192.168.64.1/Repos/Warp-SE/cpld/XC95144XL/MXSE.syr"
xst -intstyle ise -ifn "//192.168.64.1/Repos/Warp-SE/cpld/XC95144XL/MXSE.xst" -ofn "//192.168.64.1/Repos/Warp-SE/cpld/XC95144XL/MXSE.syr"
ngdbuild -intstyle ise -dd _ngo -uc //192.168.64.1/Repos/Warp-SE/cpld/MXSE.ucf -p xc95144xl-TQ100-10 MXSE.ngc MXSE.ngd
xst -intstyle ise -ifn "//192.168.64.1/Repos/Warp-SE/cpld/XC95144XL/MXSE.xst" -ofn "//192.168.64.1/Repos/Warp-SE/cpld/XC95144XL/MXSE.syr"
ngdbuild -intstyle ise -dd _ngo -uc //192.168.64.1/Repos/Warp-SE/cpld/MXSE.ucf -p xc95144xl-TQ100-10 MXSE.ngc MXSE.ngd
cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper MXSE.ngd
XSLTProcess MXSE_build.xml
tsim -intstyle ise MXSE MXSE.nga
xst -intstyle ise -ifn "//192.168.64.1/Repos/Warp-SE/cpld/XC95144XL/MXSE.xst" -ofn "//192.168.64.1/Repos/Warp-SE/cpld/XC95144XL/MXSE.syr"
ngdbuild -intstyle ise -dd _ngo -uc //192.168.64.1/Repos/Warp-SE/cpld/MXSE.ucf -p xc95144xl-TQ100-10 MXSE.ngc MXSE.ngd
cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper MXSE.ngd
XSLTProcess MXSE_build.xml
tsim -intstyle ise MXSE MXSE.nga
xst -intstyle ise -ifn "//192.168.64.1/Repos/Warp-SE/cpld/XC95144XL/MXSE.xst" -ofn "//192.168.64.1/Repos/Warp-SE/cpld/XC95144XL/MXSE.syr"
ngdbuild -intstyle ise -dd _ngo -uc //192.168.64.1/Repos/Warp-SE/cpld/MXSE.ucf -p xc95144xl-TQ100-10 MXSE.ngc MXSE.ngd
cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper MXSE.ngd
XSLTProcess MXSE_build.xml
tsim -intstyle ise MXSE MXSE.nga
taengine -intstyle ise -f MXSE -w --format html1 -l MXSE_html/tim/timing_report.htm
xst -intstyle ise -ifn "//192.168.64.1/Repos/Warp-SE/cpld/XC95144XL/MXSE.xst" -ofn "//192.168.64.1/Repos/Warp-SE/cpld/XC95144XL/MXSE.syr"
ngdbuild -intstyle ise -dd _ngo -uc //192.168.64.1/Repos/Warp-SE/cpld/MXSE.ucf -p xc95144xl-TQ100-10 MXSE.ngc MXSE.ngd
cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper MXSE.ngd
XSLTProcess MXSE_build.xml
tsim -intstyle ise MXSE MXSE.nga
taengine -intstyle ise -f MXSE -w --format html1 -l MXSE_html/tim/timing_report.htm
xst -intstyle ise -ifn "//192.168.64.1/Repos/Warp-SE/cpld/XC95144XL/MXSE.xst" -ofn "//192.168.64.1/Repos/Warp-SE/cpld/XC95144XL/MXSE.syr"
ngdbuild -intstyle ise -dd _ngo -uc //192.168.64.1/Repos/Warp-SE/cpld/MXSE.ucf -p xc95144xl-TQ100-10 MXSE.ngc MXSE.ngd
cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper MXSE.ngd
XSLTProcess MXSE_build.xml
tsim -intstyle ise MXSE MXSE.nga
taengine -intstyle ise -f MXSE -w --format html1 -l MXSE_html/tim/timing_report.htm
hprep6 -s IEEE1149 -n MXSE -i MXSE
hprep6 -s IEEE1149 -n MXSE -i MXSE

View File

@ -24,13 +24,17 @@ A_FSB<6> S:PIN3
A_FSB<7> S:PIN4 A_FSB<7> S:PIN4
A_FSB<8> S:PIN6 A_FSB<8> S:PIN6
A_FSB<9> S:PIN7 A_FSB<9> S:PIN7
SW<0> S:PIN61
CLK2X_IOB S:PIN22 CLK2X_IOB S:PIN22
CLK_FSB S:PIN27 CLK_FSB S:PIN27
CLK_IOB S:PIN23 CLK_IOB S:PIN23
E_IOB S:PIN25 E_IOB S:PIN25
SW<1> S:PIN60
nAS_FSB S:PIN32 nAS_FSB S:PIN32
nBERR_IOB S:PIN76 nBERR_IOB S:PIN76
nBG_IOB S:PIN73
nDTACK_IOB S:PIN78 nDTACK_IOB S:PIN78
nIPL2 S:PIN92
nLDS_FSB S:PIN30 nLDS_FSB S:PIN30
nRES S:PIN91 nRES S:PIN91
nUDS_FSB S:PIN33 nUDS_FSB S:PIN33
@ -38,6 +42,9 @@ nVPA_IOB S:PIN77
nWE_FSB S:PIN29 nWE_FSB S:PIN29
RA<11> S:PIN63 RA<11> S:PIN63
RA<10> S:PIN55 RA<10> S:PIN55
CLK20EN S:PIN59
CLK25EN S:PIN58
nBR_IOB S:PIN72
RA<0> S:PIN53 RA<0> S:PIN53
RA<1> S:PIN50 RA<1> S:PIN50
RA<2> S:PIN43 RA<2> S:PIN43
@ -73,41 +80,43 @@ nVPA_FSB S:PIN93
;The remaining section of the .gyd file is for documentation purposes only. ;The remaining section of the .gyd file is for documentation purposes only.
;It shows where your internal equations were placed in the last successful fit. ;It shows where your internal equations were placed in the last successful fit.
PARTITION FB1_1 iobs/IOACTr iobm/IOREQr iobm/Er2 iobm/DTACKrr PARTITION FB1_1 ram/BACTr iobm/RESrr iobm/RESrf fsb/ASrf
iobm/DTACKrf iobm/BERRrr iobm/BERRrf fsb/ASrf cnt/RefCnt<2> cnt/RefCnt<1> RefAck RESr2
cnt/RefCnt<7> cnt/RefCnt<6> cnt/RefCnt<5> cnt/RefCnt<4> RESr1 RESr0 IPL2r1 IPL2r0
cnt/RefCnt<3> cnt/RefCnt<2> cnt/RefCnt<1> cnt/RefCnt<0> ALE0S $OpTx$FX_DC$708 iobs/IOU1 iobs/IOL1
RefAck $OpTx$FX_DC$609 iobm/IOS_FSM_FFd1 IOU0
PARTITION FB2_14 iobm/VPArr iobm/VPArf iobm/RESrr iobm/RESrf PARTITION FB2_6 iobs/IOACTr iobm/VPArr iobm/VPArf iobm/IOREQr
iobm/Er iobm/Er2 iobm/Er iobm/DTACKrr iobm/DTACKrf
PARTITION FB3_1 EXP14_ fsb/VPA EXP15_ EXP16_ iobm/BGr1 iobm/BGr0 iobm/BERRrr iobm/BERRrf
fsb/Ready1r EXP17_ EXP18_ EXP19_ cnt/RefCnt<0>
nDTACK_FSB_OBUF EXP20_ EXP21_ EXP22_ PARTITION FB3_1 fsb/Ready1r EXP14_ iobs/IORW1 EXP15_
iobs/IORW1 EXP23_ fsb/Ready2r EXP24_ fsb/Ready2r EXP16_ EXP17_ EXP18_
nROMWE_OBUF EXP25_ nDTACK_FSB_OBUF EXP19_ EXP20_
PARTITION FB4_1 ram/BACTr nAoutOE_OBUF iobs/Clear1 ALE0S PARTITION FB3_13 EXP21_ EXP22_ fsb/VPA EXP23_
nDoutOE_OBUF nDinOE_OBUF iobs/PS_FSM_FFd1 iobs/IOU1 nROMWE_OBUF iobs/Clear1
iobs/IOL1 iobm/IOS_FSM_FFd2 nVPA_FSB_OBUF iobm/IOS_FSM_FFd1 PARTITION FB4_1 cnt/RefCnt<6> nAoutOE_OBUF cnt/RefCnt<5> cnt/RefCnt<4>
fsb/BERR1r cs/nOverlay1 $OpTx$FX_DC$610 IOU0 nDoutOE_OBUF nDinOE_OBUF cnt/RefCnt<3> iobs/PS_FSM_FFd1
IOL0 iobs/IOReady fsb/BERR1r cs/nOverlay1 nVPA_FSB_OBUF cnt/RefDone
PARTITION FB5_1 EXP26_ nROMCS_OBUF EXP27_ iobs/PS_FSM_FFd2 cs/nOverlay0 cnt/TimeoutBPre TimeoutA IOL0
nCAS_OBUF nOE_OBUF EXP28_ iobs/Once iobs/IOReady BERR_IOBS
RA_4_OBUF EXP29_ RA_3_OBUF RA_5_OBUF PARTITION FB5_1 ram/RASEL nROMCS_OBUF cnt/RefCnt<7> ram/RAMDIS2
IOREQ RA_2_OBUF RA_6_OBUF BERR_IOBS nCAS_OBUF nOE_OBUF EXP24_ ram/RAMDIS1
EXP30_ IORW0 RA_4_OBUF EXP25_ RA_3_OBUF RA_5_OBUF
PARTITION FB6_1 iobm/ETACK nVMA_IOB_OBUF ALE0M iobm/ES<3> iobs/Load1 RA_2_OBUF RA_6_OBUF ram/Once
iobm/ES<1> iobm/ES<0> iobm/IOS_FSM_FFd4 iobm/ES<4> EXP26_ EXP27_
nLDS_IOB_OBUF iobm/IOS_FSM_FFd3 nUDS_IOB_OBUF nAS_IOB_OBUF PARTITION FB6_1 iobm/ETACK nVMA_IOBout iobm/IOS_FSM_FFd3 iobm/ES<3>
iobm/ES<1> iobm/ES<0> ALE0M iobm/ES<4>
nLDS_IOBout iobm/IOS_FSM_FFd2 nUDS_IOBout nAS_IOBout
iobm/ES<2> nADoutLE1_OBUF nADoutLE0_OBUF IOACT iobm/ES<2> nADoutLE1_OBUF nADoutLE0_OBUF IOACT
nDinLE_OBUF IOBERR nDinLE_OBUF IOBERR
PARTITION FB7_1 TimeoutB RA_1_OBUF cnt/RefDone fsb/Ready0r PARTITION FB7_1 ram/RAMReady RA_1_OBUF TimeoutB fsb/Ready0r
RA_7_OBUF RA_0_OBUF cs/nOverlay0 RA_8_OBUF RA_7_OBUF RA_0_OBUF ram/RS_FSM_FFd1 RA_8_OBUF
A_FSB_21_IBUF$BUF0 cnt/TimeoutBPre RA_9_OBUF TimeoutA A_FSB_21_IBUF$BUF0 $OpTx$$OpTx$FX_DC$182_INV$783 RA_9_OBUF CLK25EN_OBUF
EXP31_ ram/RS_FSM_FFd3 EXP32_ ram/RS_FSM_FFd2 ram/RS_FSM_FFd3 CLK20EN_OBUF$BUF0 EXP28_ EXP29_
EXP33_ ram/RAMReady ram/RS_FSM_FFd2 EXP30_
PARTITION FB8_1 ram/RASEL A_FSB_19_IBUF$BUF0 fsb/BERR0r ram/RS_FSM_FFd1 PARTITION FB8_1 EXP31_ A_FSB_19_IBUF$BUF0 EXP32_ iobs/PS_FSM_FFd2
nRAS_OBUF nRAMLWE_OBUF ram/Once nRAMUWE_OBUF nRAS_OBUF nRAMLWE_OBUF RESDone nRAMUWE_OBUF
iobs/Load1 EXP34_ EXP35_ nBERR_FSB_OBUF IOREQ EXP33_ EXP34_ nBERR_FSB_OBUF
ram/RAMDIS2 EXP36_ ram/RAMDIS1 EXP37_ EXP35_ iobs/Once Park fsb/BERR0r
EXP38_ EXP39_ EXP36_ IORW0

File diff suppressed because it is too large Load Diff

0
cpld/XC95144XL/MXSE.lfp Normal file
View File

File diff suppressed because it is too large Load Diff

File diff suppressed because one or more lines are too long

File diff suppressed because one or more lines are too long

File diff suppressed because one or more lines are too long

File diff suppressed because one or more lines are too long

View File

@ -1,7 +1,7 @@
Release 8.1i - Fit P.20131013 Release 8.1i - Fit P.20131013
Copyright(c) 1995-2003 Xilinx Inc. All rights reserved Copyright(c) 1995-2003 Xilinx Inc. All rights reserved
2-14-2022 7:35PM 3-27-2022 10:08AM
NOTE: This file is designed to be imported into a spreadsheet program NOTE: This file is designed to be imported into a spreadsheet program
such as Microsoft Excel for viewing, printing and sorting. The pipe '|' such as Microsoft Excel for viewing, printing and sorting. The pipe '|'
@ -75,10 +75,10 @@ P54|RA<8>|O|I/O|OUTPUT|||||||||
P55|RA<10>|O|I/O|OUTPUT||||||||| P55|RA<10>|O|I/O|OUTPUT|||||||||
P56|RA<9>|O|I/O|OUTPUT||||||||| P56|RA<9>|O|I/O|OUTPUT|||||||||
P57|VCC||VCCINT|||||||||| P57|VCC||VCCINT||||||||||
P58|TIE||I/O|||||||||| P58|CLK25EN|O|I/O|OUTPUT|||||||||
P59|TIE||I/O|||||||||| P59|CLK20EN|O|I/O|OUTPUT|||||||||
P60|TIE||I/O|||||||||| P60|SW<1>|I|I/O|INPUT|||||||||
P61|TIE||I/O|||||||||| P61|SW<0>|I|I/O|INPUT|||||||||
P62|GND||GND|||||||||| P62|GND||GND||||||||||
P63|RA<11>|O|I/O|OUTPUT||||||||| P63|RA<11>|O|I/O|OUTPUT|||||||||
P64|nRAS|O|I/O|OUTPUT||||||||| P64|nRAS|O|I/O|OUTPUT|||||||||
@ -89,8 +89,8 @@ P68|TIE||I/O||||||||||
P69|GND||GND|||||||||| P69|GND||GND||||||||||
P70|nBERR_FSB|O|I/O|OUTPUT||||||||| P70|nBERR_FSB|O|I/O|OUTPUT|||||||||
P71|TIE||I/O|||||||||| P71|TIE||I/O||||||||||
P72|TIE||I/O|||||||||| P72|nBR_IOB|O|I/O|OUTPUT|||||||||
P73|TIE||I/O|||||||||| P73|nBG_IOB|I|I/O|INPUT|||||||||
P74|nVMA_IOB|O|I/O|OUTPUT||||||||| P74|nVMA_IOB|O|I/O|OUTPUT|||||||||
P75|GND||GND|||||||||| P75|GND||GND||||||||||
P76|nBERR_IOB|I|I/O|INPUT||||||||| P76|nBERR_IOB|I|I/O|INPUT|||||||||
@ -109,7 +109,7 @@ P88|VCC||VCCIO||||||||||
P89|nDoutOE|O|I/O|OUTPUT||||||||| P89|nDoutOE|O|I/O|OUTPUT|||||||||
P90|nDinOE|O|I/O|OUTPUT||||||||| P90|nDinOE|O|I/O|OUTPUT|||||||||
P91|nRES|I|I/O|INPUT||||||||| P91|nRES|I|I/O|INPUT|||||||||
P92|TIE||I/O|||||||||| P92|nIPL2|I|I/O|INPUT|||||||||
P93|nVPA_FSB|O|I/O|OUTPUT||||||||| P93|nVPA_FSB|O|I/O|OUTPUT|||||||||
P94|A_FSB<1>|I|I/O|INPUT||||||||| P94|A_FSB<1>|I|I/O|INPUT|||||||||
P95|A_FSB<2>|I|I/O|INPUT||||||||| P95|A_FSB<2>|I|I/O|INPUT|||||||||

View File

@ -15,4 +15,4 @@
sr (SLOW|FAST|slow|fast) "SLOW" sr (SLOW|FAST|slow|fast) "SLOW"
dir (BIDIR|bidir|INPUT|input|OUTPUT|output) "BIDIR"> dir (BIDIR|bidir|INPUT|input|OUTPUT|output) "BIDIR">
]> ]>
<ibis><part arch="xc9500xl" device="XC95144XL" pkg="TQ100" spg="-10"/><pin dir="input" nm="A_FSB&lt;9&gt;" no="7"/><pin dir="input" nm="A_FSB&lt;8&gt;" no="6"/><pin dir="input" nm="A_FSB&lt;15&gt;" no="13"/><pin dir="input" nm="A_FSB&lt;14&gt;" no="12"/><pin dir="input" nm="A_FSB&lt;13&gt;" no="11"/><pin dir="input" nm="A_FSB&lt;12&gt;" no="10"/><pin dir="input" nm="A_FSB&lt;11&gt;" no="9"/><pin dir="input" nm="A_FSB&lt;10&gt;" no="8"/><pin dir="input" nm="A_FSB&lt;23&gt;" no="24"/><pin dir="input" nm="A_FSB&lt;22&gt;" no="20"/><pin dir="input" nm="A_FSB&lt;21&gt;" no="19"/><pin dir="input" nm="A_FSB&lt;20&gt;" no="18"/><pin dir="input" nm="A_FSB&lt;19&gt;" no="17"/><pin dir="input" nm="A_FSB&lt;18&gt;" no="16"/><pin dir="input" nm="A_FSB&lt;17&gt;" no="15"/><pin dir="input" nm="A_FSB&lt;16&gt;" no="14"/><pin dir="input" nm="nBERR_IOB" no="76"/><pin dir="input" nm="CLK2X_IOB" no="22"/><pin dir="input" nm="CLK_FSB" no="27"/><pin dir="input" nm="nAS_FSB" no="32"/><pin dir="input" nm="nWE_FSB" no="29"/><pin dir="input" nm="nRES" no="91"/><pin dir="input" nm="nLDS_FSB" no="30"/><pin dir="input" nm="nUDS_FSB" no="33"/><pin dir="input" nm="CLK_IOB" no="23"/><pin dir="input" nm="E_IOB" no="25"/><pin dir="input" nm="nDTACK_IOB" no="78"/><pin dir="input" nm="nVPA_IOB" no="77"/><pin dir="input" nm="A_FSB&lt;1&gt;" no="94"/><pin dir="input" nm="A_FSB&lt;2&gt;" no="95"/><pin dir="input" nm="A_FSB&lt;3&gt;" no="96"/><pin dir="input" nm="A_FSB&lt;4&gt;" no="97"/><pin dir="input" nm="A_FSB&lt;5&gt;" no="2"/><pin dir="input" nm="A_FSB&lt;6&gt;" no="3"/><pin dir="input" nm="A_FSB&lt;7&gt;" no="4"/><pin dir="output" nm="nVMA_IOB" no="74" sr="fast"/><pin dir="output" nm="nDTACK_FSB" no="28" sr="fast"/><pin dir="output" nm="RA&lt;0&gt;" no="53" sr="fast"/><pin dir="output" nm="RA&lt;1&gt;" no="50" sr="fast"/><pin dir="output" nm="RA&lt;2&gt;" no="43" sr="fast"/><pin dir="output" nm="RA&lt;3&gt;" no="41" sr="fast"/><pin dir="output" nm="RA&lt;4&gt;" no="40" sr="fast"/><pin dir="output" nm="RA&lt;5&gt;" no="42" sr="fast"/><pin dir="output" nm="RA&lt;6&gt;" no="46" sr="fast"/><pin dir="output" nm="RA&lt;7&gt;" no="52" sr="fast"/><pin dir="output" nm="RA&lt;8&gt;" no="54" sr="fast"/><pin dir="output" nm="RA&lt;9&gt;" no="56" sr="fast"/><pin dir="output" nm="nBERR_FSB" no="70" sr="fast"/><pin dir="output" nm="nOE" no="37" sr="fast"/><pin dir="output" nm="nROMWE" no="34" sr="fast"/><pin dir="output" nm="nVPA_FSB" no="93" sr="fast"/><pin dir="output" nm="nADoutLE0" no="85" sr="fast"/><pin dir="output" nm="nAS_IOB" no="81" sr="fast"/><pin dir="output" nm="nCAS" no="36" sr="fast"/><pin dir="output" nm="nDinLE" no="86" sr="fast"/><pin dir="output" nm="nDinOE" no="90" sr="fast"/><pin dir="output" nm="nDoutOE" no="89" sr="fast"/><pin dir="output" nm="nLDS_IOB" no="79" sr="fast"/><pin dir="output" nm="nRAS" no="64" sr="fast"/><pin dir="output" nm="nUDS_IOB" no="80" sr="fast"/><pin dir="output" nm="RA&lt;11&gt;" no="63" sr="fast"/><pin dir="output" nm="RA&lt;10&gt;" no="55" sr="fast"/><pin dir="output" nm="nADoutLE1" no="82" sr="fast"/><pin dir="output" nm="nRAMLWE" no="65" sr="fast"/><pin dir="output" nm="nRAMUWE" no="66" sr="fast"/><pin dir="output" nm="nROMCS" no="35" sr="fast"/><pin dir="output" nm="nAoutOE" no="87" sr="fast"/></ibis> <ibis><part arch="xc9500xl" device="XC95144XL" pkg="TQ100" spg="-10"/><pin dir="input" nm="A_FSB&lt;9&gt;" no="7"/><pin dir="input" nm="A_FSB&lt;8&gt;" no="6"/><pin dir="input" nm="A_FSB&lt;15&gt;" no="13"/><pin dir="input" nm="A_FSB&lt;14&gt;" no="12"/><pin dir="input" nm="A_FSB&lt;13&gt;" no="11"/><pin dir="input" nm="A_FSB&lt;12&gt;" no="10"/><pin dir="input" nm="A_FSB&lt;11&gt;" no="9"/><pin dir="input" nm="A_FSB&lt;10&gt;" no="8"/><pin dir="input" nm="A_FSB&lt;23&gt;" no="24"/><pin dir="input" nm="A_FSB&lt;22&gt;" no="20"/><pin dir="input" nm="A_FSB&lt;21&gt;" no="19"/><pin dir="input" nm="A_FSB&lt;20&gt;" no="18"/><pin dir="input" nm="A_FSB&lt;19&gt;" no="17"/><pin dir="input" nm="A_FSB&lt;18&gt;" no="16"/><pin dir="input" nm="A_FSB&lt;17&gt;" no="15"/><pin dir="input" nm="A_FSB&lt;16&gt;" no="14"/><pin dir="input" nm="CLK2X_IOB" no="22"/><pin dir="input" nm="CLK_FSB" no="27"/><pin dir="input" nm="nAS_FSB" no="32"/><pin dir="input" nm="nWE_FSB" no="29"/><pin dir="input" nm="SW&lt;1&gt;" no="60"/><pin dir="input" nm="nRES" no="91"/><pin dir="input" nm="nLDS_FSB" no="30"/><pin dir="input" nm="nUDS_FSB" no="33"/><pin dir="input" nm="CLK_IOB" no="23"/><pin dir="input" nm="nBERR_IOB" no="76"/><pin dir="input" nm="E_IOB" no="25"/><pin dir="input" nm="nIPL2" no="92"/><pin dir="input" nm="nBG_IOB" no="73"/><pin dir="input" nm="nDTACK_IOB" no="78"/><pin dir="input" nm="nVPA_IOB" no="77"/><pin dir="input" nm="A_FSB&lt;1&gt;" no="94"/><pin dir="input" nm="A_FSB&lt;2&gt;" no="95"/><pin dir="input" nm="A_FSB&lt;3&gt;" no="96"/><pin dir="input" nm="A_FSB&lt;4&gt;" no="97"/><pin dir="input" nm="A_FSB&lt;5&gt;" no="2"/><pin dir="input" nm="A_FSB&lt;6&gt;" no="3"/><pin dir="input" nm="A_FSB&lt;7&gt;" no="4"/><pin dir="input" nm="SW&lt;0&gt;" no="61"/><pin dir="output" nm="nVMA_IOB" no="74" sr="fast"/><pin dir="output" nm="nAS_IOB" no="81" sr="fast"/><pin dir="output" nm="nLDS_IOB" no="79" sr="fast"/><pin dir="output" nm="nUDS_IOB" no="80" sr="fast"/><pin dir="output" nm="nDTACK_FSB" no="28" sr="fast"/><pin dir="output" nm="RA&lt;0&gt;" no="53" sr="fast"/><pin dir="output" nm="RA&lt;1&gt;" no="50" sr="fast"/><pin dir="output" nm="RA&lt;2&gt;" no="43" sr="fast"/><pin dir="output" nm="RA&lt;3&gt;" no="41" sr="fast"/><pin dir="output" nm="RA&lt;4&gt;" no="40" sr="fast"/><pin dir="output" nm="RA&lt;5&gt;" no="42" sr="fast"/><pin dir="output" nm="RA&lt;6&gt;" no="46" sr="fast"/><pin dir="output" nm="RA&lt;7&gt;" no="52" sr="fast"/><pin dir="output" nm="RA&lt;8&gt;" no="54" sr="fast"/><pin dir="output" nm="RA&lt;9&gt;" no="56" sr="fast"/><pin dir="output" nm="nBERR_FSB" no="70" sr="fast"/><pin dir="output" nm="nOE" no="37" sr="fast"/><pin dir="output" nm="nROMWE" no="34" sr="fast"/><pin dir="output" nm="nVPA_FSB" no="93" sr="fast"/><pin dir="output" nm="nADoutLE0" no="85" sr="fast"/><pin dir="output" nm="nCAS" no="36" sr="fast"/><pin dir="output" nm="nDinLE" no="86" sr="fast"/><pin dir="output" nm="nDinOE" no="90" sr="fast"/><pin dir="output" nm="nDoutOE" no="89" sr="fast"/><pin dir="output" nm="nRAS" no="64" sr="fast"/><pin dir="output" nm="RA&lt;11&gt;" no="63" sr="fast"/><pin dir="output" nm="RA&lt;10&gt;" no="55" sr="fast"/><pin dir="output" nm="CLK20EN" no="59" sr="fast"/><pin dir="output" nm="CLK25EN" no="58" sr="fast"/><pin dir="output" nm="nBR_IOB" no="72" sr="fast"/><pin dir="output" nm="nADoutLE1" no="82" sr="fast"/><pin dir="output" nm="nAoutOE" no="87" sr="fast"/><pin dir="output" nm="nRAMLWE" no="65" sr="fast"/><pin dir="output" nm="nRAMUWE" no="66" sr="fast"/><pin dir="output" nm="nROMCS" no="35" sr="fast"/></ibis>

File diff suppressed because it is too large Load Diff

5207
cpld/XC95144XL/MXSE.svf Normal file

File diff suppressed because it is too large Load Diff

View File

@ -3,14 +3,14 @@ Copyright (c) 1995-2013 Xilinx, Inc. All rights reserved.
--> Parameter TMPDIR set to xst/projnav.tmp --> Parameter TMPDIR set to xst/projnav.tmp
Total REAL time to Xst completion: 0.00 secs Total REAL time to Xst completion: 1.00 secs
Total CPU time to Xst completion: 0.87 secs Total CPU time to Xst completion: 0.96 secs
--> Parameter xsthdpdir set to xst --> Parameter xsthdpdir set to xst
Total REAL time to Xst completion: 0.00 secs Total REAL time to Xst completion: 1.00 secs
Total CPU time to Xst completion: 0.89 secs Total CPU time to Xst completion: 0.98 secs
--> Reading design: MXSE.prj --> Reading design: MXSE.prj
@ -217,8 +217,8 @@ Synthesizing Unit <IOBM>.
Found finite state machine <FSM_2> for signal <IOS>. Found finite state machine <FSM_2> for signal <IOS>.
----------------------------------------------------------------------- -----------------------------------------------------------------------
| States | 8 | | States | 8 |
| Transitions | 15 | | Transitions | 16 |
| Inputs | 6 | | Inputs | 7 |
| Outputs | 8 | | Outputs | 8 |
| Clock | C16M (rising_edge) | | Clock | C16M (rising_edge) |
| Power Up State | 000 | | Power Up State | 000 |
@ -226,8 +226,8 @@ Synthesizing Unit <IOBM>.
| Implementation | automatic | | Implementation | automatic |
----------------------------------------------------------------------- -----------------------------------------------------------------------
Found 1-bit register for signal <IOBERR>. Found 1-bit register for signal <IOBERR>.
Found 1-bit register for signal <nASout>.
Found 1-bit register for signal <IOACT>. Found 1-bit register for signal <IOACT>.
Found 1-bit register for signal <nAS>.
Found 1-bit register for signal <nLDS>. Found 1-bit register for signal <nLDS>.
Found 1-bit register for signal <nUDS>. Found 1-bit register for signal <nUDS>.
Found 1-bit register for signal <nDinLE>. Found 1-bit register for signal <nDinLE>.
@ -236,6 +236,9 @@ Synthesizing Unit <IOBM>.
Found 1-bit register for signal <nVMA>. Found 1-bit register for signal <nVMA>.
Found 1-bit register for signal <BERRrf>. Found 1-bit register for signal <BERRrf>.
Found 1-bit register for signal <BERRrr>. Found 1-bit register for signal <BERRrr>.
Found 1-bit register for signal <BG>.
Found 1-bit register for signal <BGr0>.
Found 1-bit register for signal <BGr1>.
Found 1-bit register for signal <DTACKrf>. Found 1-bit register for signal <DTACKrf>.
Found 1-bit register for signal <DTACKrr>. Found 1-bit register for signal <DTACKrr>.
Found 1-bit register for signal <Er>. Found 1-bit register for signal <Er>.
@ -250,7 +253,7 @@ Synthesizing Unit <IOBM>.
Summary: Summary:
inferred 1 Finite State Machine(s). inferred 1 Finite State Machine(s).
inferred 1 Counter(s). inferred 1 Counter(s).
inferred 20 D-type flip-flop(s). inferred 22 D-type flip-flop(s).
Unit <IOBM> synthesized. Unit <IOBM> synthesized.
@ -283,6 +286,21 @@ Unit <FSB> synthesized.
Synthesizing Unit <MXSE>. Synthesizing Unit <MXSE>.
Related source file is "../MXSE.v". Related source file is "../MXSE.v".
WARNING:Xst:647 - Input <SW<2>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
Found 1-bit tristate buffer for signal <nAS_IOB>.
Found 1-bit tristate buffer for signal <nLDS_IOB>.
Found 1-bit tristate buffer for signal <nUDS_IOB>.
Found 1-bit tristate buffer for signal <nVMA_IOB>.
Found 1-bit register for signal <Disable>.
Found 1-bit register for signal <IPL2r0>.
Found 1-bit register for signal <IPL2r1>.
Found 1-bit register for signal <RESDone>.
Found 1-bit register for signal <RESr0>.
Found 1-bit register for signal <RESr1>.
Found 1-bit register for signal <RESr2>.
Summary:
inferred 7 D-type flip-flop(s).
inferred 4 Tristate(s).
Unit <MXSE> synthesized. Unit <MXSE> synthesized.
@ -293,8 +311,10 @@ Macro Statistics
# Counters : 2 # Counters : 2
5-bit up counter : 1 5-bit up counter : 1
8-bit up counter : 1 8-bit up counter : 1
# Registers : 58 # Registers : 68
1-bit register : 58 1-bit register : 68
# Tristates : 4
1-bit tristate buffer : 4
========================================================================= =========================================================================
@ -303,18 +323,18 @@ Macro Statistics
========================================================================= =========================================================================
Analyzing FSM <FSM_2> for best encoding. Analyzing FSM <FSM_2> for best encoding.
Optimizing FSM <iobm/IOS/FSM> on signal <IOS[1:4]> with johnson encoding. Optimizing FSM <iobm/IOS/FSM> on signal <IOS[1:3]> with gray encoding.
------------------- -------------------
State | Encoding State | Encoding
------------------- -------------------
000 | 0000 000 | 000
001 | 0001 001 | 001
010 | 0011 010 | 011
011 | 0111 011 | 010
100 | 1111 100 | 110
101 | 1110 101 | 111
110 | 1100 110 | 101
111 | 1000 111 | 100
------------------- -------------------
Analyzing FSM <FSM_1> for best encoding. Analyzing FSM <FSM_1> for best encoding.
Optimizing FSM <iobs/PS/FSM> on signal <PS[1:2]> with johnson encoding. Optimizing FSM <iobs/PS/FSM> on signal <PS[1:2]> with johnson encoding.
@ -340,6 +360,10 @@ Optimizing FSM <ram/RS/FSM> on signal <RS[1:3]> with user encoding.
111 | 111 111 | 111
110 | 110 110 | 110
------------------- -------------------
WARNING:Xst:1426 - The value init of the FF/Latch 0 hinder the constant cleaning in the block RESDone.
You should achieve better results by setting this init to 1.
WARNING:Xst:1426 - The value init of the FF/Latch 0 hinder the constant cleaning in the block Disable.
You should achieve better results by setting this init to 1.
========================================================================= =========================================================================
Advanced HDL Synthesis Report Advanced HDL Synthesis Report
@ -349,16 +373,27 @@ Macro Statistics
# Counters : 2 # Counters : 2
5-bit up counter : 1 5-bit up counter : 1
8-bit up counter : 1 8-bit up counter : 1
# Registers : 38 # Registers : 47
Flip-Flops : 38 Flip-Flops : 47
========================================================================= =========================================================================
========================================================================= =========================================================================
* Low Level Synthesis * * Low Level Synthesis *
========================================================================= =========================================================================
WARNING:Xst:1426 - The value init of the FF/Latch RESDone hinder the constant cleaning in the block MXSE.
You should achieve better results by setting this init to 1.
WARNING:Xst:1426 - The value init of the FF/Latch Disable hinder the constant cleaning in the block MXSE.
You should achieve better results by setting this init to 1.
Optimizing unit <MXSE> ... Optimizing unit <MXSE> ...
implementation constraint: INIT=r : RESr0
implementation constraint: INIT=r : RESr1
implementation constraint: INIT=r : RESr2
implementation constraint: INIT=r : IPL2r0
implementation constraint: INIT=r : IPL2r1
implementation constraint: INIT=r : Disable
implementation constraint: INIT=r : RESDone
Optimizing unit <CS> ... Optimizing unit <CS> ...
implementation constraint: INIT=r : nOverlay0 implementation constraint: INIT=r : nOverlay0
@ -387,10 +422,12 @@ Optimizing unit <FSB> ...
Optimizing unit <IOBM> ... Optimizing unit <IOBM> ...
implementation constraint: INIT=r : IOREQr implementation constraint: INIT=r : IOREQr
implementation constraint: INIT=r : ETACK implementation constraint: INIT=r : ETACK
implementation constraint: INIT=r : BGr0
implementation constraint: INIT=r : BGr1
implementation constraint: INIT=r : BG
implementation constraint: INIT=r : IOS_FSM_FFd1 implementation constraint: INIT=r : IOS_FSM_FFd1
implementation constraint: INIT=r : IOS_FSM_FFd2 implementation constraint: INIT=r : IOS_FSM_FFd2
implementation constraint: INIT=r : IOS_FSM_FFd3 implementation constraint: INIT=r : IOS_FSM_FFd3
implementation constraint: INIT=r : IOS_FSM_FFd4
Optimizing unit <CNT> ... Optimizing unit <CNT> ...
implementation constraint: INIT=r : RefDone implementation constraint: INIT=r : RefDone
@ -430,40 +467,44 @@ Clock Enable : YES
wysiwyg : NO wysiwyg : NO
Design Statistics Design Statistics
# IOs : 67 # IOs : 75
Cell Usage : Cell Usage :
# BELS : 607 # BELS : 606
# AND2 : 169 # AND2 : 168
# AND3 : 25 # AND3 : 27
# AND4 : 14 # AND4 : 12
# AND5 : 1
# AND6 : 2 # AND6 : 2
# AND7 : 1 # AND7 : 1
# AND8 : 3 # AND8 : 3
# GND : 6 # GND : 7
# INV : 256 # INV : 260
# OR2 : 108 # OR2 : 99
# OR3 : 9 # OR3 : 11
# OR4 : 1 # OR4 : 1
# VCC : 1 # VCC : 2
# XOR2 : 12 # XOR2 : 12
# FlipFlops/Latches : 80 # FlipFlops/Latches : 89
# FD : 54 # FD : 60
# FDCE : 26 # FDCE : 29
# IO Buffers : 67 # Tri-States : 1
# IBUF : 35 # BUFE : 1
# OBUF : 32 # IO Buffers : 74
# IBUF : 39
# OBUF : 31
# OBUFE : 4
========================================================================= =========================================================================
Total REAL time to Xst completion: 27.00 secs Total REAL time to Xst completion: 29.00 secs
Total CPU time to Xst completion: 27.11 secs Total CPU time to Xst completion: 29.19 secs
--> -->
Total memory usage is 204052 kilobytes Total memory usage is 205076 kilobytes
Number of errors : 0 ( 0 filtered) Number of errors : 0 ( 0 filtered)
Number of warnings : 0 ( 0 filtered) Number of warnings : 5 ( 0 filtered)
Number of infos : 0 ( 0 filtered) Number of infos : 0 ( 0 filtered)

View File

@ -1,81 +1,81 @@
TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd3.Q:TO:iobm/IOS_FSM_FFd4.D:666 TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd2.Q:TO:iobm/IOS_FSM_FFd3.D:666
TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd4.Q:TO:iobm/IOS_FSM_FFd4.D:666
TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd2.Q:TO:iobm/IOS_FSM_FFd4.D:666
TS_CLK2X_IOB:FROM:iobm/IOREQr.Q:TO:iobm/IOS_FSM_FFd4.D:666
TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd1.Q:TO:iobm/IOS_FSM_FFd4.D:666
TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd4.Q:TO:iobm/IOS_FSM_FFd3.D:666
TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd3.Q:TO:iobm/IOS_FSM_FFd3.D:666 TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd3.Q:TO:iobm/IOS_FSM_FFd3.D:666
TS_CLK2X_IOB:FROM:iobm/DTACKrr.Q:TO:iobm/IOS_FSM_FFd3.D:666 TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd1.Q:TO:iobm/IOS_FSM_FFd3.D:666
TS_CLK2X_IOB:FROM:iobm/DTACKrf.Q:TO:iobm/IOS_FSM_FFd3.D:666 TS_CLK2X_IOB:FROM:nAoutOE_OBUF.Q:TO:iobm/IOS_FSM_FFd3.D:666
TS_CLK2X_IOB:FROM:iobm/ETACK.Q:TO:iobm/IOS_FSM_FFd3.D:666 TS_CLK2X_IOB:FROM:iobm/IOREQr.Q:TO:iobm/IOS_FSM_FFd3.D:666
TS_CLK2X_IOB:FROM:iobm/RESrr.Q:TO:iobm/IOS_FSM_FFd3.D:666 TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd2.Q:TO:iobm/IOS_FSM_FFd2.D:666
TS_CLK2X_IOB:FROM:iobm/RESrf.Q:TO:iobm/IOS_FSM_FFd3.D:666 TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd3.Q:TO:iobm/IOS_FSM_FFd2.D:666
TS_CLK2X_IOB:FROM:iobm/BERRrr.Q:TO:iobm/IOS_FSM_FFd3.D:666 TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd1.Q:TO:iobm/IOS_FSM_FFd2.D:666
TS_CLK2X_IOB:FROM:iobm/BERRrf.Q:TO:iobm/IOS_FSM_FFd3.D:666 TS_CLK2X_IOB:FROM:iobm/ETACK.Q:TO:iobm/IOS_FSM_FFd2.D:666
TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd4.Q:TO:IOACT.D:666 TS_CLK2X_IOB:FROM:iobm/BERRrf.Q:TO:iobm/IOS_FSM_FFd2.D:666
TS_CLK2X_IOB:FROM:iobm/BERRrr.Q:TO:iobm/IOS_FSM_FFd2.D:666
TS_CLK2X_IOB:FROM:iobm/DTACKrf.Q:TO:iobm/IOS_FSM_FFd2.D:666
TS_CLK2X_IOB:FROM:iobm/DTACKrr.Q:TO:iobm/IOS_FSM_FFd2.D:666
TS_CLK2X_IOB:FROM:iobm/RESrf.Q:TO:iobm/IOS_FSM_FFd2.D:666
TS_CLK2X_IOB:FROM:iobm/RESrr.Q:TO:iobm/IOS_FSM_FFd2.D:666
TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd3.Q:TO:IOACT.D:666 TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd3.Q:TO:IOACT.D:666
TS_CLK2X_IOB:FROM:iobm/DTACKrr.Q:TO:IOACT.D:666
TS_CLK2X_IOB:FROM:iobm/DTACKrf.Q:TO:IOACT.D:666
TS_CLK2X_IOB:FROM:iobm/ETACK.Q:TO:IOACT.D:666
TS_CLK2X_IOB:FROM:iobm/RESrr.Q:TO:IOACT.D:666
TS_CLK2X_IOB:FROM:iobm/RESrf.Q:TO:IOACT.D:666
TS_CLK2X_IOB:FROM:iobm/BERRrr.Q:TO:IOACT.D:666
TS_CLK2X_IOB:FROM:iobm/BERRrf.Q:TO:IOACT.D:666
TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd2.Q:TO:IOACT.D:666 TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd2.Q:TO:IOACT.D:666
TS_CLK2X_IOB:FROM:iobm/IOREQr.Q:TO:IOACT.D:666
TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd1.Q:TO:IOACT.D:666 TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd1.Q:TO:IOACT.D:666
TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd3.Q:TO:IOBERR.D:666 TS_CLK2X_IOB:FROM:iobm/DTACKrf.Q:TO:IOACT.D:666
TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd4.Q:TO:IOBERR.D:666 TS_CLK2X_IOB:FROM:iobm/DTACKrr.Q:TO:IOACT.D:666
TS_CLK2X_IOB:FROM:IOBERR.Q:TO:IOBERR.D:666 TS_CLK2X_IOB:FROM:iobm/RESrf.Q:TO:IOACT.D:666
TS_CLK2X_IOB:FROM:iobm/DTACKrr.Q:TO:IOBERR.D:666 TS_CLK2X_IOB:FROM:iobm/RESrr.Q:TO:IOACT.D:666
TS_CLK2X_IOB:FROM:iobm/DTACKrf.Q:TO:IOBERR.D:666 TS_CLK2X_IOB:FROM:nAoutOE_OBUF.Q:TO:IOACT.D:666
TS_CLK2X_IOB:FROM:iobm/ETACK.Q:TO:IOBERR.D:666 TS_CLK2X_IOB:FROM:iobm/IOREQr.Q:TO:IOACT.D:666
TS_CLK2X_IOB:FROM:iobm/RESrr.Q:TO:IOBERR.D:666 TS_CLK2X_IOB:FROM:iobm/ETACK.Q:TO:IOACT.D:666
TS_CLK2X_IOB:FROM:iobm/RESrf.Q:TO:IOBERR.D:666 TS_CLK2X_IOB:FROM:iobm/BERRrf.Q:TO:IOACT.D:666
TS_CLK2X_IOB:FROM:iobm/BERRrr.Q:TO:IOBERR.D:666 TS_CLK2X_IOB:FROM:iobm/BERRrr.Q:TO:IOACT.D:666
TS_CLK2X_IOB:FROM:iobm/BERRrf.Q:TO:IOBERR.D:666
TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd1.Q:TO:IOBERR.D:666
TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd2.Q:TO:IOBERR.D:666 TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd2.Q:TO:IOBERR.D:666
TS_CLK_FSB:FROM:cs/nOverlay0.Q:TO:cs/nOverlay1.D:400 TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd3.Q:TO:IOBERR.D:666
TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:cs/nOverlay1.CE:400 TS_CLK2X_IOB:FROM:IOBERR.Q:TO:IOBERR.D:666
TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd1.Q:TO:IOBERR.D:666
TS_CLK2X_IOB:FROM:iobm/RESrf.Q:TO:IOBERR.D:666
TS_CLK2X_IOB:FROM:iobm/RESrr.Q:TO:IOBERR.D:666
TS_CLK2X_IOB:FROM:iobm/BERRrf.Q:TO:IOBERR.D:666
TS_CLK2X_IOB:FROM:iobm/BERRrr.Q:TO:IOBERR.D:666
TS_CLK2X_IOB:FROM:iobm/DTACKrf.Q:TO:IOBERR.D:666
TS_CLK2X_IOB:FROM:iobm/DTACKrr.Q:TO:IOBERR.D:666
TS_CLK2X_IOB:FROM:iobm/ETACK.Q:TO:IOBERR.D:666
TS_CLK_FSB:FROM:ram/Once.Q:TO:ram/Once.D:400
TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:ram/Once.D:400 TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:ram/Once.D:400
TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:ram/Once.D:400 TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:ram/Once.D:400
TS_CLK_FSB:FROM:ram/RS_FSM_FFd1.Q:TO:ram/Once.D:400
TS_CLK_FSB:FROM:ram/RS_FSM_FFd2.Q:TO:ram/Once.D:400 TS_CLK_FSB:FROM:ram/RS_FSM_FFd2.Q:TO:ram/Once.D:400
TS_CLK_FSB:FROM:ram/RS_FSM_FFd1.Q:TO:ram/Once.D:400
TS_CLK_FSB:FROM:ram/RS_FSM_FFd3.Q:TO:ram/Once.D:400 TS_CLK_FSB:FROM:ram/RS_FSM_FFd3.Q:TO:ram/Once.D:400
TS_CLK_FSB:FROM:ram/Once.Q:TO:ram/Once.D:400
TS_CLK_FSB:FROM:cnt/RefDone.Q:TO:cnt/RefDone.D:400 TS_CLK_FSB:FROM:cnt/RefDone.Q:TO:cnt/RefDone.D:400
TS_CLK_FSB:FROM:RefAck.Q:TO:cnt/RefDone.D:400 TS_CLK_FSB:FROM:RefAck.Q:TO:cnt/RefDone.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<0>.Q:TO:cnt/RefDone.D:400 TS_CLK_FSB:FROM:cnt/RefCnt<0>.Q:TO:cnt/RefDone.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<5>.Q:TO:cnt/RefDone.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<6>.Q:TO:cnt/RefDone.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<1>.Q:TO:cnt/RefDone.D:400 TS_CLK_FSB:FROM:cnt/RefCnt<1>.Q:TO:cnt/RefDone.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<2>.Q:TO:cnt/RefDone.D:400 TS_CLK_FSB:FROM:cnt/RefCnt<2>.Q:TO:cnt/RefDone.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<3>.Q:TO:cnt/RefDone.D:400 TS_CLK_FSB:FROM:cnt/RefCnt<3>.Q:TO:cnt/RefDone.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<4>.Q:TO:cnt/RefDone.D:400 TS_CLK_FSB:FROM:cnt/RefCnt<4>.Q:TO:cnt/RefDone.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<5>.Q:TO:cnt/RefDone.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<6>.Q:TO:cnt/RefDone.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<7>.Q:TO:cnt/RefDone.D:400 TS_CLK_FSB:FROM:cnt/RefCnt<7>.Q:TO:cnt/RefDone.D:400
TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:iobs/Once.D:400
TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:iobs/Once.D:400
TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:iobs/Once.D:400
TS_CLK_FSB:FROM:iobs/PS_FSM_FFd1.Q:TO:iobs/Once.D:400
TS_CLK_FSB:FROM:iobs/PS_FSM_FFd2.Q:TO:iobs/Once.D:400
TS_CLK_FSB:FROM:iobs/Once.Q:TO:iobs/Once.D:400 TS_CLK_FSB:FROM:iobs/Once.Q:TO:iobs/Once.D:400
TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:iobs/Once.D:400
TS_CLK_FSB:FROM:iobs/PS_FSM_FFd1.Q:TO:iobs/Once.D:400
TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:iobs/Once.D:400
TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:iobs/Once.D:400
TS_CLK_FSB:FROM:iobs/PS_FSM_FFd2.Q:TO:iobs/Once.D:400
TS_CLK_FSB:FROM:cs/nOverlay0.Q:TO:cs/nOverlay1.D:400
TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:cs/nOverlay1.CE:400
TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:BERR_IOBS.D:400 TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:BERR_IOBS.D:400
TS_CLK_FSB:FROM:BERR_IOBS.Q:TO:BERR_IOBS.D:400
TS_CLK_FSB:FROM:iobs/Once.Q:TO:BERR_IOBS.D:400 TS_CLK_FSB:FROM:iobs/Once.Q:TO:BERR_IOBS.D:400
TS_CLK_FSB:FROM:iobs/PS_FSM_FFd2.Q:TO:BERR_IOBS.D:400
TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:BERR_IOBS.D:400 TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:BERR_IOBS.D:400
TS_CLK_FSB:FROM:iobs/IOACTr.Q:TO:BERR_IOBS.D:400 TS_CLK_FSB:FROM:iobs/IOACTr.Q:TO:BERR_IOBS.D:400
TS_CLK_FSB:FROM:iobs/PS_FSM_FFd2.Q:TO:BERR_IOBS.D:400
TS_CLK_FSB:FROM:BERR_IOBS.Q:TO:BERR_IOBS.D:400
TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:IORW0.D:400
TS_CLK_FSB:FROM:iobs/IORW1.Q:TO:IORW0.D:400
TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:IORW0.D:400
TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:IORW0.D:400
TS_CLK_FSB:FROM:iobs/Once.Q:TO:IORW0.D:400
TS_CLK_FSB:FROM:iobs/PS_FSM_FFd1.Q:TO:IORW0.D:400
TS_CLK_FSB:FROM:iobs/PS_FSM_FFd2.Q:TO:IORW0.D:400 TS_CLK_FSB:FROM:iobs/PS_FSM_FFd2.Q:TO:IORW0.D:400
TS_CLK_FSB:FROM:iobs/PS_FSM_FFd1.Q:TO:IORW0.D:400
TS_CLK_FSB:FROM:iobs/Once.Q:TO:IORW0.D:400
TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:IORW0.D:400
TS_CLK_FSB:FROM:IORW0.Q:TO:IORW0.D:400 TS_CLK_FSB:FROM:IORW0.Q:TO:IORW0.D:400
TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:cs/nOverlay0.D:400 TS_CLK_FSB:FROM:iobs/IORW1.Q:TO:IORW0.D:400
TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:IORW0.D:400
TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:IORW0.D:400
TS_CLK_FSB:FROM:cs/nOverlay0.Q:TO:cs/nOverlay0.D:400 TS_CLK_FSB:FROM:cs/nOverlay0.Q:TO:cs/nOverlay0.D:400
TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:cs/nOverlay0.D:400
TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:IOL0.D:400 TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:IOL0.D:400
TS_CLK_FSB:FROM:iobs/IOL1.Q:TO:IOL0.D:400 TS_CLK_FSB:FROM:iobs/IOL1.Q:TO:IOL0.D:400
TS_CLK_FSB:FROM:iobs/PS_FSM_FFd2.Q:TO:IOL0.CE:400 TS_CLK_FSB:FROM:iobs/PS_FSM_FFd2.Q:TO:IOL0.CE:400
@ -85,35 +85,35 @@ TS_CLK_FSB:FROM:iobs/IOU1.Q:TO:IOU0.D:400
TS_CLK_FSB:FROM:iobs/PS_FSM_FFd2.Q:TO:IOU0.CE:400 TS_CLK_FSB:FROM:iobs/PS_FSM_FFd2.Q:TO:IOU0.CE:400
TS_CLK_FSB:FROM:iobs/PS_FSM_FFd1.Q:TO:IOU0.CE:400 TS_CLK_FSB:FROM:iobs/PS_FSM_FFd1.Q:TO:IOU0.CE:400
TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:TimeoutA.D:400 TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:TimeoutA.D:400
TS_CLK_FSB:FROM:TimeoutA.Q:TO:TimeoutA.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<0>.Q:TO:TimeoutA.D:400 TS_CLK_FSB:FROM:cnt/RefCnt<0>.Q:TO:TimeoutA.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<5>.Q:TO:TimeoutA.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<6>.Q:TO:TimeoutA.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<1>.Q:TO:TimeoutA.D:400 TS_CLK_FSB:FROM:cnt/RefCnt<1>.Q:TO:TimeoutA.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<2>.Q:TO:TimeoutA.D:400 TS_CLK_FSB:FROM:cnt/RefCnt<2>.Q:TO:TimeoutA.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<3>.Q:TO:TimeoutA.D:400 TS_CLK_FSB:FROM:cnt/RefCnt<3>.Q:TO:TimeoutA.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<4>.Q:TO:TimeoutA.D:400 TS_CLK_FSB:FROM:cnt/RefCnt<4>.Q:TO:TimeoutA.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<5>.Q:TO:TimeoutA.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<6>.Q:TO:TimeoutA.D:400
TS_CLK_FSB:FROM:TimeoutA.Q:TO:TimeoutA.D:400
TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:TimeoutB.D:400 TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:TimeoutB.D:400
TS_CLK_FSB:FROM:cnt/TimeoutBPre.Q:TO:TimeoutB.D:400 TS_CLK_FSB:FROM:TimeoutB.Q:TO:TimeoutB.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<0>.Q:TO:TimeoutB.D:400 TS_CLK_FSB:FROM:cnt/RefCnt<0>.Q:TO:TimeoutB.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<5>.Q:TO:TimeoutB.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<6>.Q:TO:TimeoutB.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<1>.Q:TO:TimeoutB.D:400 TS_CLK_FSB:FROM:cnt/RefCnt<1>.Q:TO:TimeoutB.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<2>.Q:TO:TimeoutB.D:400 TS_CLK_FSB:FROM:cnt/RefCnt<2>.Q:TO:TimeoutB.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<3>.Q:TO:TimeoutB.D:400 TS_CLK_FSB:FROM:cnt/RefCnt<3>.Q:TO:TimeoutB.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<4>.Q:TO:TimeoutB.D:400 TS_CLK_FSB:FROM:cnt/RefCnt<4>.Q:TO:TimeoutB.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<5>.Q:TO:TimeoutB.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<6>.Q:TO:TimeoutB.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<7>.Q:TO:TimeoutB.D:400 TS_CLK_FSB:FROM:cnt/RefCnt<7>.Q:TO:TimeoutB.D:400
TS_CLK_FSB:FROM:TimeoutB.Q:TO:TimeoutB.D:400 TS_CLK_FSB:FROM:cnt/TimeoutBPre.Q:TO:TimeoutB.D:400
TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:cnt/TimeoutBPre.D:400 TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:cnt/TimeoutBPre.D:400
TS_CLK_FSB:FROM:cnt/TimeoutBPre.Q:TO:cnt/TimeoutBPre.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<0>.Q:TO:cnt/TimeoutBPre.D:400 TS_CLK_FSB:FROM:cnt/RefCnt<0>.Q:TO:cnt/TimeoutBPre.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<5>.Q:TO:cnt/TimeoutBPre.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<6>.Q:TO:cnt/TimeoutBPre.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<1>.Q:TO:cnt/TimeoutBPre.D:400 TS_CLK_FSB:FROM:cnt/RefCnt<1>.Q:TO:cnt/TimeoutBPre.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<2>.Q:TO:cnt/TimeoutBPre.D:400 TS_CLK_FSB:FROM:cnt/RefCnt<2>.Q:TO:cnt/TimeoutBPre.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<3>.Q:TO:cnt/TimeoutBPre.D:400 TS_CLK_FSB:FROM:cnt/RefCnt<3>.Q:TO:cnt/TimeoutBPre.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<4>.Q:TO:cnt/TimeoutBPre.D:400 TS_CLK_FSB:FROM:cnt/RefCnt<4>.Q:TO:cnt/TimeoutBPre.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<5>.Q:TO:cnt/TimeoutBPre.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<6>.Q:TO:cnt/TimeoutBPre.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<7>.Q:TO:cnt/TimeoutBPre.D:400 TS_CLK_FSB:FROM:cnt/RefCnt<7>.Q:TO:cnt/TimeoutBPre.D:400
TS_CLK_FSB:FROM:cnt/TimeoutBPre.Q:TO:cnt/TimeoutBPre.D:400
TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:fsb/BERR0r.D:400 TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:fsb/BERR0r.D:400
TS_CLK_FSB:FROM:TimeoutB.Q:TO:fsb/BERR0r.D:400 TS_CLK_FSB:FROM:TimeoutB.Q:TO:fsb/BERR0r.D:400
TS_CLK_FSB:FROM:fsb/BERR0r.Q:TO:fsb/BERR0r.D:400 TS_CLK_FSB:FROM:fsb/BERR0r.Q:TO:fsb/BERR0r.D:400
@ -121,275 +121,272 @@ TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:fsb/BERR1r.D:400
TS_CLK_FSB:FROM:BERR_IOBS.Q:TO:fsb/BERR1r.D:400 TS_CLK_FSB:FROM:BERR_IOBS.Q:TO:fsb/BERR1r.D:400
TS_CLK_FSB:FROM:fsb/BERR1r.Q:TO:fsb/BERR1r.D:400 TS_CLK_FSB:FROM:fsb/BERR1r.Q:TO:fsb/BERR1r.D:400
TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:fsb/Ready0r.D:400 TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:fsb/Ready0r.D:400
TS_CLK_FSB:FROM:ram/RAMReady.Q:TO:fsb/Ready0r.D:400
TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:fsb/Ready0r.D:400 TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:fsb/Ready0r.D:400
TS_CLK_FSB:FROM:fsb/Ready0r.Q:TO:fsb/Ready0r.D:400 TS_CLK_FSB:FROM:fsb/Ready0r.Q:TO:fsb/Ready0r.D:400
TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:fsb/Ready1r.D:400 TS_CLK_FSB:FROM:ram/RAMReady.Q:TO:fsb/Ready0r.D:400
TS_CLK_FSB:FROM:iobs/IOReady.Q:TO:fsb/Ready1r.D:400
TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:fsb/Ready1r.D:400 TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:fsb/Ready1r.D:400
TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:fsb/Ready1r.D:400 TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:fsb/Ready1r.D:400
TS_CLK_FSB:FROM:fsb/Ready1r.Q:TO:fsb/Ready1r.D:400 TS_CLK_FSB:FROM:fsb/Ready1r.Q:TO:fsb/Ready1r.D:400
TS_CLK_FSB:FROM:iobs/IOReady.Q:TO:fsb/Ready1r.D:400
TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:fsb/Ready1r.D:400
TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:fsb/Ready2r.D:400 TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:fsb/Ready2r.D:400
TS_CLK_FSB:FROM:TimeoutA.Q:TO:fsb/Ready2r.D:400
TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:fsb/Ready2r.D:400 TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:fsb/Ready2r.D:400
TS_CLK_FSB:FROM:TimeoutA.Q:TO:fsb/Ready2r.D:400
TS_CLK_FSB:FROM:fsb/Ready2r.Q:TO:fsb/Ready2r.D:400 TS_CLK_FSB:FROM:fsb/Ready2r.Q:TO:fsb/Ready2r.D:400
TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:fsb/VPA.D:400
TS_CLK_FSB:FROM:fsb/BERR0r.Q:TO:fsb/VPA.D:400
TS_CLK_FSB:FROM:fsb/BERR1r.Q:TO:fsb/VPA.D:400
TS_CLK_FSB:FROM:BERR_IOBS.Q:TO:fsb/VPA.D:400
TS_CLK_FSB:FROM:TimeoutB.Q:TO:fsb/VPA.D:400
TS_CLK_FSB:FROM:fsb/Ready0r.Q:TO:fsb/VPA.D:400
TS_CLK_FSB:FROM:ram/RAMReady.Q:TO:fsb/VPA.D:400
TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:fsb/VPA.D:400 TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:fsb/VPA.D:400
TS_CLK_FSB:FROM:fsb/Ready2r.Q:TO:fsb/VPA.D:400
TS_CLK_FSB:FROM:TimeoutA.Q:TO:fsb/VPA.D:400 TS_CLK_FSB:FROM:TimeoutA.Q:TO:fsb/VPA.D:400
TS_CLK_FSB:FROM:fsb/Ready2r.Q:TO:fsb/VPA.D:400
TS_CLK_FSB:FROM:fsb/VPA.Q:TO:fsb/VPA.D:400
TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:fsb/VPA.D:400
TS_CLK_FSB:FROM:fsb/Ready1r.Q:TO:fsb/VPA.D:400 TS_CLK_FSB:FROM:fsb/Ready1r.Q:TO:fsb/VPA.D:400
TS_CLK_FSB:FROM:iobs/IOReady.Q:TO:fsb/VPA.D:400 TS_CLK_FSB:FROM:iobs/IOReady.Q:TO:fsb/VPA.D:400
TS_CLK_FSB:FROM:BERR_IOBS.Q:TO:fsb/VPA.D:400
TS_CLK_FSB:FROM:fsb/BERR0r.Q:TO:fsb/VPA.D:400
TS_CLK_FSB:FROM:fsb/BERR1r.Q:TO:fsb/VPA.D:400
TS_CLK_FSB:FROM:Park.Q:TO:fsb/VPA.D:400
TS_CLK_FSB:FROM:fsb/Ready0r.Q:TO:fsb/VPA.D:400
TS_CLK_FSB:FROM:ram/RAMReady.Q:TO:fsb/VPA.D:400
TS_CLK_FSB:FROM:TimeoutB.Q:TO:fsb/VPA.D:400
TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:fsb/VPA.D:400 TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:fsb/VPA.D:400
TS_CLK_FSB:FROM:fsb/VPA.Q:TO:fsb/VPA.D:400
TS_CLK_FSB:FROM:iobs/Load1.Q:TO:iobs/IOL1.CE:400 TS_CLK_FSB:FROM:iobs/Load1.Q:TO:iobs/IOL1.CE:400
TS_CLK_FSB:FROM:iobs/Once.Q:TO:iobs/IORW1.D:400
TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:iobs/IORW1.D:400
TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:iobs/IORW1.D:400 TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:iobs/IORW1.D:400
TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:iobs/IORW1.D:400 TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:iobs/IORW1.D:400
TS_CLK_FSB:FROM:iobs/PS_FSM_FFd1.Q:TO:iobs/IORW1.D:400
TS_CLK_FSB:FROM:iobs/PS_FSM_FFd2.Q:TO:iobs/IORW1.D:400 TS_CLK_FSB:FROM:iobs/PS_FSM_FFd2.Q:TO:iobs/IORW1.D:400
TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:iobs/IORW1.D:400 TS_CLK_FSB:FROM:iobs/PS_FSM_FFd1.Q:TO:iobs/IORW1.D:400
TS_CLK_FSB:FROM:iobs/Once.Q:TO:iobs/IORW1.D:400
TS_CLK_FSB:FROM:iobs/IORW1.Q:TO:iobs/IORW1.D:400 TS_CLK_FSB:FROM:iobs/IORW1.Q:TO:iobs/IORW1.D:400
TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:iobs/IOReady.D:400 TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:iobs/IOReady.D:400
TS_CLK_FSB:FROM:iobs/IOReady.Q:TO:iobs/IOReady.D:400
TS_CLK_FSB:FROM:iobs/Once.Q:TO:iobs/IOReady.D:400 TS_CLK_FSB:FROM:iobs/Once.Q:TO:iobs/IOReady.D:400
TS_CLK_FSB:FROM:iobs/PS_FSM_FFd2.Q:TO:iobs/IOReady.D:400
TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:iobs/IOReady.D:400 TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:iobs/IOReady.D:400
TS_CLK_FSB:FROM:iobs/IOACTr.Q:TO:iobs/IOReady.D:400 TS_CLK_FSB:FROM:iobs/IOACTr.Q:TO:iobs/IOReady.D:400
TS_CLK_FSB:FROM:iobs/PS_FSM_FFd2.Q:TO:iobs/IOReady.D:400
TS_CLK_FSB:FROM:iobs/IOReady.Q:TO:iobs/IOReady.D:400
TS_CLK_FSB:FROM:iobs/Load1.Q:TO:iobs/IOU1.CE:400 TS_CLK_FSB:FROM:iobs/Load1.Q:TO:iobs/IOU1.CE:400
TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:ram/RAMDIS2.D:400
TS_CLK_FSB:FROM:ram/Once.Q:TO:ram/RAMDIS2.D:400 TS_CLK_FSB:FROM:ram/Once.Q:TO:ram/RAMDIS2.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<5>.Q:TO:ram/RAMDIS2.D:400 TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:ram/RAMDIS2.D:400
TS_CLK_FSB:FROM:ram/RS_FSM_FFd2.Q:TO:ram/RAMDIS2.D:400
TS_CLK_FSB:FROM:ram/RS_FSM_FFd1.Q:TO:ram/RAMDIS2.D:400
TS_CLK_FSB:FROM:ram/RS_FSM_FFd3.Q:TO:ram/RAMDIS2.D:400
TS_CLK_FSB:FROM:cnt/RefDone.Q:TO:ram/RAMDIS2.D:400 TS_CLK_FSB:FROM:cnt/RefDone.Q:TO:ram/RAMDIS2.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<5>.Q:TO:ram/RAMDIS2.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<6>.Q:TO:ram/RAMDIS2.D:400 TS_CLK_FSB:FROM:cnt/RefCnt<6>.Q:TO:ram/RAMDIS2.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<7>.Q:TO:ram/RAMDIS2.D:400 TS_CLK_FSB:FROM:cnt/RefCnt<7>.Q:TO:ram/RAMDIS2.D:400
TS_CLK_FSB:FROM:ram/RS_FSM_FFd1.Q:TO:ram/RAMDIS2.D:400
TS_CLK_FSB:FROM:ram/RS_FSM_FFd2.Q:TO:ram/RAMDIS2.D:400
TS_CLK_FSB:FROM:ram/RS_FSM_FFd3.Q:TO:ram/RAMDIS2.D:400
TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:ram/RAMDIS2.D:400
TS_CLK_FSB:FROM:ram/RAMDIS2.Q:TO:ram/RAMDIS2.D:400 TS_CLK_FSB:FROM:ram/RAMDIS2.Q:TO:ram/RAMDIS2.D:400
TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:nDTACK_FSB_OBUF.D:400 TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:ram/RAMDIS2.D:400
TS_CLK_FSB:FROM:fsb/BERR0r.Q:TO:nDTACK_FSB_OBUF.D:400
TS_CLK_FSB:FROM:fsb/BERR1r.Q:TO:nDTACK_FSB_OBUF.D:400
TS_CLK_FSB:FROM:BERR_IOBS.Q:TO:nDTACK_FSB_OBUF.D:400
TS_CLK_FSB:FROM:TimeoutB.Q:TO:nDTACK_FSB_OBUF.D:400
TS_CLK_FSB:FROM:fsb/Ready0r.Q:TO:nDTACK_FSB_OBUF.D:400
TS_CLK_FSB:FROM:ram/RAMReady.Q:TO:nDTACK_FSB_OBUF.D:400
TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:nDTACK_FSB_OBUF.D:400 TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:nDTACK_FSB_OBUF.D:400
TS_CLK_FSB:FROM:fsb/Ready2r.Q:TO:nDTACK_FSB_OBUF.D:400
TS_CLK_FSB:FROM:TimeoutA.Q:TO:nDTACK_FSB_OBUF.D:400 TS_CLK_FSB:FROM:TimeoutA.Q:TO:nDTACK_FSB_OBUF.D:400
TS_CLK_FSB:FROM:fsb/Ready2r.Q:TO:nDTACK_FSB_OBUF.D:400
TS_CLK_FSB:FROM:nDTACK_FSB_OBUF.Q:TO:nDTACK_FSB_OBUF.D:400
TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:nDTACK_FSB_OBUF.D:400
TS_CLK_FSB:FROM:fsb/Ready1r.Q:TO:nDTACK_FSB_OBUF.D:400 TS_CLK_FSB:FROM:fsb/Ready1r.Q:TO:nDTACK_FSB_OBUF.D:400
TS_CLK_FSB:FROM:iobs/IOReady.Q:TO:nDTACK_FSB_OBUF.D:400 TS_CLK_FSB:FROM:iobs/IOReady.Q:TO:nDTACK_FSB_OBUF.D:400
TS_CLK_FSB:FROM:BERR_IOBS.Q:TO:nDTACK_FSB_OBUF.D:400
TS_CLK_FSB:FROM:fsb/BERR0r.Q:TO:nDTACK_FSB_OBUF.D:400
TS_CLK_FSB:FROM:fsb/BERR1r.Q:TO:nDTACK_FSB_OBUF.D:400
TS_CLK_FSB:FROM:Park.Q:TO:nDTACK_FSB_OBUF.D:400
TS_CLK_FSB:FROM:fsb/Ready0r.Q:TO:nDTACK_FSB_OBUF.D:400
TS_CLK_FSB:FROM:ram/RAMReady.Q:TO:nDTACK_FSB_OBUF.D:400
TS_CLK_FSB:FROM:TimeoutB.Q:TO:nDTACK_FSB_OBUF.D:400
TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:nDTACK_FSB_OBUF.D:400 TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:nDTACK_FSB_OBUF.D:400
TS_CLK_FSB:FROM:nDTACK_FSB_OBUF.Q:TO:nDTACK_FSB_OBUF.D:400 TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:ram/RASEL.D:400
TS_CLK_FSB:FROM:ram/RS_FSM_FFd3.Q:TO:ram/RASEL.D:400 TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:ram/RASEL.D:400
TS_CLK_FSB:FROM:ram/RS_FSM_FFd2.Q:TO:ram/RASEL.D:400
TS_CLK_FSB:FROM:ram/RS_FSM_FFd1.Q:TO:ram/RASEL.D:400 TS_CLK_FSB:FROM:ram/RS_FSM_FFd1.Q:TO:ram/RASEL.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<5>.Q:TO:ram/RASEL.D:400
TS_CLK_FSB:FROM:cnt/RefDone.Q:TO:ram/RASEL.D:400 TS_CLK_FSB:FROM:cnt/RefDone.Q:TO:ram/RASEL.D:400
TS_CLK_FSB:FROM:ram/BACTr.Q:TO:ram/RASEL.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<5>.Q:TO:ram/RASEL.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<6>.Q:TO:ram/RASEL.D:400 TS_CLK_FSB:FROM:cnt/RefCnt<6>.Q:TO:ram/RASEL.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<7>.Q:TO:ram/RASEL.D:400 TS_CLK_FSB:FROM:cnt/RefCnt<7>.Q:TO:ram/RASEL.D:400
TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:ram/RASEL.D:400 TS_CLK_FSB:FROM:ram/RS_FSM_FFd3.Q:TO:ram/RASEL.D:400
TS_CLK_FSB:FROM:ram/RS_FSM_FFd2.Q:TO:ram/RASEL.D:400
TS_CLK_FSB:FROM:ram/BACTr.Q:TO:ram/RASEL.D:400
TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:ram/RASEL.D:400
TS_CLK_FSB:FROM:ram/Once.Q:TO:ram/RASEL.D:400 TS_CLK_FSB:FROM:ram/Once.Q:TO:ram/RASEL.D:400
TS_CLK_FSB:FROM:ram/RS_FSM_FFd2.Q:TO:ram/RS_FSM_FFd2.D:400 TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:ram/RS_FSM_FFd2.D:400
TS_CLK_FSB:FROM:ram/RS_FSM_FFd3.Q:TO:ram/RS_FSM_FFd2.D:400 TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:ram/RS_FSM_FFd2.D:400
TS_CLK_FSB:FROM:ram/RS_FSM_FFd1.Q:TO:ram/RS_FSM_FFd2.D:400 TS_CLK_FSB:FROM:ram/RS_FSM_FFd1.Q:TO:ram/RS_FSM_FFd2.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<5>.Q:TO:ram/RS_FSM_FFd2.D:400 TS_CLK_FSB:FROM:ram/RS_FSM_FFd3.Q:TO:ram/RS_FSM_FFd2.D:400
TS_CLK_FSB:FROM:ram/RS_FSM_FFd2.Q:TO:ram/RS_FSM_FFd2.D:400
TS_CLK_FSB:FROM:cnt/RefDone.Q:TO:ram/RS_FSM_FFd2.D:400 TS_CLK_FSB:FROM:cnt/RefDone.Q:TO:ram/RS_FSM_FFd2.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<5>.Q:TO:ram/RS_FSM_FFd2.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<6>.Q:TO:ram/RS_FSM_FFd2.D:400 TS_CLK_FSB:FROM:cnt/RefCnt<6>.Q:TO:ram/RS_FSM_FFd2.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<7>.Q:TO:ram/RS_FSM_FFd2.D:400 TS_CLK_FSB:FROM:cnt/RefCnt<7>.Q:TO:ram/RS_FSM_FFd2.D:400
TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:ram/RS_FSM_FFd2.D:400
TS_CLK_FSB:FROM:ram/BACTr.Q:TO:ram/RS_FSM_FFd2.D:400 TS_CLK_FSB:FROM:ram/BACTr.Q:TO:ram/RS_FSM_FFd2.D:400
TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:ram/RS_FSM_FFd2.D:400
TS_CLK_FSB:FROM:ram/RS_FSM_FFd1.Q:TO:ram/RS_FSM_FFd1.D:400
TS_CLK_FSB:FROM:ram/RS_FSM_FFd2.Q:TO:ram/RS_FSM_FFd1.D:400 TS_CLK_FSB:FROM:ram/RS_FSM_FFd2.Q:TO:ram/RS_FSM_FFd1.D:400
TS_CLK_FSB:FROM:ram/RS_FSM_FFd3.Q:TO:ram/RS_FSM_FFd1.D:400 TS_CLK_FSB:FROM:ram/RS_FSM_FFd3.Q:TO:ram/RS_FSM_FFd1.D:400
TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:ram/RS_FSM_FFd1.D:400
TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:ram/RS_FSM_FFd1.D:400
TS_CLK_FSB:FROM:ram/Once.Q:TO:ram/RS_FSM_FFd1.D:400 TS_CLK_FSB:FROM:ram/Once.Q:TO:ram/RS_FSM_FFd1.D:400
TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:ram/RS_FSM_FFd3.D:400 TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:ram/RS_FSM_FFd1.D:400
TS_CLK_FSB:FROM:ram/RS_FSM_FFd1.Q:TO:ram/RS_FSM_FFd3.D:400 TS_CLK_FSB:FROM:ram/RS_FSM_FFd1.Q:TO:ram/RS_FSM_FFd1.D:400
TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:ram/RS_FSM_FFd1.D:400
TS_CLK_FSB:FROM:ram/RS_FSM_FFd2.Q:TO:ram/RS_FSM_FFd3.D:400 TS_CLK_FSB:FROM:ram/RS_FSM_FFd2.Q:TO:ram/RS_FSM_FFd3.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<5>.Q:TO:ram/RS_FSM_FFd3.D:400 TS_CLK_FSB:FROM:ram/RS_FSM_FFd1.Q:TO:ram/RS_FSM_FFd3.D:400
TS_CLK_FSB:FROM:ram/RS_FSM_FFd3.Q:TO:ram/RS_FSM_FFd3.D:400
TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:ram/RS_FSM_FFd3.D:400
TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:ram/RS_FSM_FFd3.D:400
TS_CLK_FSB:FROM:cnt/RefDone.Q:TO:ram/RS_FSM_FFd3.D:400 TS_CLK_FSB:FROM:cnt/RefDone.Q:TO:ram/RS_FSM_FFd3.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<5>.Q:TO:ram/RS_FSM_FFd3.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<6>.Q:TO:ram/RS_FSM_FFd3.D:400 TS_CLK_FSB:FROM:cnt/RefCnt<6>.Q:TO:ram/RS_FSM_FFd3.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<7>.Q:TO:ram/RS_FSM_FFd3.D:400 TS_CLK_FSB:FROM:cnt/RefCnt<7>.Q:TO:ram/RS_FSM_FFd3.D:400
TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:ram/RS_FSM_FFd3.D:400
TS_CLK_FSB:FROM:ram/Once.Q:TO:ram/RS_FSM_FFd3.D:400 TS_CLK_FSB:FROM:ram/Once.Q:TO:ram/RS_FSM_FFd3.D:400
TS_CLK_FSB:FROM:ram/RS_FSM_FFd3.Q:TO:ram/RS_FSM_FFd3.D:400
TS_CLK_FSB:FROM:iobs/PS_FSM_FFd2.Q:TO:iobs/PS_FSM_FFd2.D:400 TS_CLK_FSB:FROM:iobs/PS_FSM_FFd2.Q:TO:iobs/PS_FSM_FFd2.D:400
TS_CLK_FSB:FROM:iobs/IOACTr.Q:TO:iobs/PS_FSM_FFd2.D:400
TS_CLK_FSB:FROM:iobs/PS_FSM_FFd1.Q:TO:iobs/PS_FSM_FFd2.D:400 TS_CLK_FSB:FROM:iobs/PS_FSM_FFd1.Q:TO:iobs/PS_FSM_FFd2.D:400
TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:iobs/PS_FSM_FFd2.D:400 TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:iobs/PS_FSM_FFd2.D:400
TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:iobs/PS_FSM_FFd2.D:400 TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:iobs/PS_FSM_FFd2.D:400
TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:iobs/PS_FSM_FFd2.D:400 TS_CLK_FSB:FROM:iobs/IOACTr.Q:TO:iobs/PS_FSM_FFd2.D:400
TS_CLK_FSB:FROM:iobs/Once.Q:TO:iobs/PS_FSM_FFd2.D:400 TS_CLK_FSB:FROM:iobs/Once.Q:TO:iobs/PS_FSM_FFd2.D:400
TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:iobs/PS_FSM_FFd2.D:400
TS_CLK_FSB:FROM:iobs/PS_FSM_FFd2.Q:TO:iobs/PS_FSM_FFd1.D:400 TS_CLK_FSB:FROM:iobs/PS_FSM_FFd2.Q:TO:iobs/PS_FSM_FFd1.D:400
TS_CLK_FSB:FROM:iobs/IOACTr.Q:TO:iobs/PS_FSM_FFd1.D:400
TS_CLK_FSB:FROM:iobs/PS_FSM_FFd1.Q:TO:iobs/PS_FSM_FFd1.D:400 TS_CLK_FSB:FROM:iobs/PS_FSM_FFd1.Q:TO:iobs/PS_FSM_FFd1.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<0>.Q:TO:cnt/RefCnt<0>.D:400 TS_CLK_FSB:FROM:iobs/IOACTr.Q:TO:iobs/PS_FSM_FFd1.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<5>.Q:TO:cnt/RefCnt<5>.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<4>.Q:TO:cnt/RefCnt<5>.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<3>.Q:TO:cnt/RefCnt<5>.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<2>.Q:TO:cnt/RefCnt<5>.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<0>.Q:TO:cnt/RefCnt<5>.D:400 TS_CLK_FSB:FROM:cnt/RefCnt<0>.Q:TO:cnt/RefCnt<5>.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<1>.Q:TO:cnt/RefCnt<5>.D:400 TS_CLK_FSB:FROM:cnt/RefCnt<1>.Q:TO:cnt/RefCnt<5>.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<6>.Q:TO:cnt/RefCnt<6>.D:400 TS_CLK_FSB:FROM:cnt/RefCnt<2>.Q:TO:cnt/RefCnt<5>.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<5>.Q:TO:cnt/RefCnt<6>.D:400 TS_CLK_FSB:FROM:cnt/RefCnt<3>.Q:TO:cnt/RefCnt<5>.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<4>.Q:TO:cnt/RefCnt<6>.D:400 TS_CLK_FSB:FROM:cnt/RefCnt<4>.Q:TO:cnt/RefCnt<5>.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<3>.Q:TO:cnt/RefCnt<6>.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<2>.Q:TO:cnt/RefCnt<6>.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<0>.Q:TO:cnt/RefCnt<6>.D:400 TS_CLK_FSB:FROM:cnt/RefCnt<0>.Q:TO:cnt/RefCnt<6>.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<5>.Q:TO:cnt/RefCnt<6>.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<1>.Q:TO:cnt/RefCnt<6>.D:400 TS_CLK_FSB:FROM:cnt/RefCnt<1>.Q:TO:cnt/RefCnt<6>.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<1>.Q:TO:cnt/RefCnt<1>.D:400 TS_CLK_FSB:FROM:cnt/RefCnt<2>.Q:TO:cnt/RefCnt<6>.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<3>.Q:TO:cnt/RefCnt<6>.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<4>.Q:TO:cnt/RefCnt<6>.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<0>.Q:TO:cnt/RefCnt<1>.D:400 TS_CLK_FSB:FROM:cnt/RefCnt<0>.Q:TO:cnt/RefCnt<1>.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<2>.Q:TO:cnt/RefCnt<2>.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<0>.Q:TO:cnt/RefCnt<2>.D:400 TS_CLK_FSB:FROM:cnt/RefCnt<0>.Q:TO:cnt/RefCnt<2>.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<1>.Q:TO:cnt/RefCnt<2>.D:400 TS_CLK_FSB:FROM:cnt/RefCnt<1>.Q:TO:cnt/RefCnt<2>.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<3>.Q:TO:cnt/RefCnt<3>.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<2>.Q:TO:cnt/RefCnt<3>.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<0>.Q:TO:cnt/RefCnt<3>.D:400 TS_CLK_FSB:FROM:cnt/RefCnt<0>.Q:TO:cnt/RefCnt<3>.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<1>.Q:TO:cnt/RefCnt<3>.D:400 TS_CLK_FSB:FROM:cnt/RefCnt<1>.Q:TO:cnt/RefCnt<3>.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<4>.Q:TO:cnt/RefCnt<4>.D:400 TS_CLK_FSB:FROM:cnt/RefCnt<2>.Q:TO:cnt/RefCnt<3>.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<3>.Q:TO:cnt/RefCnt<4>.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<2>.Q:TO:cnt/RefCnt<4>.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<0>.Q:TO:cnt/RefCnt<4>.D:400 TS_CLK_FSB:FROM:cnt/RefCnt<0>.Q:TO:cnt/RefCnt<4>.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<1>.Q:TO:cnt/RefCnt<4>.D:400 TS_CLK_FSB:FROM:cnt/RefCnt<1>.Q:TO:cnt/RefCnt<4>.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<2>.Q:TO:cnt/RefCnt<4>.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<3>.Q:TO:cnt/RefCnt<4>.D:400
TS_CLK_FSB:FROM:iobs/Once.Q:TO:iobs/Load1.D:400 TS_CLK_FSB:FROM:iobs/Once.Q:TO:iobs/Load1.D:400
TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:iobs/Load1.D:400
TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:iobs/Load1.D:400 TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:iobs/Load1.D:400
TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:iobs/Load1.D:400 TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:iobs/Load1.D:400
TS_CLK_FSB:FROM:iobs/PS_FSM_FFd1.Q:TO:iobs/Load1.D:400
TS_CLK_FSB:FROM:iobs/PS_FSM_FFd2.Q:TO:iobs/Load1.D:400 TS_CLK_FSB:FROM:iobs/PS_FSM_FFd2.Q:TO:iobs/Load1.D:400
TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:iobs/Load1.D:400 TS_CLK_FSB:FROM:iobs/PS_FSM_FFd1.Q:TO:iobs/Load1.D:400
TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:ram/BACTr.D:400 TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:ram/BACTr.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<7>.Q:TO:cnt/RefCnt<7>.D:400 TS_CLK_FSB:FROM:RESr0.Q:TO:RESr1.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<6>.Q:TO:cnt/RefCnt<7>.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<5>.Q:TO:cnt/RefCnt<7>.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<4>.Q:TO:cnt/RefCnt<7>.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<3>.Q:TO:cnt/RefCnt<7>.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<2>.Q:TO:cnt/RefCnt<7>.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<0>.Q:TO:cnt/RefCnt<7>.D:400 TS_CLK_FSB:FROM:cnt/RefCnt<0>.Q:TO:cnt/RefCnt<7>.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<5>.Q:TO:cnt/RefCnt<7>.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<6>.Q:TO:cnt/RefCnt<7>.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<1>.Q:TO:cnt/RefCnt<7>.D:400 TS_CLK_FSB:FROM:cnt/RefCnt<1>.Q:TO:cnt/RefCnt<7>.D:400
TS_CLK_FSB:FROM:ram/RS_FSM_FFd1.Q:TO:RefAck.D:400 TS_CLK_FSB:FROM:cnt/RefCnt<2>.Q:TO:cnt/RefCnt<7>.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<3>.Q:TO:cnt/RefCnt<7>.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<4>.Q:TO:cnt/RefCnt<7>.D:400
TS_CLK_FSB:FROM:RESr1.Q:TO:RESr2.D:400
TS_CLK_FSB:FROM:ram/RS_FSM_FFd2.Q:TO:RefAck.D:400 TS_CLK_FSB:FROM:ram/RS_FSM_FFd2.Q:TO:RefAck.D:400
TS_CLK_FSB:FROM:iobs/PS_FSM_FFd1.Q:TO:ALE0S.D:400 TS_CLK_FSB:FROM:ram/RS_FSM_FFd1.Q:TO:RefAck.D:400
TS_CLK_FSB:FROM:RESr0.Q:TO:RESDone.CE:400
TS_CLK_FSB:FROM:RESr1.Q:TO:RESDone.CE:400
TS_CLK_FSB:FROM:RESr2.Q:TO:RESDone.CE:400
TS_CLK_FSB:FROM:iobs/PS_FSM_FFd2.Q:TO:ALE0S.D:400 TS_CLK_FSB:FROM:iobs/PS_FSM_FFd2.Q:TO:ALE0S.D:400
TS_CLK_FSB:FROM:iobs/PS_FSM_FFd1.Q:TO:ALE0S.D:400
TS_CLK_FSB:FROM:iobs/PS_FSM_FFd2.Q:TO:IOREQ.D:400 TS_CLK_FSB:FROM:iobs/PS_FSM_FFd2.Q:TO:IOREQ.D:400
TS_CLK_FSB:FROM:iobs/IOACTr.Q:TO:IOREQ.D:400
TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:IOREQ.D:400 TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:IOREQ.D:400
TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:IOREQ.D:400 TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:IOREQ.D:400
TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:IOREQ.D:400
TS_CLK_FSB:FROM:iobs/Once.Q:TO:IOREQ.D:400
TS_CLK_FSB:FROM:iobs/PS_FSM_FFd1.Q:TO:IOREQ.D:400 TS_CLK_FSB:FROM:iobs/PS_FSM_FFd1.Q:TO:IOREQ.D:400
TS_CLK_FSB:FROM:iobs/IOACTr.Q:TO:IOREQ.D:400
TS_CLK_FSB:FROM:iobs/Once.Q:TO:IOREQ.D:400
TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:IOREQ.D:400
TS_CLK_FSB:FROM:IPL2r0.Q:TO:IPL2r1.D:400
TS_CLK_FSB:FROM:iobs/PS_FSM_FFd2.Q:TO:iobs/Clear1.D:400 TS_CLK_FSB:FROM:iobs/PS_FSM_FFd2.Q:TO:iobs/Clear1.D:400
TS_CLK_FSB:FROM:iobs/PS_FSM_FFd1.Q:TO:iobs/Clear1.D:400 TS_CLK_FSB:FROM:iobs/PS_FSM_FFd1.Q:TO:iobs/Clear1.D:400
TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:iobs/Clear1.D:400 TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:iobs/Clear1.D:400
TS_CLK_FSB:FROM:ram/RS_FSM_FFd1.Q:TO:ram/RAMDIS1.D:400 TS_CLK_FSB:FROM:ram/RS_FSM_FFd1.Q:TO:ram/RAMDIS1.D:400
TS_CLK_FSB:FROM:ram/RS_FSM_FFd2.Q:TO:ram/RAMDIS1.D:400
TS_CLK_FSB:FROM:ram/RS_FSM_FFd3.Q:TO:ram/RAMDIS1.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<5>.Q:TO:ram/RAMDIS1.D:400
TS_CLK_FSB:FROM:cnt/RefDone.Q:TO:ram/RAMDIS1.D:400 TS_CLK_FSB:FROM:cnt/RefDone.Q:TO:ram/RAMDIS1.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<5>.Q:TO:ram/RAMDIS1.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<6>.Q:TO:ram/RAMDIS1.D:400 TS_CLK_FSB:FROM:cnt/RefCnt<6>.Q:TO:ram/RAMDIS1.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<7>.Q:TO:ram/RAMDIS1.D:400 TS_CLK_FSB:FROM:cnt/RefCnt<7>.Q:TO:ram/RAMDIS1.D:400
TS_CLK_FSB:FROM:ram/Once.Q:TO:ram/RAMDIS1.D:400
TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:ram/RAMDIS1.D:400
TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:ram/RAMDIS1.D:400 TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:ram/RAMDIS1.D:400
TS_CLK_FSB:FROM:ram/BACTr.Q:TO:ram/RAMDIS1.D:400 TS_CLK_FSB:FROM:ram/BACTr.Q:TO:ram/RAMDIS1.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<5>.Q:TO:ram/RAMReady.D:400 TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:ram/RAMDIS1.D:400
TS_CLK_FSB:FROM:cnt/RefDone.Q:TO:ram/RAMReady.D:400 TS_CLK_FSB:FROM:ram/Once.Q:TO:ram/RAMDIS1.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<6>.Q:TO:ram/RAMReady.D:400 TS_CLK_FSB:FROM:ram/RS_FSM_FFd2.Q:TO:ram/RAMDIS1.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<7>.Q:TO:ram/RAMReady.D:400 TS_CLK_FSB:FROM:ram/RS_FSM_FFd3.Q:TO:ram/RAMDIS1.D:400
TS_CLK_FSB:FROM:ram/RS_FSM_FFd1.Q:TO:ram/RAMReady.D:400
TS_CLK_FSB:FROM:ram/RS_FSM_FFd2.Q:TO:ram/RAMReady.D:400 TS_CLK_FSB:FROM:ram/RS_FSM_FFd2.Q:TO:ram/RAMReady.D:400
TS_CLK_FSB:FROM:ram/RS_FSM_FFd3.Q:TO:ram/RAMReady.D:400 TS_CLK_FSB:FROM:ram/RS_FSM_FFd3.Q:TO:ram/RAMReady.D:400
TS_CLK_FSB:FROM:ram/Once.Q:TO:ram/RAMReady.D:400 TS_CLK_FSB:FROM:ram/RS_FSM_FFd1.Q:TO:ram/RAMReady.D:400
TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:ram/RAMReady.D:400 TS_CLK_FSB:FROM:cnt/RefDone.Q:TO:ram/RAMReady.D:400
TS_CLK_FSB:FROM:ram/BACTr.Q:TO:ram/RAMReady.D:400 TS_CLK_FSB:FROM:ram/BACTr.Q:TO:ram/RAMReady.D:400
TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:ram/RAMReady.D:400 TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:ram/RAMReady.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<6>.Q:TO:ram/RAMReady.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<7>.Q:TO:ram/RAMReady.D:400
TS_CLK_FSB:FROM:cnt/RefCnt<5>.Q:TO:ram/RAMReady.D:400
TS_CLK_FSB:FROM:ram/Once.Q:TO:ram/RAMReady.D:400
TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:ram/RAMReady.D:400
TS_CLK_FSB:FROM:ram/RASEL.Q:TO:nCAS_OBUF.D:400 TS_CLK_FSB:FROM:ram/RASEL.Q:TO:nCAS_OBUF.D:400
TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:nADoutLE1_OBUF.D:400 TS_CLK_FSB:FROM:RESr0.Q:TO:Park.CE:400
TS_CLK_FSB:FROM:RESr1.Q:TO:Park.CE:400
TS_CLK_FSB:FROM:IPL2r0.Q:TO:Park.CE:400
TS_CLK_FSB:FROM:RESr2.Q:TO:Park.CE:400
TS_CLK_FSB:FROM:RESDone.Q:TO:Park.CE:400
TS_CLK_FSB:FROM:IPL2r1.Q:TO:Park.CE:400
TS_CLK_FSB:FROM:iobs/Load1.Q:TO:nADoutLE1_OBUF.D:400 TS_CLK_FSB:FROM:iobs/Load1.Q:TO:nADoutLE1_OBUF.D:400
TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:nADoutLE1_OBUF.D:400
TS_CLK_FSB:FROM:iobs/Clear1.Q:TO:nADoutLE1_OBUF.D:400 TS_CLK_FSB:FROM:iobs/Clear1.Q:TO:nADoutLE1_OBUF.D:400
TS_CLK2X_IOB:FROM:nVMA_IOB_OBUF.Q:TO:nVMA_IOB_OBUF.D:666 TS_CLK2X_IOB:FROM:nVMA_IOBout.Q:TO:nVMA_IOBout.D:666
TS_CLK2X_IOB:FROM:IOACT.Q:TO:nVMA_IOB_OBUF.D:666 TS_CLK2X_IOB:FROM:iobm/ES<0>.Q:TO:nVMA_IOBout.D:666
TS_CLK2X_IOB:FROM:iobm/ES<0>.Q:TO:nVMA_IOB_OBUF.D:666 TS_CLK2X_IOB:FROM:iobm/ES<1>.Q:TO:nVMA_IOBout.D:666
TS_CLK2X_IOB:FROM:iobm/ES<1>.Q:TO:nVMA_IOB_OBUF.D:666 TS_CLK2X_IOB:FROM:iobm/ES<2>.Q:TO:nVMA_IOBout.D:666
TS_CLK2X_IOB:FROM:iobm/ES<2>.Q:TO:nVMA_IOB_OBUF.D:666 TS_CLK2X_IOB:FROM:iobm/ES<3>.Q:TO:nVMA_IOBout.D:666
TS_CLK2X_IOB:FROM:iobm/VPArr.Q:TO:nVMA_IOB_OBUF.D:666 TS_CLK2X_IOB:FROM:iobm/ES<4>.Q:TO:nVMA_IOBout.D:666
TS_CLK2X_IOB:FROM:iobm/VPArf.Q:TO:nVMA_IOB_OBUF.D:666 TS_CLK2X_IOB:FROM:IOACT.Q:TO:nVMA_IOBout.D:666
TS_CLK2X_IOB:FROM:iobm/ES<3>.Q:TO:nVMA_IOB_OBUF.D:666 TS_CLK2X_IOB:FROM:iobm/VPArf.Q:TO:nVMA_IOBout.D:666
TS_CLK2X_IOB:FROM:iobm/ES<4>.Q:TO:nVMA_IOB_OBUF.D:666 TS_CLK2X_IOB:FROM:iobm/VPArr.Q:TO:nVMA_IOBout.D:666
TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd2.Q:TO:iobm/IOS_FSM_FFd2.D:666 TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd1.Q:TO:nAS_IOBout.D:666
TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd1.Q:TO:iobm/IOS_FSM_FFd2.D:666 TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd2.Q:TO:nAS_IOBout.D:666
TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd3.Q:TO:iobm/IOS_FSM_FFd2.D:666 TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd3.Q:TO:nAS_IOBout.D:666
TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd4.Q:TO:iobm/IOS_FSM_FFd2.D:666 TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd1.Q:TO:nLDS_IOBout.D:666
TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd2.Q:TO:iobm/IOS_FSM_FFd1.D:666 TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd2.Q:TO:nLDS_IOBout.D:666
TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd3.Q:TO:nLDS_IOBout.D:666
TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd1.Q:TO:nUDS_IOBout.D:666
TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd2.Q:TO:nUDS_IOBout.D:666
TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd3.Q:TO:nUDS_IOBout.D:666
TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd1.Q:TO:iobm/IOS_FSM_FFd1.D:666 TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd1.Q:TO:iobm/IOS_FSM_FFd1.D:666
TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd4.Q:TO:iobm/IOS_FSM_FFd1.D:666
TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd3.Q:TO:iobm/IOS_FSM_FFd1.D:666 TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd3.Q:TO:iobm/IOS_FSM_FFd1.D:666
TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd2.Q:TO:iobm/IOS_FSM_FFd1.D:666
TS_CLK2X_IOB:FROM:iobm/ES<0>.Q:TO:iobm/ES<0>.D:666
TS_CLK2X_IOB:FROM:iobm/Er2.Q:TO:iobm/ES<0>.D:666 TS_CLK2X_IOB:FROM:iobm/Er2.Q:TO:iobm/ES<0>.D:666
TS_CLK2X_IOB:FROM:iobm/ES<1>.Q:TO:iobm/ES<0>.D:666 TS_CLK2X_IOB:FROM:iobm/ES<1>.Q:TO:iobm/ES<0>.D:666
TS_CLK2X_IOB:FROM:iobm/ES<2>.Q:TO:iobm/ES<0>.D:666 TS_CLK2X_IOB:FROM:iobm/ES<2>.Q:TO:iobm/ES<0>.D:666
TS_CLK2X_IOB:FROM:iobm/ES<3>.Q:TO:iobm/ES<0>.D:666 TS_CLK2X_IOB:FROM:iobm/ES<3>.Q:TO:iobm/ES<0>.D:666
TS_CLK2X_IOB:FROM:iobm/ES<4>.Q:TO:iobm/ES<0>.D:666 TS_CLK2X_IOB:FROM:iobm/ES<4>.Q:TO:iobm/ES<0>.D:666
TS_CLK2X_IOB:FROM:iobm/ES<0>.Q:TO:iobm/ES<0>.D:666
TS_CLK2X_IOB:FROM:iobm/ES<1>.Q:TO:iobm/ES<1>.D:666
TS_CLK2X_IOB:FROM:iobm/ES<0>.Q:TO:iobm/ES<1>.D:666 TS_CLK2X_IOB:FROM:iobm/ES<0>.Q:TO:iobm/ES<1>.D:666
TS_CLK2X_IOB:FROM:iobm/ES<1>.Q:TO:iobm/ES<1>.D:666
TS_CLK2X_IOB:FROM:iobm/Er2.Q:TO:iobm/ES<1>.D:666 TS_CLK2X_IOB:FROM:iobm/Er2.Q:TO:iobm/ES<1>.D:666
TS_CLK2X_IOB:FROM:iobm/ES<4>.Q:TO:iobm/ES<1>.D:666
TS_CLK2X_IOB:FROM:iobm/ES<2>.Q:TO:iobm/ES<1>.D:666
TS_CLK2X_IOB:FROM:iobm/ES<3>.Q:TO:iobm/ES<1>.D:666
TS_CLK2X_IOB:FROM:iobm/ES<2>.Q:TO:iobm/ES<2>.D:666
TS_CLK2X_IOB:FROM:iobm/ES<0>.Q:TO:iobm/ES<2>.D:666 TS_CLK2X_IOB:FROM:iobm/ES<0>.Q:TO:iobm/ES<2>.D:666
TS_CLK2X_IOB:FROM:iobm/ES<2>.Q:TO:iobm/ES<2>.D:666
TS_CLK2X_IOB:FROM:iobm/ES<1>.Q:TO:iobm/ES<2>.D:666 TS_CLK2X_IOB:FROM:iobm/ES<1>.Q:TO:iobm/ES<2>.D:666
TS_CLK2X_IOB:FROM:iobm/Er2.Q:TO:iobm/ES<2>.D:666 TS_CLK2X_IOB:FROM:iobm/Er2.Q:TO:iobm/ES<2>.D:666
TS_CLK2X_IOB:FROM:iobm/ES<4>.Q:TO:iobm/ES<2>.D:666
TS_CLK2X_IOB:FROM:iobm/ES<3>.Q:TO:iobm/ES<2>.D:666 TS_CLK2X_IOB:FROM:iobm/ES<3>.Q:TO:iobm/ES<2>.D:666
TS_CLK2X_IOB:FROM:iobm/ES<4>.Q:TO:iobm/ES<2>.D:666
TS_CLK2X_IOB:FROM:iobm/ES<3>.Q:TO:iobm/ES<3>.D:666 TS_CLK2X_IOB:FROM:iobm/ES<3>.Q:TO:iobm/ES<3>.D:666
TS_CLK2X_IOB:FROM:iobm/ES<2>.Q:TO:iobm/ES<3>.D:666 TS_CLK2X_IOB:FROM:iobm/Er2.Q:TO:iobm/ES<3>.D:666
TS_CLK2X_IOB:FROM:iobm/ES<0>.Q:TO:iobm/ES<3>.D:666 TS_CLK2X_IOB:FROM:iobm/ES<0>.Q:TO:iobm/ES<3>.D:666
TS_CLK2X_IOB:FROM:iobm/ES<1>.Q:TO:iobm/ES<3>.D:666 TS_CLK2X_IOB:FROM:iobm/ES<1>.Q:TO:iobm/ES<3>.D:666
TS_CLK2X_IOB:FROM:iobm/Er2.Q:TO:iobm/ES<3>.D:666 TS_CLK2X_IOB:FROM:iobm/ES<2>.Q:TO:iobm/ES<3>.D:666
TS_CLK2X_IOB:FROM:iobm/ES<4>.Q:TO:iobm/ES<3>.D:666
TS_CLK2X_IOB:FROM:iobm/ES<4>.Q:TO:iobm/ES<4>.D:666 TS_CLK2X_IOB:FROM:iobm/ES<4>.Q:TO:iobm/ES<4>.D:666
TS_CLK2X_IOB:FROM:iobm/ES<3>.Q:TO:iobm/ES<4>.D:666 TS_CLK2X_IOB:FROM:iobm/Er2.Q:TO:iobm/ES<4>.D:666
TS_CLK2X_IOB:FROM:iobm/ES<2>.Q:TO:iobm/ES<4>.D:666
TS_CLK2X_IOB:FROM:iobm/ES<0>.Q:TO:iobm/ES<4>.D:666 TS_CLK2X_IOB:FROM:iobm/ES<0>.Q:TO:iobm/ES<4>.D:666
TS_CLK2X_IOB:FROM:iobm/ES<1>.Q:TO:iobm/ES<4>.D:666 TS_CLK2X_IOB:FROM:iobm/ES<1>.Q:TO:iobm/ES<4>.D:666
TS_CLK2X_IOB:FROM:iobm/Er2.Q:TO:iobm/ES<4>.D:666 TS_CLK2X_IOB:FROM:iobm/ES<2>.Q:TO:iobm/ES<4>.D:666
TS_CLK2X_IOB:FROM:iobm/ES<3>.Q:TO:iobm/ES<4>.D:666
TS_CLK2X_IOB:FROM:nVMA_IOBout.Q:TO:iobm/ETACK.D:666
TS_CLK2X_IOB:FROM:iobm/ES<0>.Q:TO:iobm/ETACK.D:666 TS_CLK2X_IOB:FROM:iobm/ES<0>.Q:TO:iobm/ETACK.D:666
TS_CLK2X_IOB:FROM:iobm/ES<1>.Q:TO:iobm/ETACK.D:666 TS_CLK2X_IOB:FROM:iobm/ES<1>.Q:TO:iobm/ETACK.D:666
TS_CLK2X_IOB:FROM:iobm/ES<2>.Q:TO:iobm/ETACK.D:666 TS_CLK2X_IOB:FROM:iobm/ES<2>.Q:TO:iobm/ETACK.D:666
TS_CLK2X_IOB:FROM:iobm/ES<3>.Q:TO:iobm/ETACK.D:666 TS_CLK2X_IOB:FROM:iobm/ES<3>.Q:TO:iobm/ETACK.D:666
TS_CLK2X_IOB:FROM:iobm/ES<4>.Q:TO:iobm/ETACK.D:666 TS_CLK2X_IOB:FROM:iobm/ES<4>.Q:TO:iobm/ETACK.D:666
TS_CLK2X_IOB:FROM:nVMA_IOB_OBUF.Q:TO:iobm/ETACK.D:666
TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd3.Q:TO:ALE0M.D:666
TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd4.Q:TO:ALE0M.D:666
TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd2.Q:TO:ALE0M.D:666 TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd2.Q:TO:ALE0M.D:666
TS_CLK2X_IOB:FROM:iobm/IOREQr.Q:TO:ALE0M.D:666
TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd1.Q:TO:ALE0M.D:666 TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd1.Q:TO:ALE0M.D:666
TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd3.Q:TO:nAS_IOB_OBUF.D:666 TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd3.Q:TO:ALE0M.D:666
TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd4.Q:TO:nAS_IOB_OBUF.D:666 TS_CLK2X_IOB:FROM:nAoutOE_OBUF.Q:TO:ALE0M.D:666
TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd1.Q:TO:nAS_IOB_OBUF.D:666 TS_CLK2X_IOB:FROM:iobm/IOREQr.Q:TO:ALE0M.D:666
TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd2.Q:TO:nAS_IOB_OBUF.D:666 TS_CLK2X_IOB:FROM:iobm/BGr0.Q:TO:iobm/BGr1.D:666
TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd1.Q:TO:nDinLE_OBUF.D:666 TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd1.Q:TO:nDinLE_OBUF.D:666
TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd4.Q:TO:nDinLE_OBUF.D:666 TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd2.Q:TO:nDinLE_OBUF.D:666
TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd3.Q:TO:nDinLE_OBUF.D:666
TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd2.Q:TO:nDoutOE_OBUF.D:666 TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd2.Q:TO:nDoutOE_OBUF.D:666
TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd3.Q:TO:nDoutOE_OBUF.D:666 TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd3.Q:TO:nDoutOE_OBUF.D:666
TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd4.Q:TO:nDoutOE_OBUF.D:666 TS_CLK2X_IOB:FROM:nAoutOE_OBUF.Q:TO:nAoutOE_OBUF.D:666
TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd4.Q:TO:nLDS_IOB_OBUF.D:666 TS_CLK2X_IOB:FROM:iobm/BGr1.Q:TO:nAoutOE_OBUF.D:666
TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd1.Q:TO:nLDS_IOB_OBUF.D:666 TS_CLK2X_IOB:FROM:iobm/BGr0.Q:TO:nAoutOE_OBUF.D:666
TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd2.Q:TO:nLDS_IOB_OBUF.D:666 TS_CLK2X_IOB:FROM:nAS_IOBout.Q:TO:nAoutOE_OBUF.D:666
TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd3.Q:TO:nLDS_IOB_OBUF.D:666
TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd4.Q:TO:nUDS_IOB_OBUF.D:666
TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd1.Q:TO:nUDS_IOB_OBUF.D:666
TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd2.Q:TO:nUDS_IOB_OBUF.D:666
TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd3.Q:TO:nUDS_IOB_OBUF.D:666

File diff suppressed because it is too large Load Diff

3
cpld/XC95144XL/MXSE.xml Normal file

File diff suppressed because one or more lines are too long

View File

@ -0,0 +1,235 @@
<?xml version='1.0' encoding='utf-8' ?>
<!DOCTYPE document SYSTEM 'file:///C:/Xilinx/14.7/ISE_DS/ISE/chipviewer/data/xsl/buildXML.dtd'>
<document>
<design name='MXSE'/>
<rptdir name='MXSE'/>
<xilinx path='C:/Xilinx/14.7/ISE_DS/ISE;'/>
<projDir path='\\192.168.64.1\Repos\Warp-SE\cpld\XC95144XL'/>
<xslDir path='chipviewer/data/xsl'/>
<fileDir path='/chipviewer/data/html'/>
<dataFile file='index.htm'/>
<dataFile file='report.htm'/>
<dataFile file='genmsg.htm'/>
<dataFile file='eqns.js'/>
<dataFile file='maplogic.js'/>
<dataFile file='fbs.js'/>
<dataFile file='pins.js'/>
<dataFile file='tooltips.js'/>
<dataFile file='errors.js'/>
<dataFile file='leftnav.js'/>
<dataFile file='paths.js'/>
<dataFile file='summary.js'/>
<dataFile file='topnav.js'/>
<dataFile file='applet.js'/>
<dataFile file='plugin.js'/>
<dataFile file='ns4plugin.js'/>
<dataFile file='equations.htm'/>
<dataFile file='result.htm'/>
<dataFile file='style.css'/>
<dataFile file='blank.gif'/>
<dataFile file='fbview.jpg'/>
<dataFile file='pinview.jpg'/>
<dataFile file='xc9500xl_logo.jpg'/>
<dataFile file='asciidoc.htm'/>
<dataFile file='equationsdoc.htm'/>
<dataFile file='errorsdoc.htm'/>
<dataFile file='failtabledoc.htm'/>
<dataFile file='fbs_FBdoc.htm'/>
<dataFile file='fbsdoc.htm'/>
<dataFile file='inputleftdoc.htm'/>
<dataFile file='logicleftdoc.htm'/>
<dataFile file='mapinputdoc.htm'/>
<dataFile file='maplogicdoc.htm'/>
<dataFile file='optionsdoc.htm'/>
<dataFile file='pinsdoc.htm'/>
<dataFile file='summarydoc.htm'/>
<dataFile file='check.htm'/>
<dataFile file='checkNS4.htm'/>
<dataFile file='appletref.htm'/>
<dataFile file='newappletref.htm'/>
<dataFile file='errors1.gif'/>
<dataFile file='errors2.gif'/>
<dataFile file='equations.gif'/>
<dataFile file='functionblock.gif'/>
<dataFile file='fb1.gif'/>
<dataFile file='legend.gif'/>
<dataFile file='macrocell.gif'/>
<dataFile file='fb.gif'/>
<dataFile file='pin.gif'/>
<dataFile file='pindiagram.gif'/>
<dataFile file='view.gif'/>
<dataFile file='xml8.jpg'/>
<dataFile file='xml7.jpg'/>
<dataFile file='xml6.jpg'/>
<dataFile file='xml5.jpg'/>
<xmlFileGroup id='1'>
<xmlFile file='MXSE.xml'>
<xslFile file='topnav.xsl'>
<output file='topnav.htm'/>
</xslFile>
<xslFile file='leftnav.xsl'>
<param name='result' value='1'/>
<output file='leftnav.htm'/>
</xslFile>
<xslFile file='print.xsl'>
<output file='ascii.tmp'/>
</xslFile>
<xslFile file='eqns.xsl'>
<output file='eqns.htm'/>
</xslFile>
<xslFile file='summary.xsl'>
<param name='sumOn' value='1'/>
<param name='optOn' value='0'/>
<param name='legendOn' value='0'/>
<output file='summary.htm'/>
</xslFile>
</xmlFile>
</xmlFileGroup>
<xmlFileGroup id='2'>
<xmlFile file='MXSE.xml'>
<xslFile file='summary.xsl'>
<param name='sumOn' value='0'/>
<param name='optOn' value='1'/>
<param name='legendOn' value='0'/>
<output file='options.htm'/>
</xslFile>
<xslFile file='printErrors.xsl'>
<output file='errs.htm'/>
</xslFile>
<xslFile file='failtable.xsl'>
<output file='failtable.htm'/>
</xslFile>
<xslFile file='logicleft.xsl'>
<output file='logicleft.htm'/>
</xslFile>
<xslFile file='inputleft.xsl'>
<output file='inputleft.htm'/>
</xslFile>
<xslFile file='printPins.xsl'>
<output file='pins.htm'/>
</xslFile>
<xslFile file='wait.xsl'>
<output file='wait.htm'/>
</xslFile>
<xslDebug file='printErrors.xsl'>
<output file='errors.htm'/>
</xslDebug>
<xslDebug file='printPins.xsl'>
<output file='pinout.htm'/>
</xslDebug>
<xslDebug file='printEqns.xsl'>
<output file='eqnout.htm'/>
</xslDebug>
<xslFile file='maplogic.xsl'>
<param name='sortType' value='0'/>
<param name='mapLog' value='1'/>
<param name='mapIn' value='0'/>
<param name='unmapLog' value='0'/>
<param name='unmapIn' value='0'/>
<param name='legendOn' value='1'/>
<output file='maplogic_00.htm'/>
<param name='sortType' value='1'/>
<param name='mapLog' value='1'/>
<param name='mapIn' value='0'/>
<param name='unmapLog' value='0'/>
<param name='unmapIn' value='0'/>
<param name='legendOn' value='1'/>
<output file='maplogic_01.htm'/>
<param name='sortType' value='2'/>
<param name='mapLog' value='1'/>
<param name='mapIn' value='0'/>
<param name='unmapLog' value='0'/>
<param name='unmapIn' value='0'/>
<param name='legendOn' value='1'/>
<output file='maplogic_02.htm'/>
<param name='sortType' value='0'/>
<param name='mapLog' value='0'/>
<param name='mapIn' value='1'/>
<param name='unmapLog' value='0'/>
<param name='unmapIn' value='0'/>
<param name='legendOn' value='1'/>
<output file='mapinput_00.htm'/>
<param name='sortType' value='1'/>
<param name='mapLog' value='0'/>
<param name='mapIn' value='1'/>
<param name='unmapLog' value='0'/>
<param name='unmapIn' value='0'/>
<param name='legendOn' value='1'/>
<output file='mapinput_01.htm'/>
<param name='sortType' value='2'/>
<param name='mapLog' value='0'/>
<param name='mapIn' value='1'/>
<param name='unmapLog' value='0'/>
<param name='unmapIn' value='0'/>
<param name='legendOn' value='1'/>
<output file='mapinput_02.htm'/>
</xslFile>
<xslFile file='fbsum.xsl'>
<param name='legendOn' value='0'/>
<output file='fbs.htm'/>
</xslFile>
<xslFile file='fbs.xsl'>
<param name='fb' value='FB1'/>
<param name='type' value='1'/>
<param name='legendOn' value='1'/>
<output file='fbs_FB1.htm'/>
<param name='fb' value='FB2'/>
<param name='type' value='3'/>
<param name='legendOn' value='1'/>
<output file='fbs_FB2.htm'/>
<param name='fb' value='FB3'/>
<param name='type' value='3'/>
<param name='legendOn' value='1'/>
<output file='fbs_FB3.htm'/>
<param name='fb' value='FB4'/>
<param name='type' value='3'/>
<param name='legendOn' value='1'/>
<output file='fbs_FB4.htm'/>
<param name='fb' value='FB5'/>
<param name='type' value='3'/>
<param name='legendOn' value='1'/>
<output file='fbs_FB5.htm'/>
<param name='fb' value='FB6'/>
<param name='type' value='3'/>
<param name='legendOn' value='1'/>
<output file='fbs_FB6.htm'/>
<param name='fb' value='FB7'/>
<param name='type' value='3'/>
<param name='legendOn' value='1'/>
<output file='fbs_FB7.htm'/>
<param name='fb' value='FB8'/>
<param name='type' value='2'/>
<param name='legendOn' value='1'/>
<output file='fbs_FB8.htm'/>
</xslFile>
</xmlFile>
<xmlFile file='C:/Xilinx/14.7/ISE_DS/ISE/chipviewer/data/xsl/pinlegend.xml'>
<xslFile file='legend.xsl'>
<param name='device' value='XC95144XL-10-TQ100'/>
<param name='verOn' value='0'/>
<param name='linkName' value='pinlegendV.htm'/>
<output file='pinlegend.htm'/>
<param name='device' value='XC95144XL-10-TQ100'/>
<param name='verOn' value='1'/>
<param name='linkName' value='pinlegend.htm'/>
<output file='pinlegendV.htm'/>
</xslFile>
</xmlFile>
<xmlFile file='C:/Xilinx/14.7/ISE_DS/ISE/chipviewer/data/xsl/logiclegend.xml'>
<xslFile file='legend.xsl'>
<param name='device' value='XC95144XL-10-TQ100'/>
<param name='verOn' value='0'/>
<param name='linkName' value='logiclegendV.htm'/>
<output file='logiclegend.htm'/>
<param name='device' value='XC95144XL-10-TQ100'/>
<param name='verOn' value='1'/>
<param name='linkName' value='logiclegend.htm'/>
<output file='logiclegendV.htm'/>
</xslFile>
</xmlFile>
</xmlFileGroup>
<rptFile file='MXSE.rpt'/>
<timFile file='MXSE.tim'/>
<appFile/>
</document>

View File

@ -1,244 +0,0 @@
<HTML><HEAD><TITLE>Xilinx System Settings Report</TITLE></HEAD>
<BODY TEXT='#000000' BGCOLOR='#FFFFFF' LINK='#0000EE' VLINK='#551A8B' ALINK='#FF0000'>
<center><big><big><b>System Settings</b></big></big></center><br>
<A NAME="Environment Settings"></A>
&nbsp;<BR><TABLE BORDER CELLSPACING=0 CELLPADDING=3 WIDTH='100%'>
<TR ALIGN=CENTER BGCOLOR='#99CCFF'>
<TD ALIGN=CENTER COLSPAN='3'><B> Environment Settings </B></TD>
</tr>
<tr bgcolor='#ffff99'>
<td><b>Environment Variable</b></td>
<td><b>xst</b></td>
<td><b>ngdbuild</b></td>
</tr>
<tr>
<td>PATHEXT</td>
<td>.COM;<br>.EXE;<br>.BAT;<br>.CMD;<br>.VBS;<br>.VBE;<br>.JS;<br>.JSE;<br>.WSF;<br>.WSH;<br>.MSC</td>
<td>.COM;<br>.EXE;<br>.BAT;<br>.CMD;<br>.VBS;<br>.VBE;<br>.JS;<br>.JSE;<br>.WSF;<br>.WSH;<br>.MSC</td>
</tr>
<tr>
<td>Path</td>
<td>C:\Xilinx\14.7\ISE_DS\ISE\\lib\nt;<br>C:\Xilinx\14.7\ISE_DS\ISE\\bin\nt;<br>C:\Xilinx\14.7\ISE_DS\ISE\bin\nt;<br>C:\Xilinx\14.7\ISE_DS\ISE\lib\nt;<br>C:\Xilinx\14.7\ISE_DS\ISE\..\..\..\DocNav;<br>C:\Xilinx\14.7\ISE_DS\PlanAhead\bin;<br>C:\Xilinx\14.7\ISE_DS\EDK\bin\nt;<br>C:\Xilinx\14.7\ISE_DS\EDK\lib\nt;<br>C:\Xilinx\14.7\ISE_DS\EDK\gnu\microblaze\nt\bin;<br>C:\Xilinx\14.7\ISE_DS\EDK\gnu\powerpc-eabi\nt\bin;<br>C:\Xilinx\14.7\ISE_DS\EDK\gnuwin\bin;<br>C:\Xilinx\14.7\ISE_DS\EDK\gnu\arm\nt\bin;<br>C:\Xilinx\14.7\ISE_DS\EDK\gnu\microblaze\linux_toolchain\nt_be\bin;<br>C:\Xilinx\14.7\ISE_DS\EDK\gnu\microblaze\linux_toolchain\nt_le\bin;<br>C:\Xilinx\14.7\ISE_DS\common\bin\nt;<br>C:\Xilinx\14.7\ISE_DS\common\lib\nt;<br>C:\Program Files (x86)\Common Files\Oracle\Java\javapath;<br>C:\ispLEVER_Classic2_0\ispcpld\bin;<br>C:\ispLEVER_Classic2_0\ispFPGA\bin\nt;<br>C:\ispLEVER_Classic2_0\active-hdl\BIN;<br>C:\WinAVR-20100110\bin;<br>C:\WinAVR-20100110\utils\bin;<br>C:\Windows\system32;<br>C:\Windows;<br>C:\Windows\System32\Wbem;<br>C:\Windows\System32\WindowsPowerShell\v1.0\;<br>C:\Windows\System32\OpenSSH\;<br>C:\Program Files\Microchip\xc8\v2.31\bin;<br>C:\Program Files (x86)\NVIDIA Corporation\PhysX\Common;<br>C:\Program Files\PuTTY\;<br>C:\Program Files\WinMerge;<br>C:\Program Files\dotnet\;<br>C:\Users\zanek\AppData\Local\Microsoft\WindowsApps;<br>C:\Users\zanek\AppData\Local\GitHubDesktop\bin;<br>C:\altera\13.0sp1\modelsim_ase\win32aloem;<br>C:\Users\zanek\.dotnet\tools;<br>C:\Program Files (x86)\Skyworks\ClockBuilder Pro\Bin</td>
<td>C:\Xilinx\14.7\ISE_DS\ISE\\lib\nt;<br>C:\Xilinx\14.7\ISE_DS\ISE\\bin\nt;<br>C:\Xilinx\14.7\ISE_DS\ISE\bin\nt;<br>C:\Xilinx\14.7\ISE_DS\ISE\lib\nt;<br>C:\Xilinx\14.7\ISE_DS\ISE\..\..\..\DocNav;<br>C:\Xilinx\14.7\ISE_DS\PlanAhead\bin;<br>C:\Xilinx\14.7\ISE_DS\EDK\bin\nt;<br>C:\Xilinx\14.7\ISE_DS\EDK\lib\nt;<br>C:\Xilinx\14.7\ISE_DS\EDK\gnu\microblaze\nt\bin;<br>C:\Xilinx\14.7\ISE_DS\EDK\gnu\powerpc-eabi\nt\bin;<br>C:\Xilinx\14.7\ISE_DS\EDK\gnuwin\bin;<br>C:\Xilinx\14.7\ISE_DS\EDK\gnu\arm\nt\bin;<br>C:\Xilinx\14.7\ISE_DS\EDK\gnu\microblaze\linux_toolchain\nt_be\bin;<br>C:\Xilinx\14.7\ISE_DS\EDK\gnu\microblaze\linux_toolchain\nt_le\bin;<br>C:\Xilinx\14.7\ISE_DS\common\bin\nt;<br>C:\Xilinx\14.7\ISE_DS\common\lib\nt;<br>C:\Program Files (x86)\Common Files\Oracle\Java\javapath;<br>C:\ispLEVER_Classic2_0\ispcpld\bin;<br>C:\ispLEVER_Classic2_0\ispFPGA\bin\nt;<br>C:\ispLEVER_Classic2_0\active-hdl\BIN;<br>C:\WinAVR-20100110\bin;<br>C:\WinAVR-20100110\utils\bin;<br>C:\Windows\system32;<br>C:\Windows;<br>C:\Windows\System32\Wbem;<br>C:\Windows\System32\WindowsPowerShell\v1.0\;<br>C:\Windows\System32\OpenSSH\;<br>C:\Program Files\Microchip\xc8\v2.31\bin;<br>C:\Program Files (x86)\NVIDIA Corporation\PhysX\Common;<br>C:\Program Files\PuTTY\;<br>C:\Program Files\WinMerge;<br>C:\Program Files\dotnet\;<br>C:\Users\zanek\AppData\Local\Microsoft\WindowsApps;<br>C:\Users\zanek\AppData\Local\GitHubDesktop\bin;<br>C:\altera\13.0sp1\modelsim_ase\win32aloem;<br>C:\Users\zanek\.dotnet\tools;<br>C:\Program Files (x86)\Skyworks\ClockBuilder Pro\Bin</td>
</tr>
<tr>
<td>XILINX</td>
<td>C:\Xilinx\14.7\ISE_DS\ISE\</td>
<td>C:\Xilinx\14.7\ISE_DS\ISE\</td>
</tr>
<tr>
<td>XILINX_DSP</td>
<td>C:\Xilinx\14.7\ISE_DS\ISE</td>
<td>C:\Xilinx\14.7\ISE_DS\ISE</td>
</tr>
<tr>
<td>XILINX_EDK</td>
<td>C:\Xilinx\14.7\ISE_DS\EDK</td>
<td>C:\Xilinx\14.7\ISE_DS\EDK</td>
</tr>
<tr>
<td>XILINX_PLANAHEAD</td>
<td>C:\Xilinx\14.7\ISE_DS\PlanAhead</td>
<td>C:\Xilinx\14.7\ISE_DS\PlanAhead</td>
</tr>
</TABLE>
<A NAME="Synthesis Property Settings"></A>
&nbsp;<BR><TABLE BORDER CELLSPACING=0 CELLPADDING=3 WIDTH='100%'>
<TR ALIGN=CENTER BGCOLOR='#99CCFF'>
<TD ALIGN=CENTER COLSPAN='4'><B>Synthesis Property Settings </B></TD>
</tr>
<tr bgcolor='#ffff99'>
<td><b>Switch Name</b></td>
<td><b>Property Name</b></td>
<td><b>Value</b></td>
<td><b>Default Value</b></td>
</tr>
<tr>
<td>-ifn</td>
<td>&nbsp;</td>
<td>MXSE.prj</td>
<td>&nbsp;</td>
</tr>
<tr>
<td>-ifmt</td>
<td>&nbsp;</td>
<td>mixed</td>
<td>MIXED</td>
</tr>
<tr>
<td>-ofn</td>
<td>&nbsp;</td>
<td>MXSE</td>
<td>&nbsp;</td>
</tr>
<tr>
<td>-ofmt</td>
<td>&nbsp;</td>
<td>NGC</td>
<td>NGC</td>
</tr>
<tr>
<td>-p</td>
<td>&nbsp;</td>
<td>xc9500xl</td>
<td>&nbsp;</td>
</tr>
<tr>
<td>-top</td>
<td>&nbsp;</td>
<td>MXSE</td>
<td>&nbsp;</td>
</tr>
<tr>
<td>-opt_mode</td>
<td>Optimization Goal</td>
<td>Speed</td>
<td>SPEED</td>
</tr>
<tr>
<td>-opt_level</td>
<td>Optimization Effort</td>
<td>1</td>
<td>1</td>
</tr>
<tr>
<td>-iuc</td>
<td>Use synthesis Constraints File</td>
<td>NO</td>
<td>NO</td>
</tr>
<tr>
<td>-keep_hierarchy</td>
<td>Keep Hierarchy</td>
<td>Yes</td>
<td>YES</td>
</tr>
<tr>
<td>-netlist_hierarchy</td>
<td>Netlist Hierarchy</td>
<td>As_Optimized</td>
<td>as_optimized</td>
</tr>
<tr>
<td>-rtlview</td>
<td>Generate RTL Schematic</td>
<td>Yes</td>
<td>NO</td>
</tr>
<tr>
<td>-bus_delimiter</td>
<td>Bus Delimiter</td>
<td>&lt;&gt;</td>
<td>&lt;&gt;</td>
</tr>
<tr>
<td>-verilog2001</td>
<td>Verilog 2001</td>
<td>YES</td>
<td>YES</td>
</tr>
<tr>
<td>-fsm_extract</td>
<td>&nbsp;</td>
<td>YES</td>
<td>YES</td>
</tr>
<tr>
<td>-fsm_encoding</td>
<td>&nbsp;</td>
<td>Auto</td>
<td>AUTO</td>
</tr>
<tr>
<td>-safe_implementation</td>
<td>&nbsp;</td>
<td>No</td>
<td>NO</td>
</tr>
<tr>
<td>-resource_sharing</td>
<td>&nbsp;</td>
<td>YES</td>
<td>YES</td>
</tr>
<tr>
<td>-iobuf</td>
<td>&nbsp;</td>
<td>YES</td>
<td>YES</td>
</tr>
<tr>
<td>-equivalent_register_removal</td>
<td>&nbsp;</td>
<td>YES</td>
<td>YES</td>
</tr>
</TABLE>
<A NAME="Translation Property Settings"></A>
&nbsp;<BR><TABLE BORDER CELLSPACING=0 CELLPADDING=3 WIDTH='100%'>
<TR ALIGN=CENTER BGCOLOR='#99CCFF'>
<TD ALIGN=CENTER COLSPAN='4'><B>Translation Property Settings </B></TD>
</tr>
<tr bgcolor='#ffff99'>
<td><b>Switch Name</b></td>
<td><b>Property Name</b></td>
<td><b>Value</b></td>
<td><b>Default Value</b></td>
</tr>
<tr>
<td>-intstyle</td>
<td>&nbsp;</td>
<td>ise</td>
<td>None</td>
</tr>
<tr>
<td>-dd</td>
<td>&nbsp;</td>
<td>_ngo</td>
<td>None</td>
</tr>
<tr>
<td>-p</td>
<td>&nbsp;</td>
<td>xc95144xl-TQ100-10</td>
<td>None</td>
</tr>
<tr>
<td>-uc</td>
<td>&nbsp;</td>
<td>C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/MXSE.ucf</td>
<td>None</td>
</tr>
</TABLE>
<A NAME="Operating System Information"></A>
&nbsp;<BR><TABLE BORDER CELLSPACING=0 CELLPADDING=3 WIDTH='100%'>
<TR ALIGN=CENTER BGCOLOR='#99CCFF'>
<TD ALIGN=CENTER COLSPAN='3'><B> Operating System Information </B></TD>
</tr>
<tr bgcolor='#ffff99'>
<td><b>Operating System Information</b></td>
<td><b>xst</b></td>
<td><b>ngdbuild</b></td>
</tr>
<tr>
<td>CPU Architecture/Speed</td>
<td>Intel(R) Core(TM) i7-4770K CPU @ 3.50GHz/3500 MHz</td>
<td>Intel(R) Core(TM) i7-4770K CPU @ 3.50GHz/3500 MHz</td>
</tr>
<tr>
<td>Host</td>
<td>ZanePC</td>
<td>ZanePC</td>
</tr>
<tr>
<td>OS Name</td>
<td>Microsoft , 64-bit</td>
<td>Microsoft , 64-bit</td>
</tr>
<tr>
<td>OS Release</td>
<td>major release (build 9200)</td>
<td>major release (build 9200)</td>
</tr>
</TABLE>
</BODY> </HTML>

File diff suppressed because it is too large Load Diff

File diff suppressed because it is too large Load Diff

Binary file not shown.

Before

Width:  |  Height:  |  Size: 1.6 KiB

Binary file not shown.

Before

Width:  |  Height:  |  Size: 352 B

View File

@ -1 +0,0 @@
<html></html>

Binary file not shown.

Before

Width:  |  Height:  |  Size: 1.2 KiB

Binary file not shown.

Before

Width:  |  Height:  |  Size: 9.3 KiB

Binary file not shown.

Before

Width:  |  Height:  |  Size: 11 KiB

File diff suppressed because it is too large Load Diff

View File

@ -6,17 +6,22 @@
********** Mapped Logic ********** ********** Mapped Logic **********
</td></tr><tr><td> </td></tr><tr><td>
</td></tr><tr><td> </td></tr><tr><td>
$OpTx$FX_DC$609 <= (nAS_FSB AND NOT fsb/ASrf); $OpTx$$OpTx$FX_DC$182_INV$783 <= ((NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT cs/nOverlay1 AND NOT fsb/Ready0r AND NOT ram/RAMReady)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(23) AND TimeoutB)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(22) AND TimeoutB)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(21) AND TimeoutB)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(20) AND TimeoutB)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT fsb/Ready0r AND NOT ram/RAMReady));
</td></tr><tr><td> </td></tr><tr><td>
</td></tr><tr><td> </td></tr><tr><td>
$OpTx$FX_DC$610 <= ((NOT TimeoutB) $OpTx$FX_DC$708 <= (nAS_FSB AND NOT fsb/ASrf);
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20)));
</td></tr><tr><td> </td></tr><tr><td>
FDCPE_ALE0M: FDCPE port map (ALE0M,ALE0M_D,CLK2X_IOB,'0','0'); FDCPE_ALE0M: FDCPE port map (ALE0M,ALE0M_D,CLK2X_IOB,'0','0');
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;ALE0M_D <= ((NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd3 AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;ALE0M_D <= ((iobm/IOS_FSM_FFd2)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; iobm/IOS_FSM_FFd1) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd3 AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT iobm/IOS_FSM_FFd1 AND iobm/IOREQr AND NOT nAoutOE));
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT iobm/IOS_FSM_FFd2 AND NOT iobm/IOREQr));
</td></tr><tr><td> </td></tr><tr><td>
FDCPE_ALE0S: FDCPE port map (ALE0S,ALE0S_D,CLK_FSB,'0','0'); FDCPE_ALE0S: FDCPE port map (ALE0S,ALE0S_D,CLK_FSB,'0','0');
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;ALE0S_D <= (iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1); <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;ALE0S_D <= (iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1);
@ -31,10 +36,10 @@ FTCPE_BERR_IOBS: FTCPE port map (BERR_IOBS,BERR_IOBS_T,CLK_FSB,'0','0');
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT iobs/IOACTr AND IOBERR AND fsb/ASrf AND nADoutLE1)); <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT iobs/IOACTr AND IOBERR AND fsb/ASrf AND nADoutLE1));
</td></tr><tr><td> </td></tr><tr><td>
</td></tr><tr><td> </td></tr><tr><td>
CLK20EN <= SW(0);
</td></tr><tr><td> </td></tr><tr><td>
</td></tr><tr><td> </td></tr><tr><td>
</td></tr><tr><td> CLK25EN <= NOT SW(0);
</td></tr><tr><td>
</td></tr><tr><td> </td></tr><tr><td>
</td></tr><tr><td> </td></tr><tr><td>
</td></tr><tr><td> </td></tr><tr><td>
@ -83,42 +88,44 @@ FTCPE_BERR_IOBS: FTCPE port map (BERR_IOBS,BERR_IOBS_T,CLK_FSB,'0','0');
</td></tr><tr><td> </td></tr><tr><td>
</td></tr><tr><td> </td></tr><tr><td>
FDCPE_IOACT: FDCPE port map (IOACT,IOACT_D,CLK2X_IOB,'0','0'); FDCPE_IOACT: FDCPE port map (IOACT,IOACT_D,CLK2X_IOB,'0','0');
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;IOACT_D <= ((NOT iobm/IOS_FSM_FFd4 AND iobm/IOS_FSM_FFd2 AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;IOACT_D <= ((CLK_IOB AND iobm/IOS_FSM_FFd3 AND iobm/IOS_FSM_FFd1 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; iobm/IOS_FSM_FFd1 AND CLK_IOB AND iobm/RESrf AND iobm/RESrr) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; iobm/DTACKrf AND iobm/DTACKrr)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd3 AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (CLK_IOB AND iobm/IOS_FSM_FFd3 AND iobm/IOS_FSM_FFd1 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; iobm/IOS_FSM_FFd1) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; iobm/RESrf AND iobm/RESrr)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd3 AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (iobm/IOS_FSM_FFd1 AND NOT iobm/IOS_FSM_FFd2)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT iobm/IOS_FSM_FFd2 AND NOT iobm/IOREQr) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd2 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT iobm/IOS_FSM_FFd4 AND iobm/IOS_FSM_FFd2 AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT iobm/IOREQr)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; iobm/IOS_FSM_FFd1 AND CLK_IOB AND iobm/ETACK) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd2 AND nAoutOE)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT iobm/IOS_FSM_FFd4 AND iobm/IOS_FSM_FFd2 AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (CLK_IOB AND iobm/IOS_FSM_FFd3 AND iobm/IOS_FSM_FFd1 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; iobm/IOS_FSM_FFd1 AND CLK_IOB AND iobm/DTACKrf AND iobm/DTACKrr) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; iobm/ETACK)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT iobm/IOS_FSM_FFd4 AND iobm/IOS_FSM_FFd2 AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (CLK_IOB AND iobm/IOS_FSM_FFd3 AND iobm/IOS_FSM_FFd1 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; iobm/IOS_FSM_FFd1 AND CLK_IOB AND iobm/BERRrf AND iobm/BERRrr)); <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; iobm/BERRrf AND iobm/BERRrr));
</td></tr><tr><td> </td></tr><tr><td>
FTCPE_IOBERR: FTCPE port map (IOBERR,IOBERR_T,CLK2X_IOB,'0','0'); FTCPE_IOBERR: FTCPE port map (IOBERR,IOBERR_T,CLK2X_IOB,'0','0');
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;IOBERR_T <= ((nBERR_IOB AND NOT iobm/IOS_FSM_FFd4 AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;IOBERR_T <= ((CLK_IOB AND nBERR_IOB AND iobm/IOS_FSM_FFd3 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; iobm/IOS_FSM_FFd3 AND iobm/IOS_FSM_FFd2 AND iobm/IOS_FSM_FFd1 AND CLK_IOB AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; iobm/IOS_FSM_FFd1 AND iobm/IOS_FSM_FFd2 AND IOBERR AND iobm/RESrf AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; IOBERR AND iobm/RESrf AND iobm/RESrr) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; iobm/RESrr)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (nBERR_IOB AND NOT iobm/IOS_FSM_FFd4 AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (CLK_IOB AND NOT nBERR_IOB AND iobm/IOS_FSM_FFd3 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; iobm/IOS_FSM_FFd3 AND iobm/IOS_FSM_FFd2 AND iobm/IOS_FSM_FFd1 AND CLK_IOB AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; iobm/IOS_FSM_FFd1 AND iobm/IOS_FSM_FFd2 AND NOT IOBERR AND iobm/DTACKrf AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; IOBERR AND iobm/ETACK) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; iobm/DTACKrr)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (nBERR_IOB AND NOT iobm/IOS_FSM_FFd4 AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (CLK_IOB AND NOT nBERR_IOB AND iobm/IOS_FSM_FFd3 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; iobm/IOS_FSM_FFd3 AND iobm/IOS_FSM_FFd2 AND iobm/IOS_FSM_FFd1 AND CLK_IOB AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; iobm/IOS_FSM_FFd1 AND iobm/IOS_FSM_FFd2 AND NOT IOBERR AND iobm/BERRrf AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; IOBERR AND iobm/DTACKrf AND iobm/DTACKrr) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; iobm/BERRrr)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (nBERR_IOB AND NOT iobm/IOS_FSM_FFd4 AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (CLK_IOB AND NOT nBERR_IOB AND iobm/IOS_FSM_FFd3 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; iobm/IOS_FSM_FFd3 AND iobm/IOS_FSM_FFd2 AND iobm/IOS_FSM_FFd1 AND CLK_IOB AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; iobm/IOS_FSM_FFd1 AND iobm/IOS_FSM_FFd2 AND NOT IOBERR AND iobm/RESrf AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; IOBERR AND iobm/BERRrf AND iobm/BERRrr) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; iobm/RESrr)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd3 AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd1 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT iobm/IOS_FSM_FFd2 AND NOT iobm/IOS_FSM_FFd1 AND IOBERR) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT iobm/IOS_FSM_FFd2 AND IOBERR)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT nBERR_IOB AND NOT iobm/IOS_FSM_FFd4 AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (CLK_IOB AND nBERR_IOB AND iobm/IOS_FSM_FFd3 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; iobm/IOS_FSM_FFd3 AND CLK_IOB AND NOT IOBERR AND iobm/ETACK) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; iobm/IOS_FSM_FFd1 AND iobm/IOS_FSM_FFd2 AND IOBERR AND iobm/ETACK)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT nBERR_IOB AND NOT iobm/IOS_FSM_FFd4 AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (CLK_IOB AND NOT nBERR_IOB AND iobm/IOS_FSM_FFd3 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; iobm/IOS_FSM_FFd3 AND CLK_IOB AND NOT IOBERR AND iobm/DTACKrf AND iobm/DTACKrr) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; iobm/IOS_FSM_FFd1 AND iobm/IOS_FSM_FFd2 AND NOT IOBERR AND iobm/ETACK)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT nBERR_IOB AND NOT iobm/IOS_FSM_FFd4 AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (CLK_IOB AND nBERR_IOB AND iobm/IOS_FSM_FFd3 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; iobm/IOS_FSM_FFd3 AND CLK_IOB AND NOT IOBERR AND iobm/BERRrf AND iobm/BERRrr) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; iobm/IOS_FSM_FFd1 AND iobm/IOS_FSM_FFd2 AND IOBERR AND iobm/DTACKrf AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT nBERR_IOB AND NOT iobm/IOS_FSM_FFd4 AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; iobm/DTACKrr)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; iobm/IOS_FSM_FFd3 AND CLK_IOB AND NOT IOBERR AND iobm/RESrf AND iobm/RESrr)); <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (CLK_IOB AND nBERR_IOB AND iobm/IOS_FSM_FFd3 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; iobm/IOS_FSM_FFd1 AND iobm/IOS_FSM_FFd2 AND IOBERR AND iobm/BERRrf AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; iobm/BERRrr));
</td></tr><tr><td> </td></tr><tr><td>
FDCPE_IOL0: FDCPE port map (IOL0,IOL0_D,CLK_FSB,'0','0',IOL0_CE); FDCPE_IOL0: FDCPE port map (IOL0,IOL0_D,CLK_FSB,'0','0',IOL0_CE);
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;IOL0_D <= ((NOT nLDS_FSB AND nADoutLE1) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;IOL0_D <= ((NOT nLDS_FSB AND nADoutLE1)
@ -128,17 +135,19 @@ FDCPE_IOL0: FDCPE port map (IOL0,IOL0_D,CLK_FSB,'0','0',IOL0_CE);
FDCPE_IOREQ: FDCPE port map (IOREQ,IOREQ_D,CLK_FSB,'0','0'); FDCPE_IOREQ: FDCPE port map (IOREQ,IOREQ_D,CLK_FSB,'0','0');
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;IOREQ_D <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21) AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;IOREQ_D <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT iobs/PS_FSM_FFd2 AND nADoutLE1) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT iobs/PS_FSM_FFd2 AND nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT cs/nOverlay1 AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(20) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT iobs/PS_FSM_FFd2 AND nADoutLE1) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT iobs/PS_FSM_FFd2 AND nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(19) AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(19) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT iobs/PS_FSM_FFd2 AND nADoutLE1) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT iobs/PS_FSM_FFd2 AND nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(16) AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(17) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT iobs/PS_FSM_FFd2 AND nADoutLE1) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT iobs/PS_FSM_FFd2 AND nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND A_FSB(21) AND nWE_FSB AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(16) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT iobs/PS_FSM_FFd2 AND nADoutLE1) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT iobs/PS_FSM_FFd2 AND nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(18) AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(18) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT iobs/PS_FSM_FFd2 AND nADoutLE1) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT iobs/PS_FSM_FFd2 AND nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(17) AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND A_FSB(21) AND nWE_FSB AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT iobs/PS_FSM_FFd2 AND nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND NOT A_FSB(20) AND SW(1) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT iobs/PS_FSM_FFd2 AND nADoutLE1) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT iobs/PS_FSM_FFd2 AND nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(14) AND NOT A_FSB(13) AND NOT A_FSB(23) AND A_FSB(21) AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(14) AND NOT A_FSB(13) AND NOT A_FSB(23) AND A_FSB(21) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT iobs/PS_FSM_FFd2 AND nADoutLE1) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT iobs/PS_FSM_FFd2 AND nADoutLE1)
@ -147,63 +156,41 @@ FDCPE_IOREQ: FDCPE port map (IOREQ,IOREQ_D,CLK_FSB,'0','0');
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT iobs/PS_FSM_FFd2 AND iobs/PS_FSM_FFd1) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT iobs/PS_FSM_FFd2 AND iobs/PS_FSM_FFd1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (iobs/PS_FSM_FFd1 AND iobs/IOACTr) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (iobs/PS_FSM_FFd1 AND iobs/IOACTr)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (iobs/Once AND NOT iobs/PS_FSM_FFd2 AND nADoutLE1) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (iobs/Once AND NOT iobs/PS_FSM_FFd2 AND nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND NOT A_FSB(20) AND NOT iobs/PS_FSM_FFd2 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT fsb/ASrf AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT fsb/ASrf AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; nADoutLE1)); <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT cs/nOverlay1 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT iobs/PS_FSM_FFd2 AND nADoutLE1));
</td></tr><tr><td> </td></tr><tr><td>
FTCPE_IORW0: FTCPE port map (IORW0,IORW0_T,CLK_FSB,'0','0'); FTCPE_IORW0: FTCPE port map (IORW0,IORW0_T,CLK_FSB,'0','0');
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;IORW0_T <= ((nROMCS_OBUF.EXP) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;IORW0_T <= ((A_FSB_19_IBUF$BUF0.EXP)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(14) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (IORW0 AND iobs/IORW1 AND NOT nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT IORW0 AND NOT iobs/IORW1 AND NOT nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT iobs/Once AND IORW0 AND NOT nWE_FSB AND NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (nAS_FSB AND NOT fsb/ASrf AND nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT iobs/PS_FSM_FFd1 AND nADoutLE1) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21) AND nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(14) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND A_FSB(21) AND NOT IORW0 AND nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(19) AND nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT iobs/Once AND IORW0 AND NOT nWE_FSB AND NOT iobs/PS_FSM_FFd2 AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(18) AND nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT iobs/PS_FSM_FFd1 AND fsb/ASrf AND nADoutLE1) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(17) AND nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(13) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(16) AND nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND NOT A_FSB(20) AND SW(1) AND nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT iobs/Once AND IORW0 AND NOT nWE_FSB AND NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT IORW0 AND NOT nWE_FSB AND nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT iobs/PS_FSM_FFd1 AND nADoutLE1) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT cs/nOverlay1 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(13) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(20) AND nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT iobs/Once AND IORW0 AND NOT nWE_FSB AND NOT iobs/PS_FSM_FFd2 AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(14) AND NOT A_FSB(13) AND NOT A_FSB(23) AND A_FSB(21) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT iobs/PS_FSM_FFd1 AND fsb/ASrf AND nADoutLE1) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(14) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; cs/nOverlay1 AND nADoutLE1));
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; cs/nOverlay1 AND NOT iobs/Once AND IORW0 AND NOT nWE_FSB AND NOT nAS_FSB AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(23) AND NOT iobs/Once AND NOT IORW0 AND nWE_FSB AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND fsb/ASrf AND nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND NOT iobs/Once AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; IORW0 AND NOT nWE_FSB AND NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT iobs/PS_FSM_FFd1 AND nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND NOT iobs/Once AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; IORW0 AND NOT nWE_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; fsb/ASrf AND nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND NOT iobs/Once AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT IORW0 AND nWE_FSB AND NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT iobs/PS_FSM_FFd1 AND nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND NOT iobs/Once AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT IORW0 AND nWE_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; fsb/ASrf AND nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (IORW0 AND NOT iobs/IORW1 AND NOT iobs/PS_FSM_FFd2 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT iobs/PS_FSM_FFd1 AND NOT nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT IORW0 AND iobs/IORW1 AND NOT iobs/PS_FSM_FFd2 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT iobs/PS_FSM_FFd1 AND NOT nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(23) AND NOT iobs/Once AND IORW0 AND NOT nWE_FSB AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(23) AND NOT iobs/Once AND IORW0 AND NOT nWE_FSB AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND fsb/ASrf AND nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(23) AND NOT iobs/Once AND NOT IORW0 AND nWE_FSB AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1));
</td></tr><tr><td> </td></tr><tr><td>
FDCPE_IOU0: FDCPE port map (IOU0,IOU0_D,CLK_FSB,'0','0',IOU0_CE); FDCPE_IOU0: FDCPE port map (IOU0,IOU0_D,CLK_FSB,'0','0',IOU0_CE);
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;IOU0_D <= ((NOT nUDS_FSB AND nADoutLE1) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;IOU0_D <= ((NOT nUDS_FSB AND nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (iobs/IOU1 AND NOT nADoutLE1)); <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (iobs/IOU1 AND NOT nADoutLE1));
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;IOU0_CE <= (iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1); <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;IOU0_CE <= (iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1);
</td></tr><tr><td> </td></tr><tr><td>
FDCPE_IPL2r0: FDCPE port map (IPL2r0,NOT nIPL2,CLK_FSB,'0','0');
</td></tr><tr><td>
FDCPE_IPL2r1: FDCPE port map (IPL2r1,IPL2r0,CLK_FSB,'0','0');
</td></tr><tr><td>
</td></tr><tr><td> </td></tr><tr><td>
RA(0) <= ((A_FSB(10) AND NOT ram/RASEL) RA(0) <= ((A_FSB(10) AND NOT ram/RASEL)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (ram/RASEL AND A_FSB(1))); <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (ram/RASEL AND A_FSB(1)));
@ -213,8 +200,8 @@ RA(1) <= ((A_FSB(11) AND NOT ram/RASEL)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (ram/RASEL AND A_FSB(2))); <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (ram/RASEL AND A_FSB(2)));
</td></tr><tr><td> </td></tr><tr><td>
</td></tr><tr><td> </td></tr><tr><td>
RA(2) <= ((ram/RASEL AND A_FSB(3)) RA(2) <= ((A_FSB(12) AND NOT ram/RASEL)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(12) AND NOT ram/RASEL)); <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (ram/RASEL AND A_FSB(3)));
</td></tr><tr><td> </td></tr><tr><td>
</td></tr><tr><td> </td></tr><tr><td>
RA(3) <= ((A_FSB(13) AND NOT ram/RASEL) RA(3) <= ((A_FSB(13) AND NOT ram/RASEL)
@ -257,6 +244,15 @@ RA(10) <= A_FSB(21);
</td></tr><tr><td> </td></tr><tr><td>
RA(11) <= A_FSB(19); RA(11) <= A_FSB(19);
</td></tr><tr><td> </td></tr><tr><td>
FDCPE_RESDone: FDCPE port map (RESDone,'1',CLK_FSB,'0','0',RESDone_CE);
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;RESDone_CE <= (NOT RESr0 AND NOT RESr1 AND RESr2);
</td></tr><tr><td>
FDCPE_RESr0: FDCPE port map (RESr0,NOT nRES,CLK_FSB,'0','0');
</td></tr><tr><td>
FDCPE_RESr1: FDCPE port map (RESr1,RESr0,CLK_FSB,'0','0');
</td></tr><tr><td>
FDCPE_RESr2: FDCPE port map (RESr2,RESr1,CLK_FSB,'0','0');
</td></tr><tr><td>
FDCPE_RefAck: FDCPE port map (RefAck,RefAck_D,CLK_FSB,'0','0'); FDCPE_RefAck: FDCPE port map (RefAck,RefAck_D,CLK_FSB,'0','0');
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;RefAck_D <= (ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1); <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;RefAck_D <= (ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1);
</td></tr><tr><td> </td></tr><tr><td>
@ -348,7 +344,13 @@ FDCPE_fsb/Ready0r: FDCPE port map (fsb/Ready0r,fsb/Ready0r_D,CLK_FSB,'0','0');
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT cs/nOverlay1 AND NOT fsb/Ready0r AND NOT ram/RAMReady)); <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT cs/nOverlay1 AND NOT fsb/Ready0r AND NOT ram/RAMReady));
</td></tr><tr><td> </td></tr><tr><td>
FDCPE_fsb/Ready1r: FDCPE port map (fsb/Ready1r,fsb/Ready1r_D,CLK_FSB,'0','0'); FDCPE_fsb/Ready1r: FDCPE port map (fsb/Ready1r,fsb/Ready1r_D,CLK_FSB,'0','0');
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;fsb/Ready1r_D <= ((A_FSB(14) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;fsb/Ready1r_D <= ((A_FSB(14) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT fsb/Ready1r AND NOT iobs/IOReady)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(13) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT fsb/Ready1r AND NOT iobs/IOReady)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(14) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; cs/nOverlay1 AND NOT nWE_FSB AND NOT fsb/Ready1r AND NOT iobs/IOReady AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; cs/nOverlay1 AND NOT nWE_FSB AND NOT fsb/Ready1r AND NOT iobs/IOReady AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT nADoutLE1) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT nADoutLE1)
@ -360,12 +362,8 @@ FDCPE_fsb/Ready1r: FDCPE port map (fsb/Ready1r,fsb/Ready1r_D,CLK_FSB,'0','0');
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(23) AND NOT fsb/Ready1r AND NOT iobs/IOReady) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(23) AND NOT fsb/Ready1r AND NOT iobs/IOReady)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND NOT fsb/Ready1r AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND NOT fsb/Ready1r AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT iobs/IOReady) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT iobs/IOReady)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(14) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(22) AND NOT A_FSB(21) AND NOT fsb/Ready1r AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT iobs/IOReady AND NOT SW(1)));
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT fsb/Ready1r AND NOT iobs/IOReady)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(13) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT fsb/Ready1r AND NOT iobs/IOReady));
</td></tr><tr><td> </td></tr><tr><td>
FDCPE_fsb/Ready2r: FDCPE port map (fsb/Ready2r,fsb/Ready2r_D,CLK_FSB,'0','0'); FDCPE_fsb/Ready2r: FDCPE port map (fsb/Ready2r,fsb/Ready2r_D,CLK_FSB,'0','0');
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;fsb/Ready2r_D <= ((nAS_FSB AND NOT fsb/ASrf) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;fsb/Ready2r_D <= ((nAS_FSB AND NOT fsb/ASrf)
@ -403,47 +401,58 @@ FDCPE_fsb/Ready2r: FDCPE port map (fsb/Ready2r,fsb/Ready2r_D,CLK_FSB,'0','0');
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB(16) AND cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r)); <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB(16) AND cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r));
</td></tr><tr><td> </td></tr><tr><td>
FDCPE_fsb/VPA: FDCPE port map (fsb/VPA,fsb/VPA_D,CLK_FSB,'0','0'); FDCPE_fsb/VPA: FDCPE port map (fsb/VPA,fsb/VPA_D,CLK_FSB,'0','0');
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;fsb/VPA_D <= ((EXP25_.EXP) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;fsb/VPA_D <= ((EXP21_.EXP)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(22) AND TimeoutB AND fsb/VPA AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT $OpTx$FX_DC$609)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(21) AND TimeoutB AND fsb/VPA AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT $OpTx$FX_DC$609)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(23) AND NOT fsb/Ready1r AND fsb/VPA AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(23) AND NOT fsb/Ready1r AND fsb/VPA AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT iobs/IOReady AND NOT $OpTx$FX_DC$609) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT iobs/IOReady AND NOT $OpTx$FX_DC$708)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT fsb/Ready0r AND fsb/VPA AND NOT ram/RAMReady AND NOT $OpTx$FX_DC$609)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND NOT fsb/Ready1r AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND NOT fsb/Ready1r AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; fsb/VPA AND NOT iobs/IOReady AND NOT $OpTx$FX_DC$609) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; fsb/VPA AND NOT iobs/IOReady AND NOT $OpTx$FX_DC$708)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (EXP16_.EXP) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(22) AND NOT A_FSB(21) AND NOT fsb/Ready1r AND fsb/VPA AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT iobs/IOReady AND NOT SW(1) AND NOT $OpTx$FX_DC$708)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT cs/nOverlay1 AND NOT fsb/Ready0r AND fsb/VPA AND NOT ram/RAMReady AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT $OpTx$FX_DC$609)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(14) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(14) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT fsb/Ready1r AND fsb/VPA AND NOT iobs/IOReady AND NOT $OpTx$FX_DC$609) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT fsb/Ready1r AND fsb/VPA AND NOT iobs/IOReady AND NOT $OpTx$FX_DC$708)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(13) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(13) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT fsb/Ready1r AND fsb/VPA AND NOT iobs/IOReady AND NOT $OpTx$FX_DC$609) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT fsb/Ready1r AND fsb/VPA AND NOT iobs/IOReady AND NOT $OpTx$FX_DC$708)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (nROMWE_OBUF.EXP)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(14) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(14) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; cs/nOverlay1 AND NOT nWE_FSB AND NOT fsb/Ready1r AND fsb/VPA AND NOT iobs/IOReady AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; cs/nOverlay1 AND NOT nWE_FSB AND NOT fsb/Ready1r AND fsb/VPA AND NOT iobs/IOReady AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT nADoutLE1 AND NOT $OpTx$FX_DC$609) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT nADoutLE1 AND NOT $OpTx$FX_DC$708)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(13) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(13) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; cs/nOverlay1 AND NOT nWE_FSB AND NOT fsb/Ready1r AND fsb/VPA AND NOT iobs/IOReady AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; cs/nOverlay1 AND NOT nWE_FSB AND NOT fsb/Ready1r AND fsb/VPA AND NOT iobs/IOReady AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT nADoutLE1 AND NOT $OpTx$FX_DC$609) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT nADoutLE1 AND NOT $OpTx$FX_DC$708)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (BERR_IOBS AND fsb/VPA AND NOT $OpTx$FX_DC$609) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(9) AND A_FSB(15) AND A_FSB(14) AND A_FSB(13) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (fsb/BERR0r AND fsb/VPA AND NOT $OpTx$FX_DC$609) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND NOT A_FSB(23) AND NOT A_FSB(22) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (fsb/BERR1r AND fsb/VPA AND NOT $OpTx$FX_DC$609) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(23) AND TimeoutB AND fsb/VPA AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB(16) AND cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT $OpTx$FX_DC$609) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; fsb/VPA AND NOT $OpTx$FX_DC$708)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(20) AND TimeoutB AND fsb/VPA AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(8) AND A_FSB(15) AND A_FSB(14) AND A_FSB(13) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT $OpTx$FX_DC$609)); <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND NOT A_FSB(23) AND NOT A_FSB(22) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB(16) AND cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; fsb/VPA AND NOT $OpTx$FX_DC$708)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(8) AND A_FSB(15) AND NOT A_FSB(14) AND A_FSB(13) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT A_FSB(12) AND NOT A_FSB(11) AND NOT A_FSB(10) AND NOT A_FSB(23) AND NOT A_FSB(22) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB(16) AND cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; fsb/VPA AND NOT $OpTx$FX_DC$708)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (BERR_IOBS AND fsb/VPA AND NOT $OpTx$FX_DC$708)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (fsb/BERR0r AND fsb/VPA AND NOT $OpTx$FX_DC$708)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (fsb/BERR1r AND fsb/VPA AND NOT $OpTx$FX_DC$708)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (fsb/VPA AND NOT nBR_IOB AND NOT $OpTx$FX_DC$708)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (fsb/VPA AND NOT $OpTx$FX_DC$708 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; $OpTx$$OpTx$FX_DC$182_INV$783));
</td></tr><tr><td> </td></tr><tr><td>
FDCPE_iobm/BERRrf: FDCPE port map (iobm/BERRrf,NOT nBERR_IOB,NOT CLK2X_IOB,'0','0'); FDCPE_iobm/BERRrf: FDCPE port map (iobm/BERRrf,NOT nBERR_IOB,NOT CLK2X_IOB,'0','0');
</td></tr><tr><td> </td></tr><tr><td>
FDCPE_iobm/BERRrr: FDCPE port map (iobm/BERRrr,NOT nBERR_IOB,CLK2X_IOB,'0','0'); FDCPE_iobm/BERRrr: FDCPE port map (iobm/BERRrr,NOT nBERR_IOB,CLK2X_IOB,'0','0');
</td></tr><tr><td> </td></tr><tr><td>
FDCPE_iobm/BGr0: FDCPE port map (iobm/BGr0,NOT nBG_IOB,CLK2X_IOB,'0','0');
</td></tr><tr><td>
FDCPE_iobm/BGr1: FDCPE port map (iobm/BGr1,iobm/BGr0,CLK2X_IOB,'0','0');
</td></tr><tr><td>
FDCPE_iobm/DTACKrf: FDCPE port map (iobm/DTACKrf,NOT nDTACK_IOB,NOT CLK2X_IOB,'0','0'); FDCPE_iobm/DTACKrf: FDCPE port map (iobm/DTACKrf,NOT nDTACK_IOB,NOT CLK2X_IOB,'0','0');
</td></tr><tr><td> </td></tr><tr><td>
FDCPE_iobm/DTACKrr: FDCPE port map (iobm/DTACKrr,NOT nDTACK_IOB,CLK2X_IOB,'0','0'); FDCPE_iobm/DTACKrr: FDCPE port map (iobm/DTACKrr,NOT nDTACK_IOB,CLK2X_IOB,'0','0');
@ -492,36 +501,27 @@ FDCPE_iobm/Er2: FDCPE port map (iobm/Er2,iobm/Er,CLK2X_IOB,'0','0');
FDCPE_iobm/IOREQr: FDCPE port map (iobm/IOREQr,IOREQ,NOT CLK2X_IOB,'0','0'); FDCPE_iobm/IOREQr: FDCPE port map (iobm/IOREQr,IOREQ,NOT CLK2X_IOB,'0','0');
</td></tr><tr><td> </td></tr><tr><td>
FDCPE_iobm/IOS_FSM_FFd1: FDCPE port map (iobm/IOS_FSM_FFd1,iobm/IOS_FSM_FFd1_D,CLK2X_IOB,'0','0'); FDCPE_iobm/IOS_FSM_FFd1: FDCPE port map (iobm/IOS_FSM_FFd1,iobm/IOS_FSM_FFd1_D,CLK2X_IOB,'0','0');
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;iobm/IOS_FSM_FFd1_D <= ((iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd2 AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;iobm/IOS_FSM_FFd1_D <= ((iobm/IOS_FSM_FFd3 AND iobm/IOS_FSM_FFd1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT iobm/IOS_FSM_FFd1) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT iobm/IOS_FSM_FFd3 AND iobm/IOS_FSM_FFd2));
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd3 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT iobm/IOS_FSM_FFd2));
</td></tr><tr><td> </td></tr><tr><td>
FDCPE_iobm/IOS_FSM_FFd2: FDCPE port map (iobm/IOS_FSM_FFd2,iobm/IOS_FSM_FFd2_D,CLK2X_IOB,'0','0'); FTCPE_iobm/IOS_FSM_FFd2: FTCPE port map (iobm/IOS_FSM_FFd2,iobm/IOS_FSM_FFd2_T,CLK2X_IOB,'0','0');
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;iobm/IOS_FSM_FFd2_D <= ((NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd3 AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;iobm/IOS_FSM_FFd2_T <= ((iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd1 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; iobm/IOS_FSM_FFd1) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT iobm/IOS_FSM_FFd2)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd2 AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (CLK_IOB AND iobm/IOS_FSM_FFd3 AND iobm/IOS_FSM_FFd1 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT iobm/IOS_FSM_FFd1)); <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; iobm/IOS_FSM_FFd2 AND iobm/ETACK)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (CLK_IOB AND iobm/IOS_FSM_FFd3 AND iobm/IOS_FSM_FFd1 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; iobm/IOS_FSM_FFd2 AND iobm/DTACKrf AND iobm/DTACKrr)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (CLK_IOB AND iobm/IOS_FSM_FFd3 AND iobm/IOS_FSM_FFd1 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; iobm/IOS_FSM_FFd2 AND iobm/BERRrf AND iobm/BERRrr)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (CLK_IOB AND iobm/IOS_FSM_FFd3 AND iobm/IOS_FSM_FFd1 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; iobm/IOS_FSM_FFd2 AND iobm/RESrf AND iobm/RESrr));
</td></tr><tr><td> </td></tr><tr><td>
FDCPE_iobm/IOS_FSM_FFd3: FDCPE port map (iobm/IOS_FSM_FFd3,iobm/IOS_FSM_FFd3_D,CLK2X_IOB,'0','0'); FDCPE_iobm/IOS_FSM_FFd3: FDCPE port map (iobm/IOS_FSM_FFd3,iobm/IOS_FSM_FFd3_D,CLK2X_IOB,'0','0');
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;iobm/IOS_FSM_FFd3_D <= ((NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd3) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;iobm/IOS_FSM_FFd3_D <= ((iobm/IOS_FSM_FFd1 AND iobm/IOS_FSM_FFd2)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT iobm/IOS_FSM_FFd4 AND CLK_IOB AND iobm/ETACK) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd1 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT iobm/IOS_FSM_FFd4 AND CLK_IOB AND iobm/DTACKrf AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT iobm/IOS_FSM_FFd2)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; iobm/DTACKrr) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT CLK_IOB AND NOT iobm/IOS_FSM_FFd1 AND NOT iobm/IOS_FSM_FFd2 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT iobm/IOS_FSM_FFd4 AND CLK_IOB AND iobm/BERRrf AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; iobm/IOREQr AND NOT nAoutOE));
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; iobm/BERRrr)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT iobm/IOS_FSM_FFd4 AND CLK_IOB AND iobm/RESrf AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; iobm/RESrr));
</td></tr><tr><td>
FDCPE_iobm/IOS_FSM_FFd4: FDCPE port map (iobm/IOS_FSM_FFd4,iobm/IOS_FSM_FFd4_D,CLK2X_IOB,'0','0');
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;iobm/IOS_FSM_FFd4_D <= ((NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd3 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; iobm/IOS_FSM_FFd1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (iobm/IOS_FSM_FFd3 AND iobm/IOS_FSM_FFd2 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; iobm/IOS_FSM_FFd1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd3 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT iobm/IOS_FSM_FFd2 AND CLK_IOB)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd3 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT iobm/IOS_FSM_FFd2 AND NOT iobm/IOREQr));
</td></tr><tr><td> </td></tr><tr><td>
FDCPE_iobm/RESrf: FDCPE port map (iobm/RESrf,NOT nRES,NOT CLK2X_IOB,'0','0'); FDCPE_iobm/RESrf: FDCPE port map (iobm/RESrf,NOT nRES,NOT CLK2X_IOB,'0','0');
</td></tr><tr><td> </td></tr><tr><td>
@ -541,20 +541,20 @@ FDCPE_iobs/IOL1: FDCPE port map (iobs/IOL1,NOT nLDS_FSB,CLK_FSB,'0','0',iobs/Loa
FTCPE_iobs/IORW1: FTCPE port map (iobs/IORW1,iobs/IORW1_T,CLK_FSB,'0','0'); FTCPE_iobs/IORW1: FTCPE port map (iobs/IORW1,iobs/IORW1_T,CLK_FSB,'0','0');
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;iobs/IORW1_T <= ((iobs/Once) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;iobs/IORW1_T <= ((iobs/Once)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT nADoutLE1) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT nWE_FSB AND NOT iobs/IORW1) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (fsb/Ready1r.EXP)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (nAS_FSB AND NOT fsb/ASrf) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (nAS_FSB AND NOT fsb/ASrf)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21)) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21))
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT cs/nOverlay1) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT cs/nOverlay1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(20))
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (fsb/Ready2r.EXP) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (fsb/Ready2r.EXP)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(19)) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(19))
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(18)) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(18))
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(17)) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(17))
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(16)) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(16))
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND NOT A_FSB(20) AND SW(1))
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; cs/nOverlay1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND NOT A_FSB(20))
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (nWE_FSB AND iobs/IORW1) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (nWE_FSB AND iobs/IORW1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT nWE_FSB AND NOT iobs/IORW1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND A_FSB(21) AND NOT iobs/IORW1)); <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND A_FSB(21) AND NOT iobs/IORW1));
</td></tr><tr><td> </td></tr><tr><td>
FTCPE_iobs/IOReady: FTCPE port map (iobs/IOReady,iobs/IOReady_T,CLK_FSB,'0','0'); FTCPE_iobs/IOReady: FTCPE port map (iobs/IOReady,iobs/IOReady_T,CLK_FSB,'0','0');
@ -572,37 +572,37 @@ FDCPE_iobs/Load1: FDCPE port map (iobs/Load1,iobs/Load1_D,CLK_FSB,'0','0');
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;iobs/Load1_D <= ((iobs/Once) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;iobs/Load1_D <= ((iobs/Once)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT nADoutLE1) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21)) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21))
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT cs/nOverlay1) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(20))
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(19)) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(19))
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND A_FSB(21) AND nWE_FSB)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(18))
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(17)) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(17))
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(16)) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(16))
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(18))
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND A_FSB(21) AND nWE_FSB)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND NOT A_FSB(20) AND SW(1))
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(14) AND NOT A_FSB(13) AND NOT A_FSB(23) AND A_FSB(21)) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(14) AND NOT A_FSB(13) AND NOT A_FSB(23) AND A_FSB(21))
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; cs/nOverlay1) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; cs/nOverlay1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND NOT A_FSB(20))
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (nAS_FSB AND NOT fsb/ASrf) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (nAS_FSB AND NOT fsb/ASrf)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1)); <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT cs/nOverlay1));
</td></tr><tr><td> </td></tr><tr><td>
FDCPE_iobs/Once: FDCPE port map (iobs/Once,iobs/Once_D,CLK_FSB,'0','0'); FDCPE_iobs/Once: FDCPE port map (iobs/Once,iobs/Once_D,CLK_FSB,'0','0');
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;iobs/Once_D <= ((nOE_OBUF.EXP) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;iobs/Once_D <= ((nBERR_FSB_OBUF.EXP)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(23) AND NOT iobs/Once AND iobs/PS_FSM_FFd1) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(23) AND NOT iobs/Once AND iobs/PS_FSM_FFd1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT iobs/Once AND iobs/PS_FSM_FFd2 AND NOT nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT iobs/Once AND iobs/PS_FSM_FFd1 AND NOT nADoutLE1) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT iobs/Once AND iobs/PS_FSM_FFd1 AND NOT nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21) AND NOT iobs/Once) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21) AND NOT iobs/Once)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT cs/nOverlay1 AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT iobs/Once AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT iobs/Once) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT cs/nOverlay1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(20) AND NOT iobs/Once)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(19) AND NOT iobs/Once) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(19) AND NOT iobs/Once)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(18) AND NOT iobs/Once) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(18) AND NOT iobs/Once)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(17) AND NOT iobs/Once) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(17) AND NOT iobs/Once)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(16) AND NOT iobs/Once) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(16) AND NOT iobs/Once)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND A_FSB(21) AND NOT iobs/Once AND nWE_FSB)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (nAS_FSB AND NOT fsb/ASrf) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (nAS_FSB AND NOT fsb/ASrf)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(23) AND NOT iobs/Once AND iobs/PS_FSM_FFd2) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(23) AND NOT iobs/Once AND iobs/PS_FSM_FFd2)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND NOT A_FSB(20) AND NOT iobs/Once)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(22) AND NOT iobs/Once AND iobs/PS_FSM_FFd2) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(22) AND NOT iobs/Once AND iobs/PS_FSM_FFd2)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(22) AND NOT iobs/Once AND iobs/PS_FSM_FFd1)); <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(22) AND NOT iobs/Once AND iobs/PS_FSM_FFd1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT iobs/Once AND iobs/PS_FSM_FFd2 AND NOT nADoutLE1));
</td></tr><tr><td> </td></tr><tr><td>
FDCPE_iobs/PS_FSM_FFd1: FDCPE port map (iobs/PS_FSM_FFd1,iobs/PS_FSM_FFd1_D,CLK_FSB,'0','0'); FDCPE_iobs/PS_FSM_FFd1: FDCPE port map (iobs/PS_FSM_FFd1,iobs/PS_FSM_FFd1_D,CLK_FSB,'0','0');
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;iobs/PS_FSM_FFd1_D <= ((iobs/PS_FSM_FFd2) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;iobs/PS_FSM_FFd1_D <= ((iobs/PS_FSM_FFd2)
@ -611,17 +611,19 @@ FDCPE_iobs/PS_FSM_FFd1: FDCPE port map (iobs/PS_FSM_FFd1,iobs/PS_FSM_FFd1_D,CLK_
FDCPE_iobs/PS_FSM_FFd2: FDCPE port map (iobs/PS_FSM_FFd2,iobs/PS_FSM_FFd2_D,CLK_FSB,'0','0'); FDCPE_iobs/PS_FSM_FFd2: FDCPE port map (iobs/PS_FSM_FFd2,iobs/PS_FSM_FFd2_D,CLK_FSB,'0','0');
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;iobs/PS_FSM_FFd2_D <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21) AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;iobs/PS_FSM_FFd2_D <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT cs/nOverlay1 AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(20) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(19) AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(19) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(16) AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(17) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND A_FSB(21) AND nWE_FSB AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(16) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(18) AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(18) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(17) AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND A_FSB(21) AND nWE_FSB AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND NOT A_FSB(20) AND SW(1) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(14) AND NOT A_FSB(13) AND NOT A_FSB(23) AND A_FSB(21) AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(14) AND NOT A_FSB(13) AND NOT A_FSB(23) AND A_FSB(21) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1)
@ -633,10 +635,10 @@ FDCPE_iobs/PS_FSM_FFd2: FDCPE port map (iobs/PS_FSM_FFd2,iobs/PS_FSM_FFd2_D,CLK_
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT iobs/IOACTr) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT iobs/IOACTr)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (iobs/Once AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (iobs/Once AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; nADoutLE1) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND NOT A_FSB(20) AND NOT iobs/PS_FSM_FFd2 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT iobs/PS_FSM_FFd1 AND nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT fsb/ASrf AND nADoutLE1)); <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT fsb/ASrf AND nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT cs/nOverlay1 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1));
</td></tr><tr><td> </td></tr><tr><td>
</td></tr><tr><td> </td></tr><tr><td>
nADoutLE0 <= (NOT ALE0M AND NOT ALE0S); nADoutLE0 <= (NOT ALE0M AND NOT ALE0S);
@ -645,14 +647,16 @@ FDCPE_nADoutLE1: FDCPE port map (nADoutLE1,nADoutLE1_D,CLK_FSB,'0','0');
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;nADoutLE1_D <= ((iobs/Load1) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;nADoutLE1_D <= ((iobs/Load1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT iobs/Clear1 AND NOT nADoutLE1)); <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT iobs/Clear1 AND NOT nADoutLE1));
</td></tr><tr><td> </td></tr><tr><td>
FDCPE_nAS_IOB: FDCPE port map (nAS_IOB,nAS_IOB_D,NOT CLK2X_IOB,'0','0'); FDCPE_nAS_IOB: FDCPE port map (nAS_IOB_I,nAS_IOB,NOT CLK2X_IOB,'0','0');
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;nAS_IOB_D <= ((NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd3 AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;nAS_IOB <= ((NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd2)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT iobm/IOS_FSM_FFd2) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (iobm/IOS_FSM_FFd1 AND NOT iobm/IOS_FSM_FFd2));
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd3 AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;nAS_IOB <= nAS_IOB_I when nAS_IOB_OE = '1' else 'Z';
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; iobm/IOS_FSM_FFd1)); <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;nAS_IOB_OE <= NOT nAoutOE;
</td></tr><tr><td> </td></tr><tr><td>
</td></tr><tr><td> FDCPE_nAoutOE: FDCPE port map (nAoutOE,nAoutOE_D,CLK2X_IOB,'0','0');
nAoutOE <= '0'; <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;nAoutOE_D <= ((NOT iobm/BGr0 AND NOT iobm/BGr1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT iobm/BGr1 AND nAoutOE)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT nAS_IOB AND NOT iobm/BGr0 AND NOT nAoutOE));
</td></tr><tr><td> </td></tr><tr><td>
</td></tr><tr><td> </td></tr><tr><td>
nBERR_FSB <= ((nAS_FSB) nBERR_FSB <= ((nAS_FSB)
@ -660,22 +664,25 @@ nBERR_FSB <= ((nAS_FSB)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT BERR_IOBS AND NOT fsb/BERR0r AND NOT fsb/BERR1r)); <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT BERR_IOBS AND NOT fsb/BERR0r AND NOT fsb/BERR1r));
</td></tr><tr><td> </td></tr><tr><td>
FDCPE_nBR_IOB: FDCPE port map (nBR_IOB,'0',CLK_FSB,'0','0',nBR_IOB_CE);
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;nBR_IOB_CE <= (RESr0 AND RESr1 AND IPL2r0 AND RESr2 AND NOT RESDone AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; IPL2r1);
</td></tr><tr><td>
FDCPE_nCAS: FDCPE port map (nCAS,NOT ram/RASEL,NOT CLK_FSB,'0','0'); FDCPE_nCAS: FDCPE port map (nCAS,NOT ram/RASEL,NOT CLK_FSB,'0','0');
</td></tr><tr><td> </td></tr><tr><td>
FDCPE_nDTACK_FSB: FDCPE port map (nDTACK_FSB,nDTACK_FSB_D,CLK_FSB,'0','0'); FDCPE_nDTACK_FSB: FDCPE port map (nDTACK_FSB,nDTACK_FSB_D,CLK_FSB,'0','0');
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;nDTACK_FSB_D <= ((EXP18_.EXP) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;nDTACK_FSB_D <= ((EXP17_.EXP)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (nAS_FSB AND NOT fsb/ASrf)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(23) AND NOT fsb/Ready1r AND NOT iobs/IOReady AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(23) AND NOT fsb/Ready1r AND NOT iobs/IOReady AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; nDTACK_FSB) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; nDTACK_FSB)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT fsb/Ready0r AND nDTACK_FSB AND NOT ram/RAMReady)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND NOT fsb/Ready1r AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND NOT fsb/Ready1r AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT iobs/IOReady AND nDTACK_FSB) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT iobs/IOReady AND nDTACK_FSB)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(22) AND NOT A_FSB(21) AND NOT fsb/Ready1r AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT cs/nOverlay1 AND NOT fsb/Ready0r AND nDTACK_FSB AND NOT ram/RAMReady) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT iobs/IOReady AND NOT SW(1) AND nDTACK_FSB)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(14) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(14) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT fsb/Ready1r AND NOT iobs/IOReady AND nDTACK_FSB) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT fsb/Ready1r AND NOT iobs/IOReady AND nDTACK_FSB)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (EXP21_.EXP) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (EXP20_.EXP)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(13) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(13) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT fsb/Ready1r AND NOT iobs/IOReady AND nDTACK_FSB) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT fsb/Ready1r AND NOT iobs/IOReady AND nDTACK_FSB)
@ -700,31 +707,30 @@ FDCPE_nDTACK_FSB: FDCPE port map (nDTACK_FSB,nDTACK_FSB_D,CLK_FSB,'0','0');
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (BERR_IOBS AND nDTACK_FSB) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (BERR_IOBS AND nDTACK_FSB)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (fsb/BERR0r AND nDTACK_FSB) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (fsb/BERR0r AND nDTACK_FSB)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (fsb/BERR1r AND nDTACK_FSB) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (fsb/BERR1r AND nDTACK_FSB)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (nAS_FSB AND NOT fsb/ASrf) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (nDTACK_FSB AND NOT nBR_IOB)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (nDTACK_FSB AND NOT $OpTx$FX_DC$610)); <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (nDTACK_FSB AND $OpTx$$OpTx$FX_DC$182_INV$783));
</td></tr><tr><td> </td></tr><tr><td>
FDCPE_nDinLE: FDCPE port map (nDinLE,nDinLE_D,NOT CLK2X_IOB,'0','0'); FDCPE_nDinLE: FDCPE port map (nDinLE,nDinLE_D,NOT CLK2X_IOB,'0','0');
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;nDinLE_D <= ((iobm/IOS_FSM_FFd4 AND iobm/IOS_FSM_FFd1) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;nDinLE_D <= (iobm/IOS_FSM_FFd1 AND iobm/IOS_FSM_FFd2);
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT iobm/IOS_FSM_FFd4 AND iobm/IOS_FSM_FFd3));
</td></tr><tr><td> </td></tr><tr><td>
</td></tr><tr><td> </td></tr><tr><td>
nDinOE <= ((A_FSB(23) AND nWE_FSB AND NOT nAS_FSB) nDinOE <= ((A_FSB(23) AND nWE_FSB AND NOT nAS_FSB)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND nWE_FSB AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND nWE_FSB AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT nAS_FSB)); <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT nAS_FSB)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(22) AND NOT A_FSB(21) AND nWE_FSB AND NOT nAS_FSB AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT SW(1)));
</td></tr><tr><td> </td></tr><tr><td>
FDCPE_nDoutOE: FDCPE port map (nDoutOE,nDoutOE_D,CLK2X_IOB,'0','0'); FDCPE_nDoutOE: FDCPE port map (nDoutOE,nDoutOE_D,CLK2X_IOB,'0','0');
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;nDoutOE_D <= ((NOT IORW0) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;nDoutOE_D <= ((NOT IORW0)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd3 AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd2));
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT iobm/IOS_FSM_FFd2));
</td></tr><tr><td> </td></tr><tr><td>
FDCPE_nLDS_IOB: FDCPE port map (nLDS_IOB,nLDS_IOB_D,NOT CLK2X_IOB,'0','0'); FDCPE_nLDS_IOB: FDCPE port map (nLDS_IOB_I,nLDS_IOB,NOT CLK2X_IOB,'0','0');
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;nLDS_IOB_D <= ((NOT IOL0) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;nLDS_IOB <= ((IOL0 AND NOT iobm/IOS_FSM_FFd3 AND iobm/IOS_FSM_FFd2)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd3 AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (IOL0 AND iobm/IOS_FSM_FFd1 AND iobm/IOS_FSM_FFd2)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT iobm/IOS_FSM_FFd2) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT IORW0 AND IOL0 AND iobm/IOS_FSM_FFd3 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd3 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; iobm/IOS_FSM_FFd1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (IORW0 AND iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd2 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT iobm/IOS_FSM_FFd1)); <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT iobm/IOS_FSM_FFd1));
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;nLDS_IOB <= nLDS_IOB_I when nLDS_IOB_OE = '1' else 'Z';
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;nLDS_IOB_OE <= NOT nAoutOE;
</td></tr><tr><td> </td></tr><tr><td>
</td></tr><tr><td> </td></tr><tr><td>
nOE <= NOT ((nWE_FSB AND NOT nAS_FSB)); nOE <= NOT ((nWE_FSB AND NOT nAS_FSB));
@ -745,27 +751,31 @@ nRAS <= NOT (((RefAck)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT cs/nOverlay1 AND NOT ram/RAMDIS2 AND NOT nAS_FSB AND NOT ram/RAMDIS1))); <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT cs/nOverlay1 AND NOT ram/RAMDIS2 AND NOT nAS_FSB AND NOT ram/RAMDIS1)));
</td></tr><tr><td> </td></tr><tr><td>
</td></tr><tr><td> </td></tr><tr><td>
nROMCS <= NOT (((NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND NOT A_FSB(20)) nROMCS <= NOT (((A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21) AND NOT A_FSB(20) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND NOT A_FSB(21) AND NOT A_FSB(20) AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT SW(1))
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND NOT A_FSB(20) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; SW(1))
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21) AND NOT A_FSB(20) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT cs/nOverlay1))); <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT cs/nOverlay1)));
</td></tr><tr><td> </td></tr><tr><td>
</td></tr><tr><td> </td></tr><tr><td>
nROMWE <= NOT ((NOT nWE_FSB AND NOT nAS_FSB)); nROMWE <= NOT ((NOT nWE_FSB AND NOT nAS_FSB));
</td></tr><tr><td> </td></tr><tr><td>
FDCPE_nUDS_IOB: FDCPE port map (nUDS_IOB,nUDS_IOB_D,NOT CLK2X_IOB,'0','0'); FDCPE_nUDS_IOB: FDCPE port map (nUDS_IOB_I,nUDS_IOB,NOT CLK2X_IOB,'0','0');
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;nUDS_IOB_D <= ((NOT IOU0) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;nUDS_IOB <= ((IOU0 AND NOT iobm/IOS_FSM_FFd3 AND iobm/IOS_FSM_FFd2)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd3 AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (IOU0 AND iobm/IOS_FSM_FFd1 AND iobm/IOS_FSM_FFd2)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT iobm/IOS_FSM_FFd2) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT IORW0 AND IOU0 AND iobm/IOS_FSM_FFd3 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd3 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; iobm/IOS_FSM_FFd1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (IORW0 AND iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd2 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT iobm/IOS_FSM_FFd1)); <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT iobm/IOS_FSM_FFd1));
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;nUDS_IOB <= nUDS_IOB_I when nUDS_IOB_OE = '1' else 'Z';
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;nUDS_IOB_OE <= NOT nAoutOE;
</td></tr><tr><td> </td></tr><tr><td>
FTCPE_nVMA_IOB: FTCPE port map (nVMA_IOB,nVMA_IOB_T,CLK2X_IOB,'0','0'); FTCPE_nVMA_IOB: FTCPE port map (nVMA_IOB_I,nVMA_IOB_T,CLK2X_IOB,'0','0');
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;nVMA_IOB_T <= ((NOT nVMA_IOB AND NOT iobm/ES(0) AND NOT iobm/ES(1) AND NOT iobm/ES(2) AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;nVMA_IOB_T <= ((NOT nVMA_IOB AND NOT iobm/ES(0) AND NOT iobm/ES(1) AND NOT iobm/ES(2) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT iobm/ES(3) AND NOT iobm/ES(4)) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT iobm/ES(3) AND NOT iobm/ES(4))
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (nVMA_IOB AND iobm/ES(0) AND iobm/ES(1) AND iobm/ES(2) AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (nVMA_IOB AND iobm/ES(0) AND iobm/ES(1) AND iobm/ES(2) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT iobm/ES(3) AND NOT iobm/ES(4) AND IOACT AND iobm/VPArf AND iobm/VPArr)); <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT iobm/ES(3) AND NOT iobm/ES(4) AND IOACT AND iobm/VPArf AND iobm/VPArr));
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;nVMA_IOB <= nVMA_IOB_I when nVMA_IOB_OE = '1' else 'Z';
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;nVMA_IOB_OE <= NOT nAoutOE;
</td></tr><tr><td> </td></tr><tr><td>
</td></tr><tr><td> </td></tr><tr><td>
nVPA_FSB <= NOT ((fsb/VPA AND NOT nAS_FSB)); nVPA_FSB <= NOT ((fsb/VPA AND NOT nAS_FSB));
@ -775,33 +785,33 @@ FDCPE_ram/BACTr: FDCPE port map (ram/BACTr,ram/BACTr_D,CLK_FSB,'0','0');
</td></tr><tr><td> </td></tr><tr><td>
FTCPE_ram/Once: FTCPE port map (ram/Once,ram/Once_T,CLK_FSB,'0','0'); FTCPE_ram/Once: FTCPE port map (ram/Once,ram/Once_T,CLK_FSB,'0','0');
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;ram/Once_T <= ((ram/Once AND nAS_FSB AND NOT fsb/ASrf) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;ram/Once_T <= ((ram/Once AND nAS_FSB AND NOT fsb/ASrf)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND NOT ram/Once AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT ram/Once AND cs/nOverlay1 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT ram/RS_FSM_FFd3) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT ram/RS_FSM_FFd3)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND NOT ram/Once AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT ram/Once AND cs/nOverlay1 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND fsb/ASrf) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND fsb/ASrf)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND NOT ram/Once AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT cs/nOverlay1 AND NOT ram/Once AND NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT cs/nOverlay1 AND NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT ram/RS_FSM_FFd3)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND NOT ram/Once AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT cs/nOverlay1 AND NOT ram/Once AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT cs/nOverlay1 AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT ram/RS_FSM_FFd3 AND fsb/ASrf)); <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT ram/RS_FSM_FFd3 AND fsb/ASrf));
</td></tr><tr><td> </td></tr><tr><td>
FDCPE_ram/RAMDIS1: FDCPE port map (ram/RAMDIS1,ram/RAMDIS1_D,CLK_FSB,'0','0'); FDCPE_ram/RAMDIS1: FDCPE port map (ram/RAMDIS1,ram/RAMDIS1_D,CLK_FSB,'0','0');
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;ram/RAMDIS1_D <= ((ram/RAMDIS2.EXP) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;ram/RAMDIS1_D <= ((nOE_OBUF.EXP)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(22) AND NOT A_FSB(21) AND NOT cnt/RefDone AND NOT nAS_FSB AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(22) AND NOT A_FSB(21) AND NOT cnt/RefDone AND NOT nAS_FSB AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(22) AND NOT A_FSB(21) AND NOT cnt/RefDone AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(22) AND NOT A_FSB(21) AND NOT cnt/RefDone AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(22) AND cs/nOverlay1 AND NOT cnt/RefDone AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(22) AND NOT cnt/RefDone AND cs/nOverlay1 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(22) AND cs/nOverlay1 AND NOT cnt/RefDone AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(22) AND NOT cnt/RefDone AND cs/nOverlay1 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(22) AND NOT cs/nOverlay1 AND NOT cnt/RefDone AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(22) AND NOT cnt/RefDone AND NOT cs/nOverlay1 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(23) AND NOT cnt/RefDone AND NOT ram/RS_FSM_FFd1 AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(23) AND NOT cnt/RefDone AND NOT ram/RS_FSM_FFd1 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7)) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7))
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(22) AND NOT cs/nOverlay1 AND NOT cnt/RefDone AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(22) AND NOT cnt/RefDone AND NOT cs/nOverlay1 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (ram/Once AND NOT cnt/RefDone AND NOT ram/RS_FSM_FFd1 AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (ram/Once AND NOT cnt/RefDone AND NOT ram/RS_FSM_FFd1 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7)) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7))
@ -819,29 +829,29 @@ FDCPE_ram/RAMDIS1: FDCPE port map (ram/RAMDIS1,ram/RAMDIS1_D,CLK_FSB,'0','0');
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT ram/BACTr AND fsb/ASrf)); <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT ram/BACTr AND fsb/ASrf));
</td></tr><tr><td> </td></tr><tr><td>
FTCPE_ram/RAMDIS2: FTCPE port map (ram/RAMDIS2,ram/RAMDIS2_T,CLK_FSB,'0','0'); FTCPE_ram/RAMDIS2: FTCPE port map (ram/RAMDIS2,ram/RAMDIS2_T,CLK_FSB,'0','0');
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;ram/RAMDIS2_T <= ((ram/Once AND NOT cnt/RefDone AND NOT ram/RAMDIS2 AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;ram/RAMDIS2_T <= ((NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND ram/Once AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd3 AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT cnt/RefDone AND NOT cs/nOverlay1 AND NOT ram/RAMDIS2 AND NOT nAS_FSB AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7) AND fsb/ASrf)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND ram/Once AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT cnt/RefDone AND NOT ram/RAMDIS2 AND NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND cnt/RefCnt(5) AND cnt/RefCnt(6) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; cnt/RefCnt(7))
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND ram/Once AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT cnt/RefDone AND NOT ram/RAMDIS2 AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT ram/RS_FSM_FFd3 AND cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; fsb/ASrf)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT cs/nOverlay1 AND ram/Once AND NOT cnt/RefDone AND NOT ram/RAMDIS2 AND NOT nAS_FSB AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7)) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7))
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND ram/Once AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT cs/nOverlay1 AND ram/Once AND NOT cnt/RefDone AND NOT ram/RAMDIS2 AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT cnt/RefDone AND NOT cs/nOverlay1 AND NOT ram/RAMDIS2 AND NOT ram/RS_FSM_FFd2 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND cnt/RefCnt(5) AND cnt/RefCnt(6) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7) AND fsb/ASrf) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; cnt/RefCnt(7) AND fsb/ASrf)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (ram/RAMDIS2 AND nAS_FSB AND NOT fsb/ASrf) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (ram/RAMDIS2 AND nAS_FSB AND NOT fsb/ASrf)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (ram/Once AND NOT cnt/RefDone AND NOT ram/RAMDIS2 AND NOT nAS_FSB AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (ram/Once AND NOT cnt/RefDone AND NOT ram/RAMDIS2 AND NOT nAS_FSB AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd3 AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd3 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7))); <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7))
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (ram/Once AND NOT cnt/RefDone AND NOT ram/RAMDIS2 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd3 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7) AND fsb/ASrf)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND NOT A_FSB(22) AND ram/Once AND NOT cnt/RefDone AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; cs/nOverlay1 AND NOT ram/RAMDIS2 AND NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND cnt/RefCnt(5) AND cnt/RefCnt(6) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; cnt/RefCnt(7))
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND NOT A_FSB(22) AND ram/Once AND NOT cnt/RefDone AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; cs/nOverlay1 AND NOT ram/RAMDIS2 AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT ram/RS_FSM_FFd3 AND cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; fsb/ASrf));
</td></tr><tr><td> </td></tr><tr><td>
FDCPE_ram/RAMReady: FDCPE port map (ram/RAMReady,ram/RAMReady_D,CLK_FSB,'0','0'); FDCPE_ram/RAMReady: FDCPE port map (ram/RAMReady,ram/RAMReady_D,CLK_FSB,'0','0');
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;ram/RAMReady_D <= ((ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;ram/RAMReady_D <= ((ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3)
@ -852,33 +862,33 @@ FDCPE_ram/RAMReady: FDCPE port map (ram/RAMReady,ram/RAMReady_D,CLK_FSB,'0','0')
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(23) AND NOT cnt/RefDone AND NOT ram/RS_FSM_FFd1 AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(23) AND NOT cnt/RefDone AND NOT ram/RS_FSM_FFd1 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT ram/BACTr AND fsb/ASrf) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT ram/BACTr AND fsb/ASrf)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (ram/RS_FSM_FFd2.EXP) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (ram/RS_FSM_FFd2.EXP)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND NOT ram/Once AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT ram/Once AND cs/nOverlay1 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT nAS_FSB AND NOT ram/RS_FSM_FFd1) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT nAS_FSB AND NOT ram/RS_FSM_FFd1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND NOT ram/Once AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT ram/Once AND cs/nOverlay1 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT ram/RS_FSM_FFd1 AND fsb/ASrf) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT ram/RS_FSM_FFd1 AND fsb/ASrf)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(22) AND cs/nOverlay1 AND NOT cnt/RefDone AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(22) AND NOT cnt/RefDone AND cs/nOverlay1 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(22) AND NOT cs/nOverlay1 AND NOT cnt/RefDone AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(22) AND NOT cnt/RefDone AND NOT cs/nOverlay1 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND NOT ram/Once AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT cs/nOverlay1 AND NOT ram/Once AND NOT ram/RS_FSM_FFd1 AND fsb/ASrf) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT cs/nOverlay1 AND NOT ram/RS_FSM_FFd1 AND fsb/ASrf)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(22) AND cs/nOverlay1 AND NOT cnt/RefDone AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(22) AND NOT cnt/RefDone AND cs/nOverlay1 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(22) AND NOT cs/nOverlay1 AND NOT cnt/RefDone AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(22) AND NOT cnt/RefDone AND NOT cs/nOverlay1 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(21) AND NOT cs/nOverlay1 AND NOT cnt/RefDone AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(21) AND NOT cnt/RefDone AND NOT cs/nOverlay1 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(21) AND NOT cs/nOverlay1 AND NOT cnt/RefDone AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(21) AND NOT cnt/RefDone AND NOT cs/nOverlay1 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND NOT ram/Once AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT cs/nOverlay1 AND NOT ram/Once AND NOT nAS_FSB AND NOT ram/RS_FSM_FFd1)); <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT cs/nOverlay1 AND NOT nAS_FSB AND NOT ram/RS_FSM_FFd1));
</td></tr><tr><td> </td></tr><tr><td>
FDCPE_ram/RASEL: FDCPE port map (ram/RASEL,ram/RASEL_D,CLK_FSB,'0','0'); FDCPE_ram/RASEL: FDCPE port map (ram/RASEL,ram/RASEL_D,CLK_FSB,'0','0');
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;ram/RASEL_D <= ((A_FSB(22) AND cs/nOverlay1 AND NOT cnt/RefDone AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;ram/RASEL_D <= ((A_FSB(22) AND NOT cnt/RefDone AND cs/nOverlay1 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(22) AND NOT cs/nOverlay1 AND NOT cnt/RefDone AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(22) AND NOT cnt/RefDone AND NOT cs/nOverlay1 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(22) AND NOT cs/nOverlay1 AND NOT cnt/RefDone AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(22) AND NOT cnt/RefDone AND NOT cs/nOverlay1 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT cnt/RefDone AND nAS_FSB AND NOT ram/RS_FSM_FFd2 AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT cnt/RefDone AND nAS_FSB AND NOT ram/RS_FSM_FFd2 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT ram/RS_FSM_FFd1 AND cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7) AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT ram/RS_FSM_FFd1 AND cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7) AND
@ -886,16 +896,16 @@ FDCPE_ram/RASEL: FDCPE port map (ram/RASEL,ram/RASEL_D,CLK_FSB,'0','0');
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT cnt/RefDone AND nAS_FSB AND ram/RS_FSM_FFd1 AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT cnt/RefDone AND nAS_FSB AND ram/RS_FSM_FFd1 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; ram/RS_FSM_FFd3 AND cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7) AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; ram/RS_FSM_FFd3 AND cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT fsb/ASrf) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT fsb/ASrf)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (EXP38_.EXP) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (EXP26_.EXP)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND NOT ram/Once AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT ram/Once AND cs/nOverlay1 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND NOT ram/Once AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT ram/Once AND cs/nOverlay1 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND fsb/ASrf) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND fsb/ASrf)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(22) AND NOT A_FSB(21) AND NOT cnt/RefDone AND NOT nAS_FSB AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(22) AND NOT A_FSB(21) AND NOT cnt/RefDone AND NOT nAS_FSB AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(22) AND NOT A_FSB(21) AND NOT cnt/RefDone AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(22) AND NOT A_FSB(21) AND NOT cnt/RefDone AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(22) AND cs/nOverlay1 AND NOT cnt/RefDone AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(22) AND NOT cnt/RefDone AND cs/nOverlay1 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd3) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd3)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND
@ -909,20 +919,20 @@ FDCPE_ram/RASEL: FDCPE port map (ram/RASEL,ram/RASEL_D,CLK_FSB,'0','0');
</td></tr><tr><td> </td></tr><tr><td>
FTCPE_ram/RS_FSM_FFd1: FTCPE port map (ram/RS_FSM_FFd1,ram/RS_FSM_FFd1_T,CLK_FSB,'0','0'); FTCPE_ram/RS_FSM_FFd1: FTCPE port map (ram/RS_FSM_FFd1,ram/RS_FSM_FFd1_T,CLK_FSB,'0','0');
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;ram/RS_FSM_FFd1_T <= ((ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd3) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;ram/RS_FSM_FFd1_T <= ((ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd3)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND NOT ram/Once AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT ram/Once AND cs/nOverlay1 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT ram/RS_FSM_FFd3) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT ram/RS_FSM_FFd3)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND NOT ram/Once AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT ram/Once AND cs/nOverlay1 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND fsb/ASrf) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND fsb/ASrf)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND NOT ram/Once AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT cs/nOverlay1 AND NOT ram/Once AND NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT cs/nOverlay1 AND NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT ram/RS_FSM_FFd3)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND NOT ram/Once AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT cs/nOverlay1 AND NOT ram/Once AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT cs/nOverlay1 AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT ram/RS_FSM_FFd3 AND fsb/ASrf)); <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT ram/RS_FSM_FFd3 AND fsb/ASrf));
</td></tr><tr><td> </td></tr><tr><td>
FTCPE_ram/RS_FSM_FFd2: FTCPE port map (ram/RS_FSM_FFd2,ram/RS_FSM_FFd2_T,CLK_FSB,'0','0'); FTCPE_ram/RS_FSM_FFd2: FTCPE port map (ram/RS_FSM_FFd2,ram/RS_FSM_FFd2_T,CLK_FSB,'0','0');
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;ram/RS_FSM_FFd2_T <= ((ram/RS_FSM_FFd3.EXP) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;ram/RS_FSM_FFd2_T <= ((EXP28_.EXP)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT cnt/RefCnt(5) AND ram/BACTr) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT cnt/RefCnt(5) AND ram/BACTr)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND
@ -941,7 +951,22 @@ FTCPE_ram/RS_FSM_FFd2: FTCPE port map (ram/RS_FSM_FFd2,ram/RS_FSM_FFd2_T,CLK_FSB
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT cnt/RefCnt(7))); <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT cnt/RefCnt(7)));
</td></tr><tr><td> </td></tr><tr><td>
FTCPE_ram/RS_FSM_FFd3: FTCPE port map (ram/RS_FSM_FFd3,ram/RS_FSM_FFd3_T,CLK_FSB,'0','0'); FTCPE_ram/RS_FSM_FFd3: FTCPE port map (ram/RS_FSM_FFd3,ram/RS_FSM_FFd3_T,CLK_FSB,'0','0');
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;ram/RS_FSM_FFd3_T <= ((TimeoutA.EXP) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;ram/RS_FSM_FFd3_T <= ((A_FSB(22) AND NOT A_FSB(21) AND NOT ram/RS_FSM_FFd2 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(22) AND cs/nOverlay1 AND NOT ram/RS_FSM_FFd2 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(22) AND NOT cs/nOverlay1 AND NOT ram/RS_FSM_FFd2 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT ram/RS_FSM_FFd3 AND NOT fsb/ASrf)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT cnt/RefDone AND NOT nAS_FSB AND ram/RS_FSM_FFd2 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd3 AND cnt/RefCnt(5) AND cnt/RefCnt(6) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; cnt/RefCnt(7))
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT cnt/RefDone AND ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd1 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; ram/RS_FSM_FFd3 AND cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; fsb/ASrf)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(23) AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT ram/RS_FSM_FFd3)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (ram/Once AND cnt/RefDone AND NOT ram/RS_FSM_FFd2 AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (ram/Once AND cnt/RefDone AND NOT ram/RS_FSM_FFd2 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (ram/Once AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (ram/Once AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND
@ -949,11 +974,7 @@ FTCPE_ram/RS_FSM_FFd3: FTCPE port map (ram/RS_FSM_FFd3,ram/RS_FSM_FFd3_T,CLK_FSB
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (ram/Once AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (ram/Once AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT ram/RS_FSM_FFd3 AND NOT cnt/RefCnt(6)) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT ram/RS_FSM_FFd3 AND NOT cnt/RefCnt(6))
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (ram/Once AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (ram/Once AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT ram/RS_FSM_FFd3 AND NOT cnt/RefCnt(7)) <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT ram/RS_FSM_FFd3 AND NOT cnt/RefCnt(7)));
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT ram/RS_FSM_FFd3 AND NOT fsb/ASrf)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(23) AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT ram/RS_FSM_FFd3));
</td></tr><tr><td> </td></tr><tr><td>
Register Legend: Register Legend:
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; FDCPE (Q,D,C,CLR,PRE,CE); <br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; FDCPE (Q,D,C,CLR,PRE,CE);

Binary file not shown.

Before

Width:  |  Height:  |  Size: 816 B

Binary file not shown.

Before

Width:  |  Height:  |  Size: 1.5 KiB

File diff suppressed because it is too large Load Diff

File diff suppressed because it is too large Load Diff

View File

@ -5,7 +5,10 @@
</head> </head>
<body class="pgBgnd" id="XC95144XL"> <body class="pgBgnd" id="XC95144XL">
<span id="error" class="pgRef"><h3 align="center">Errors and Warnings</h3> <span id="error" class="pgRef"><h3 align="center">Errors and Warnings</h3>
<b>There are 0 error(s), 1 warning(s), and 0 information.</b><br><br><table width="90%" border="1" cellpadding="0" cellspacing="0"><tr><td>[Warning]:Cpld - Unable to retrieve the path to the iSE Project Repository. Will use the default filename of 'MXSE.ise'.INFO:Cpld - Inferring BUFG constraint for signal 'CLK2X_IOB' based upon the LOC constraint 'P22'. It is recommended that you declare this BUFG explicitedly in your design. Note that for certain device families the output of a BUFG constraint can not drive a gated clock, and the BUFG constraint will be ignored.INFO:Cpld - Inferring BUFG constraint for signal 'CLK_FSB' based upon the LOC constraint 'P27'. It is recommended that you declare this BUFG explicitedly in your design. Note that for certain device families the output of a BUFG constraint can not drive a gated clock, and the BUFG constraint will be ignored.INFO:Cpld - Inferring BUFG constraint for signal 'CLK_IOB' based upon the LOC constraint 'P23'. It is recommended that you declare this BUFG explicitedly in your design. Note that for certain device families the output of a BUFG constraint can not drive a gated clock, and the BUFG constraint will be ignored.</td></tr></table></span><form><span class="pgRef"><table width="90%" align="center"><tr> <b>There are 0 error(s), 2 warning(s), and 0 information.</b><br><br><table width="90%" border="1" cellpadding="0" cellspacing="0">
<tr><td>[Warning]:Cpld - Unable to retrieve the path to the iSE Project Repository. Will use the default filename of 'MXSE.ise'.INFO:Cpld - Inferring BUFG constraint for signal 'CLK2X_IOB' based upon the LOC constraint 'P22'. It is recommended that you declare this BUFG explicitedly in your design. Note that for certain device families the output of a BUFG constraint can not drive a gated clock, and the BUFG constraint will be ignored.INFO:Cpld - Inferring BUFG constraint for signal 'CLK_FSB' based upon the LOC constraint 'P27'. It is recommended that you declare this BUFG explicitedly in your design. Note that for certain device families the output of a BUFG constraint can not drive a gated clock, and the BUFG constraint will be ignored.INFO:Cpld - Inferring BUFG constraint for signal 'CLK_IOB' based upon the LOC constraint 'P23'. It is recommended that you declare this BUFG explicitedly in your design. Note that for certain device families the output of a BUFG constraint can not drive a gated clock, and the BUFG constraint will be ignored.</td></tr>
<tr><td>[Warning]:Cpld:1007 - Removing unused input(s) 'SW&lt;2&gt;'. The input(s) are unused after optimization. Please verify functionality via simulation.</td></tr>
</table></span><form><span class="pgRef"><table width="90%" align="center"><tr>
<td align="left"><input type="button" onclick="javascript:parent.leftnav.showTop()" onmouseover="window.status='goto top of page'; return true;" onmouseout="window.status=''" value="back to top"></td> <td align="left"><input type="button" onclick="javascript:parent.leftnav.showTop()" onmouseover="window.status='goto top of page'; return true;" onmouseout="window.status=''" value="back to top"></td>
<td align="right"><input type="button" onclick="window.print()" onmouseover="window.status='print page'; return true;" onmouseout="window.status=''" value="print page"></td> <td align="right"><input type="button" onclick="window.print()" onmouseover="window.status='print page'; return true;" onmouseout="window.status=''" value="print page"></td>
</tr></table></span></form> </tr></table></span></form>

View File

@ -1 +0,0 @@
function showFailTable() { parent.leftnav.showFailTable(); }

View File

@ -1,77 +0,0 @@
<html>
<head>
<meta http-equiv="Content-Type" content="text/html; charset=UTF-8">
<script src="fbs.js"></script><link rel="stylesheet" type="text/css" href="style.css">
</head>
<body class="pgBgnd" id="XC95144XL">
<span id="fbsum" class="pgRef"><h3 align="center">Function Blocks</h3>
<table align="center" width="90%" border="1" cellpadding="0" cellspacing="0">
<tr class="pgHeader">
<th>Function Block</th>
<th>Macrocells Used/Total</th>
<th>Function Block Inputs Used/Total</th>
<th>Product Terms Used/Total</th>
<th>Pins Used/Total</th>
</tr>
<tr>
<td align="center"><a href="javascript:showFBDetail('FB1');" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB1</a></td>
<td align="center">9 / 18</td>
<td align="center">36 / 54</td>
<td align="center">80 / 90</td>
<td align="center">10 / 11</td>
</tr>
<tr>
<td align="center"><a href="javascript:showFBDetail('FB2');" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB2</a></td>
<td align="center">18 / 18</td>
<td align="center">35 / 54</td>
<td align="center">34 / 90</td>
<td align="center">6 / 10</td>
</tr>
<tr>
<td align="center"><a href="javascript:showFBDetail('FB3');" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB3</a></td>
<td align="center">14 / 18</td>
<td align="center">35 / 54</td>
<td align="center">77 / 90</td>
<td align="center">5 / 10</td>
</tr>
<tr>
<td align="center"><a href="javascript:showFBDetail('FB4');" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB4</a></td>
<td align="center">10 / 18</td>
<td align="center">36 / 54</td>
<td align="center">82 / 90</td>
<td align="center">10 / 10</td>
</tr>
<tr>
<td align="center"><a href="javascript:showFBDetail('FB5');" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB5</a></td>
<td align="center">17 / 18</td>
<td align="center">35 / 54</td>
<td align="center">47 / 90</td>
<td align="center">4 / 10</td>
</tr>
<tr>
<td align="center"><a href="javascript:showFBDetail('FB6');" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB6</a></td>
<td align="center">18 / 18</td>
<td align="center">24 / 54</td>
<td align="center">37 / 90</td>
<td align="center">10 / 10</td>
</tr>
<tr>
<td align="center"><a href="javascript:showFBDetail('FB7');" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB7</a></td>
<td align="center">17 / 18</td>
<td align="center">35 / 54</td>
<td align="center">76 / 90</td>
<td align="center">10 / 10</td>
</tr>
<tr>
<td align="center"><a href="javascript:showFBDetail('FB8');" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB8</a></td>
<td align="center">7 / 18</td>
<td align="center">8 / 54</td>
<td align="center">6 / 90</td>
<td align="center">10 / 10</td>
</tr>
</table></span><form><span class="pgRef"><table width="90%" align="center"><tr>
<td align="left"><input type="button" onclick="javascript:parent.leftnav.showTop()" onmouseover="window.status='goto top of page'; return true;" onmouseout="window.status=''" value="back to top"></td>
<td align="right"><input type="button" onclick="window.print()" onmouseover="window.status='print page'; return true;" onmouseout="window.status=''" value="print page"></td>
</tr></table></span></form>
</body>
</html>

View File

@ -16,35 +16,35 @@
<tr> <tr>
<td align="center"><a href="javascript:showFBDetail('FB1');" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB1</a></td> <td align="center"><a href="javascript:showFBDetail('FB1');" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB1</a></td>
<td align="center">18 / 18</td> <td align="center">18 / 18</td>
<td align="center">16 / 54</td> <td align="center">21 / 54</td>
<td align="center">17 / 90</td> <td align="center">23 / 90</td>
<td align="center">10 / 11</td> <td align="center">10 / 11</td>
</tr> </tr>
<tr> <tr>
<td align="center"><a href="javascript:showFBDetail('FB2');" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB2</a></td> <td align="center"><a href="javascript:showFBDetail('FB2');" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB2</a></td>
<td align="center">5 / 18</td> <td align="center">13 / 18</td>
<td align="center">3 / 54</td> <td align="center">9 / 54</td>
<td align="center">5 / 90</td> <td align="center">12 / 90</td>
<td align="center">8 / 10</td> <td align="center">8 / 10</td>
</tr> </tr>
<tr> <tr>
<td align="center"><a href="javascript:showFBDetail('FB3');" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB3</a></td> <td align="center"><a href="javascript:showFBDetail('FB3');" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB3</a></td>
<td align="center">6 / 18</td> <td align="center">7 / 18</td>
<td align="center">39 / 54</td> <td align="center">38 / 54</td>
<td align="center">81 / 90</td> <td align="center">81 / 90</td>
<td align="center">9 / 10</td> <td align="center">9 / 10</td>
</tr> </tr>
<tr> <tr>
<td align="center"><a href="javascript:showFBDetail('FB4');" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB4</a></td> <td align="center"><a href="javascript:showFBDetail('FB4');" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB4</a></td>
<td align="center">18 / 18</td> <td align="center">18 / 18</td>
<td align="center">29 / 54</td> <td align="center">41 / 54</td>
<td align="center">34 / 90</td> <td align="center">41 / 90</td>
<td align="center">9 / 10</td> <td align="center">10 / 10</td>
</tr> </tr>
<tr> <tr>
<td align="center"><a href="javascript:showFBDetail('FB5');" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB5</a></td> <td align="center"><a href="javascript:showFBDetail('FB5');" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB5</a></td>
<td align="center">13 / 18</td> <td align="center">14 / 18</td>
<td align="center">31 / 54</td> <td align="center">42 / 54</td>
<td align="center">81 / 90</td> <td align="center">81 / 90</td>
<td align="center">8 / 10</td> <td align="center">8 / 10</td>
</tr> </tr>
@ -52,22 +52,22 @@
<td align="center"><a href="javascript:showFBDetail('FB6');" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB6</a></td> <td align="center"><a href="javascript:showFBDetail('FB6');" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB6</a></td>
<td align="center">18 / 18</td> <td align="center">18 / 18</td>
<td align="center">34 / 54</td> <td align="center">34 / 54</td>
<td align="center">62 / 90</td> <td align="center">64 / 90</td>
<td align="center">10 / 10</td> <td align="center">10 / 10</td>
</tr> </tr>
<tr> <tr>
<td align="center"><a href="javascript:showFBDetail('FB7');" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB7</a></td> <td align="center"><a href="javascript:showFBDetail('FB7');" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB7</a></td>
<td align="center">15 / 18</td> <td align="center">15 / 18</td>
<td align="center">39 / 54</td> <td align="center">36 / 54</td>
<td align="center">73 / 90</td> <td align="center">75 / 90</td>
<td align="center">6 / 10</td> <td align="center">10 / 10</td>
</tr> </tr>
<tr> <tr>
<td align="center"><a href="javascript:showFBDetail('FB8');" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB8</a></td> <td align="center"><a href="javascript:showFBDetail('FB8');" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB8</a></td>
<td align="center">12 / 18</td> <td align="center">12 / 18</td>
<td align="center">36 / 54</td> <td align="center">37 / 54</td>
<td align="center">81 / 90</td> <td align="center">81 / 90</td>
<td align="center">5 / 10</td> <td align="center">7 / 10</td>
</tr> </tr>
</table></span><form><span class="pgRef"><table width="90%" align="center"><tr> </table></span><form><span class="pgRef"><table width="90%" align="center"><tr>
<td align="left"><input type="button" onclick="javascript:parent.leftnav.showTop()" onmouseover="window.status='goto top of page'; return true;" onmouseout="window.status=''" value="back to top"></td> <td align="left"><input type="button" onclick="javascript:parent.leftnav.showTop()" onmouseover="window.status='goto top of page'; return true;" onmouseout="window.status=''" value="back to top"></td>

View File

@ -1,265 +0,0 @@
<html>
<head>
<meta http-equiv="Content-Type" content="text/html; charset=UTF-8">
<script src="tooltips.js"></script><script src="fbs.js"></script><link rel="stylesheet" type="text/css" href="style.css">
</head>
<body class="pgBgnd" id="XC95144XL">
<span id="fbsel" class="pgRef"><table cellspacing="0" cellpadding="0" border="0" width="90%" align="center"><tr>
<td width="33%" valign="center" align="left"></td>
<td width="33%" valign="center" align="center"><form name="fbopt"><select onchange="javascript:showFB(document.fbopt.fbType.options[document.fbopt.fbType.options.selectedIndex].value)" name="fbType"><option value="FB1" selected>FB1</option>
<option value="FB2">FB2</option>
<option value="FB3">FB3</option>
<option value="FB4">FB4</option>
<option value="FB5">FB5</option>
<option value="FB6">FB6</option>
<option value="FB7">FB7</option>
<option value="FB8">FB8</option></select></form></td>
<td width="33%" valign="center" align="right"></td>
</tr></table></span><div><span id="fbdata" class="pgRef"><table align="center" width="90%" border="1" cellpadding="0" cellspacing="0">
<tr class="pgHeader">
<th width="10%">Signal Name</th>
<th width="10%">Total Product Terms</th>
<th width="30%">Product Terms</th>
<th width="10%">Location</th>
<th width="10%">Power Mode</th>
<th width="10%">Pin Number</th>
<th width="10%">PinType</th>
<th width="10%">Pin Use</th>
</tr>
<tr>
<td align="center" width="10%">(unused)</td>
<td align="center" width="10%">0</td>
<td align="center" width="30%"> </td>
<td align="center" width="10%">MC1</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">(b)</td>
<td align="center" width="10%">(b)</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('nDTACK_FSB')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nDTACK_FSB</a></td>
<td align="center" width="10%">23</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB1_18_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_1</a> <a href="Javascript:showPT('FB1_18_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_2</a> <a href="Javascript:showPT('FB1_18_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_3</a> <a href="Javascript:showPT('FB1_18_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_4</a> <a href="Javascript:showPT('FB1_18_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_5</a> <a href="Javascript:showPT('FB1_1_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_1</a> <a href="Javascript:showPT('FB1_1_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_2</a> <a href="Javascript:showPT('FB1_1_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_3</a> <a href="Javascript:showPT('FB1_1_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_4</a> <a href="Javascript:showPT('FB1_1_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_5</a> <a href="Javascript:showPT('FB1_2_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_1</a> <a href="Javascript:showPT('FB1_2_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_2</a> <a href="Javascript:showPT('FB1_2_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_3</a> <a href="Javascript:showPT('FB1_2_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_4</a> <a href="Javascript:showPT('FB1_2_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_5</a> <a href="Javascript:showPT('FB1_3_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_1</a> <a href="Javascript:showPT('FB1_3_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_2</a> <a href="Javascript:showPT('FB1_3_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_3</a> <a href="Javascript:showPT('FB1_3_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_4</a> <a href="Javascript:showPT('FB1_3_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_5</a> <a href="Javascript:showPT('FB1_4_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">4_3</a> <a href="Javascript:showPT('FB1_4_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">4_4</a> <a href="Javascript:showPT('FB1_4_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">4_5</a>
</td>
<td align="center" width="10%">MC2</td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%">11</td>
<td width="8%" align="center">I/O</td>
<td align="center" width="10%">O</td>
</tr>
<tr>
<td align="center" width="10%">(unused)</td>
<td align="center" width="10%">0</td>
<td align="center" width="30%"> </td>
<td align="center" width="10%">MC3</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">12</td>
<td width="8%" align="center">I/O</td>
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB&lt;9&gt;'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('OpTxFX_DC606_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">$OpTx$FX_DC$606</a></td>
<td align="center" width="10%">5</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB1_4_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">4_1</a> <a href="Javascript:showPT('FB1_4_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">4_2</a> <a href="Javascript:showPT('FB1_5_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">5_1</a> <a href="Javascript:showPT('FB1_5_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">5_2</a> <a href="Javascript:showPT('FB1_5_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">5_3</a>
</td>
<td align="center" width="10%">MC4</td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">(b)</td>
<td align="center" width="10%">(b)</td>
</tr>
<tr>
<td align="center" width="10%">(unused)</td>
<td align="center" width="10%">0</td>
<td align="center" width="30%"> </td>
<td align="center" width="10%">MC5</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">13</td>
<td width="8%" align="center">I/O</td>
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'nAS_FSB'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('nBERR_FSB')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nBERR_FSB</a></td>
<td align="center" width="10%">3</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB1_6_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">6_1</a> <a href="Javascript:showPT('FB1_6_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">6_2</a> <a href="Javascript:showPT('FB1_6_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">6_3</a>
</td>
<td align="center" width="10%">MC6</td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%">14</td>
<td width="8%" align="center">I/O</td>
<td align="center" width="10%">O</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('fsbReady1r_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">fsb/Ready1r</a></td>
<td align="center" width="10%">7</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB1_6_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">6_4</a> <a href="Javascript:showPT('FB1_6_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">6_5</a> <a href="Javascript:showPT('FB1_7_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_1</a> <a href="Javascript:showPT('FB1_7_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_2</a> <a href="Javascript:showPT('FB1_7_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_3</a> <a href="Javascript:showPT('FB1_7_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_4</a> <a href="Javascript:showPT('FB1_7_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_5</a>
</td>
<td align="center" width="10%">MC7</td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">(b)</td>
<td align="center" width="10%">(b)</td>
</tr>
<tr>
<td align="center" width="10%">(unused)</td>
<td align="center" width="10%">0</td>
<td align="center" width="30%"> </td>
<td align="center" width="10%">MC8</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">15</td>
<td width="8%" align="center">I/O</td>
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB&lt;5&gt;'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
</tr>
<tr>
<td align="center" width="10%">(unused)</td>
<td align="center" width="10%">0</td>
<td align="center" width="30%"> </td>
<td align="center" width="10%">MC9</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">16</td>
<td width="8%" align="center">I/O</td>
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB&lt;2&gt;'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('fsbVPA__or00001fsbVPA__or00001_D2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">fsb/VPA__or00001/fsb/VPA__or00001_D2</a></td>
<td align="center" width="10%">8</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB1_10_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_1</a> <a href="Javascript:showPT('FB1_10_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_2</a> <a href="Javascript:showPT('FB1_10_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_3</a> <a href="Javascript:showPT('FB1_10_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_4</a> <a href="Javascript:showPT('FB1_10_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_5</a> <a href="Javascript:showPT('FB1_9_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_1</a> <a href="Javascript:showPT('FB1_9_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_2</a> <a href="Javascript:showPT('FB1_9_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_3</a>
</td>
<td align="center" width="10%">MC10</td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">(b)</td>
<td align="center" width="10%">(b)</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('nOE')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nOE</a></td>
<td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB1_11_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">11_1</a>
</td>
<td align="center" width="10%">MC11</td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%">17</td>
<td width="8%" align="center">I/O</td>
<td align="center" width="10%">O</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('fsbReady2r_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">fsb/Ready2r</a></td>
<td align="center" width="10%">9</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB1_11_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">11_2</a> <a href="Javascript:showPT('FB1_11_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">11_3</a> <a href="Javascript:showPT('FB1_11_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">11_4</a> <a href="Javascript:showPT('FB1_11_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">11_5</a> <a href="Javascript:showPT('FB1_12_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_1</a> <a href="Javascript:showPT('FB1_12_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_2</a> <a href="Javascript:showPT('FB1_12_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_3</a> <a href="Javascript:showPT('FB1_12_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_4</a> <a href="Javascript:showPT('FB1_12_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_5</a>
</td>
<td align="center" width="10%">MC12</td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%">18</td>
<td width="8%" align="center">I/O</td>
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'nBERR_IOB'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
</tr>
<tr>
<td align="center" width="10%">(unused)</td>
<td align="center" width="10%">0</td>
<td align="center" width="30%"> </td>
<td align="center" width="10%">MC13</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">(b)</td>
<td align="center" width="10%">(b)</td>
</tr>
<tr>
<td align="center" width="10%">(unused)</td>
<td align="center" width="10%">0</td>
<td align="center" width="30%"> </td>
<td align="center" width="10%">MC14</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">19</td>
<td width="8%" align="center">I/O</td>
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB&lt;6&gt;'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('fsbVPA_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">fsb/VPA</a></td>
<td align="center" width="10%">22</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB1_13_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_1</a> <a href="Javascript:showPT('FB1_13_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_2</a> <a href="Javascript:showPT('FB1_13_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_3</a> <a href="Javascript:showPT('FB1_13_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_4</a> <a href="Javascript:showPT('FB1_13_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_5</a> <a href="Javascript:showPT('FB1_14_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_1</a> <a href="Javascript:showPT('FB1_14_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_2</a> <a href="Javascript:showPT('FB1_14_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_3</a> <a href="Javascript:showPT('FB1_14_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_4</a> <a href="Javascript:showPT('FB1_14_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_5</a> <a href="Javascript:showPT('FB1_15_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_1</a> <a href="Javascript:showPT('FB1_15_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_2</a> <a href="Javascript:showPT('FB1_15_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_3</a> <a href="Javascript:showPT('FB1_15_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_4</a> <a href="Javascript:showPT('FB1_15_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_5</a> <a href="Javascript:showPT('FB1_16_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_1</a> <a href="Javascript:showPT('FB1_16_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_2</a> <a href="Javascript:showPT('FB1_16_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_3</a> <a href="Javascript:showPT('FB1_16_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_4</a> <a href="Javascript:showPT('FB1_16_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_5</a> <a href="Javascript:showPT('FB1_17_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_3</a> <a href="Javascript:showPT('FB1_17_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_4</a>
</td>
<td align="center" width="10%">MC15</td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%">20</td>
<td width="8%" align="center">I/O</td>
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB&lt;7&gt;'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
</tr>
<tr>
<td align="center" width="10%">(unused)</td>
<td align="center" width="10%">0</td>
<td align="center" width="30%"> </td>
<td align="center" width="10%">MC16</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">(b)</td>
<td align="center" width="10%">(b)</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('OpTxFX_DC602_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">$OpTx$FX_DC$602</a></td>
<td align="center" width="10%">2</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB1_17_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_1</a> <a href="Javascript:showPT('FB1_17_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_2</a>
</td>
<td align="center" width="10%">MC17</td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%">22</td>
<td width="8%" align="center">I/O/GCK1</td>
<td align="center" width="10%">GCK</td>
</tr>
<tr>
<td align="center" width="10%">(unused)</td>
<td align="center" width="10%">0</td>
<td align="center" width="30%"> </td>
<td align="center" width="10%">MC18</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">(b)</td>
<td align="center" width="10%">(b)</td>
</tr>
</table></span></div>
<div id="tipBox"></div>
<br><span id="fbsiguse" class="pgRef"><b>Signals Used By Logic in Function Block</b><br><ol>
<li><a href="Javascript:showEqn('OpTxFX_DC602_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">$OpTx$FX_DC$602</a></li>
<li><a href="Javascript:showEqn('OpTxFX_DC606_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">$OpTx$FX_DC$606</a></li>
<li>A_FSB&lt;10&gt;</li>
<li>A_FSB&lt;11&gt;</li>
<li>A_FSB&lt;12&gt;</li>
<li>A_FSB&lt;13&gt;</li>
<li>A_FSB&lt;14&gt;</li>
<li>A_FSB&lt;15&gt;</li>
<li>A_FSB&lt;16&gt;</li>
<li>A_FSB&lt;17&gt;</li>
<li>A_FSB&lt;18&gt;</li>
<li>A_FSB&lt;19&gt;</li>
<li>A_FSB&lt;20&gt;</li>
<li>A_FSB&lt;21&gt;</li>
<li>A_FSB&lt;22&gt;</li>
<li>A_FSB&lt;23&gt;</li>
<li>A_FSB&lt;8&gt;</li>
<li>A_FSB&lt;9&gt;</li>
<li><a href="Javascript:showEqn('BERR_IOBS')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">BERR_IOBS</a></li>
<li><a href="Javascript:showEqn('TimeoutA')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">TimeoutA</a></li>
<li><a href="Javascript:showEqn('TimeoutB')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">TimeoutB</a></li>
<li><a href="Javascript:showEqn('csnOverlay1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cs/nOverlay1</a></li>
<li><a href="Javascript:showEqn('fsbASrf_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">fsb/ASrf</a></li>
<li><a href="Javascript:showEqn('fsbBERR0r_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">fsb/BERR0r</a></li>
<li><a href="Javascript:showEqn('fsbBERR1r_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">fsb/BERR1r</a></li>
<li><a href="Javascript:showEqn('fsbReady0r_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">fsb/Ready0r</a></li>
<li><a href="Javascript:showEqn('fsbReady1r_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">fsb/Ready1r</a></li>
<li><a href="Javascript:showEqn('fsbReady2r_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">fsb/Ready2r</a></li>
<li><a href="Javascript:showEqn('fsbVPA_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">fsb/VPA</a></li>
<li><a href="Javascript:showEqn('fsbVPA__or00001fsbVPA__or00001_D2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">fsb/VPA__or00001/fsb/VPA__or00001_D2</a></li>
<li><a href="Javascript:showEqn('iobsIOReady_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/IOReady</a></li>
<li><a href="Javascript:showEqn('nADoutLE1')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nADoutLE1</a></li>
<li>nAS_FSB</li>
<li><a href="Javascript:showEqn('nDTACK_FSB')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nDTACK_FSB</a></li>
<li>nWE_FSB</li>
<li><a href="Javascript:showEqn('ramRAMReady_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RAMReady</a></li>
</ol></span><form><span class="pgRef"><table width="90%" align="center"><tr>
<td align="left"><input type="button" onclick="javascript:parent.leftnav.showTop()" onmouseover="window.status='goto top of page'; return true;" onmouseout="window.status=''" value="back to top"></td>
<td align="center"><table align="center" width="90%" border="0" cellpadding="0" cellspacing="0"><tr><td width="100%" align="center"><input type="button" onclick="javascript:showFB('FB2')" onmouseover="window.status='show next Function Block'; return true;" onmouseout="window.status=''" value="next"></td></tr></table></td>
<td align="right">
<input type="button" onclick="javascript:showLegend('logiclegend.htm')" onmouseover="window.status='show Legend'; return true;" onmouseout="window.status=''" value="legend"><input type="button" onclick="window.print()" onmouseover="window.status='print page'; return true;" onmouseout="window.status=''" value="print page">
</td>
</tr></table></span></form>
</body>
</html>

View File

@ -27,7 +27,7 @@
<th width="10%">Pin Use</th> <th width="10%">Pin Use</th>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('iobsIOACTr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/IOACTr</a></td> <td align="center" width="10%"><a href="Javascript:showEqn('ramBACTr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/BACTr</a></td>
<td align="center" width="10%">1</td> <td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB1_1_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_1</a> <td align="center" width="30%"> <a href="Javascript:showPT('FB1_1_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_1</a>
</td> </td>
@ -38,7 +38,7 @@
<td align="center" width="10%">(b)</td> <td align="center" width="10%">(b)</td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('iobmIOREQr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOREQr</a></td> <td align="center" width="10%"><a href="Javascript:showEqn('iobmRESrr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/RESrr</a></td>
<td align="center" width="10%">1</td> <td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB1_2_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_1</a> <td align="center" width="30%"> <a href="Javascript:showPT('FB1_2_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_1</a>
</td> </td>
@ -49,7 +49,7 @@
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB&lt;13&gt;'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td> <td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB&lt;13&gt;'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('iobmEr2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/Er2</a></td> <td align="center" width="10%"><a href="Javascript:showEqn('iobmRESrf_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/RESrf</a></td>
<td align="center" width="10%">1</td> <td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB1_3_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_1</a> <td align="center" width="30%"> <a href="Javascript:showPT('FB1_3_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_1</a>
</td> </td>
@ -60,7 +60,7 @@
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB&lt;14&gt;'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td> <td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB&lt;14&gt;'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('iobmDTACKrr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/DTACKrr</a></td> <td align="center" width="10%"><a href="Javascript:showEqn('fsbASrf_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">fsb/ASrf</a></td>
<td align="center" width="10%">1</td> <td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB1_4_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">4_1</a> <td align="center" width="30%"> <a href="Javascript:showPT('FB1_4_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">4_1</a>
</td> </td>
@ -71,7 +71,7 @@
<td align="center" width="10%">(b)</td> <td align="center" width="10%">(b)</td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('iobmDTACKrf_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/DTACKrf</a></td> <td align="center" width="10%"><a href="Javascript:showEqn('cntRefCnt2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/RefCnt&lt;2&gt;</a></td>
<td align="center" width="10%">1</td> <td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB1_5_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">5_1</a> <td align="center" width="30%"> <a href="Javascript:showPT('FB1_5_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">5_1</a>
</td> </td>
@ -82,7 +82,7 @@
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB&lt;15&gt;'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td> <td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB&lt;15&gt;'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('iobmBERRrr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/BERRrr</a></td> <td align="center" width="10%"><a href="Javascript:showEqn('cntRefCnt1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/RefCnt&lt;1&gt;</a></td>
<td align="center" width="10%">1</td> <td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB1_6_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">6_1</a> <td align="center" width="30%"> <a href="Javascript:showPT('FB1_6_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">6_1</a>
</td> </td>
@ -93,7 +93,7 @@
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB&lt;16&gt;'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td> <td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB&lt;16&gt;'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('iobmBERRrf_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/BERRrf</a></td> <td align="center" width="10%"><a href="Javascript:showEqn('RefAck')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">RefAck</a></td>
<td align="center" width="10%">1</td> <td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB1_7_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_1</a> <td align="center" width="30%"> <a href="Javascript:showPT('FB1_7_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_1</a>
</td> </td>
@ -104,7 +104,7 @@
<td align="center" width="10%">(b)</td> <td align="center" width="10%">(b)</td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('fsbASrf_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">fsb/ASrf</a></td> <td align="center" width="10%"><a href="Javascript:showEqn('RESr2')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">RESr2</a></td>
<td align="center" width="10%">1</td> <td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB1_8_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_1</a> <td align="center" width="30%"> <a href="Javascript:showPT('FB1_8_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_1</a>
</td> </td>
@ -115,7 +115,7 @@
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB&lt;17&gt;'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td> <td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB&lt;17&gt;'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('cntRefCnt7_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/RefCnt&lt;7&gt;</a></td> <td align="center" width="10%"><a href="Javascript:showEqn('RESr1')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">RESr1</a></td>
<td align="center" width="10%">1</td> <td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB1_9_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_1</a> <td align="center" width="30%"> <a href="Javascript:showPT('FB1_9_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_1</a>
</td> </td>
@ -126,7 +126,7 @@
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB&lt;18&gt;'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td> <td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB&lt;18&gt;'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('cntRefCnt6_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/RefCnt&lt;6&gt;</a></td> <td align="center" width="10%"><a href="Javascript:showEqn('RESr0')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">RESr0</a></td>
<td align="center" width="10%">1</td> <td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB1_10_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_1</a> <td align="center" width="30%"> <a href="Javascript:showPT('FB1_10_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_1</a>
</td> </td>
@ -137,7 +137,7 @@
<td align="center" width="10%">(b)</td> <td align="center" width="10%">(b)</td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('cntRefCnt5_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/RefCnt&lt;5&gt;</a></td> <td align="center" width="10%"><a href="Javascript:showEqn('IPL2r1')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">IPL2r1</a></td>
<td align="center" width="10%">1</td> <td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB1_11_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">11_1</a> <td align="center" width="30%"> <a href="Javascript:showPT('FB1_11_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">11_1</a>
</td> </td>
@ -148,7 +148,7 @@
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB&lt;19&gt;'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td> <td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB&lt;19&gt;'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('cntRefCnt4_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/RefCnt&lt;4&gt;</a></td> <td align="center" width="10%"><a href="Javascript:showEqn('IPL2r0')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">IPL2r0</a></td>
<td align="center" width="10%">1</td> <td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB1_12_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_1</a> <td align="center" width="30%"> <a href="Javascript:showPT('FB1_12_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_1</a>
</td> </td>
@ -159,7 +159,7 @@
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB&lt;20&gt;'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td> <td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB&lt;20&gt;'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('cntRefCnt3_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/RefCnt&lt;3&gt;</a></td> <td align="center" width="10%"><a href="Javascript:showEqn('ALE0S')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ALE0S</a></td>
<td align="center" width="10%">1</td> <td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB1_13_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_1</a> <td align="center" width="30%"> <a href="Javascript:showPT('FB1_13_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_1</a>
</td> </td>
@ -170,7 +170,7 @@
<td align="center" width="10%">(b)</td> <td align="center" width="10%">(b)</td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('cntRefCnt2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/RefCnt&lt;2&gt;</a></td> <td align="center" width="10%"><a href="Javascript:showEqn('OpTxFX_DC708_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">$OpTx$FX_DC$708</a></td>
<td align="center" width="10%">1</td> <td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB1_14_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_1</a> <td align="center" width="30%"> <a href="Javascript:showPT('FB1_14_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_1</a>
</td> </td>
@ -181,9 +181,9 @@
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB&lt;21&gt;'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td> <td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB&lt;21&gt;'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('cntRefCnt1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/RefCnt&lt;1&gt;</a></td> <td align="center" width="10%"><a href="Javascript:showEqn('iobsIOU1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/IOU1</a></td>
<td align="center" width="10%">1</td> <td align="center" width="10%">2</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB1_15_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_1</a> <td align="center" width="30%"> <a href="Javascript:showPT('FB1_15_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_1</a> <a href="Javascript:showPT('FB1_15_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_2</a>
</td> </td>
<td align="center" width="10%">MC15</td> <td align="center" width="10%">MC15</td>
<td align="center" width="10%">STD</td> <td align="center" width="10%">STD</td>
@ -192,9 +192,9 @@
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB&lt;22&gt;'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td> <td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB&lt;22&gt;'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('cntRefCnt0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/RefCnt&lt;0&gt;</a></td> <td align="center" width="10%"><a href="Javascript:showEqn('iobsIOL1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/IOL1</a></td>
<td align="center" width="10%">0</td> <td align="center" width="10%">2</td>
<td align="center" width="30%"> <a href="Javascript:showPT('VCC')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''"></a> <td align="center" width="30%"> <a href="Javascript:showPT('FB1_16_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_1</a> <a href="Javascript:showPT('FB1_16_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_2</a>
</td> </td>
<td align="center" width="10%">MC16</td> <td align="center" width="10%">MC16</td>
<td align="center" width="10%">STD</td> <td align="center" width="10%">STD</td>
@ -203,9 +203,9 @@
<td align="center" width="10%">(b)</td> <td align="center" width="10%">(b)</td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('RefAck')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">RefAck</a></td> <td align="center" width="10%"><a href="Javascript:showEqn('iobmIOS_FSM_FFd1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOS_FSM_FFd1</a></td>
<td align="center" width="10%">1</td> <td align="center" width="10%">2</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB1_17_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_1</a> <td align="center" width="30%"> <a href="Javascript:showPT('FB1_17_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_1</a> <a href="Javascript:showPT('FB1_17_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_2</a>
</td> </td>
<td align="center" width="10%">MC17</td> <td align="center" width="10%">MC17</td>
<td align="center" width="10%">STD</td> <td align="center" width="10%">STD</td>
@ -214,9 +214,9 @@
<td align="center" width="10%">GCK</td> <td align="center" width="10%">GCK</td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('OpTxFX_DC609_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">$OpTx$FX_DC$609</a></td> <td align="center" width="10%"><a href="Javascript:showEqn('IOU0')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">IOU0</a></td>
<td align="center" width="10%">1</td> <td align="center" width="10%">3</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB1_18_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_1</a> <td align="center" width="30%"> <a href="Javascript:showPT('FB1_18_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_1</a> <a href="Javascript:showPT('FB1_18_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_2</a> <a href="Javascript:showPT('FB1_18_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_3</a>
</td> </td>
<td align="center" width="10%">MC18</td> <td align="center" width="10%">MC18</td>
<td align="center" width="10%">STD</td> <td align="center" width="10%">STD</td>
@ -227,20 +227,25 @@
</table></span></div> </table></span></div>
<div id="tipBox"></div> <div id="tipBox"></div>
<br><span id="fbsiguse" class="pgRef"><b>Signals Used By Logic in Function Block</b><br><ol> <br><span id="fbsiguse" class="pgRef"><b>Signals Used By Logic in Function Block</b><br><ol>
<li><a href="Javascript:showEqn('IOACT')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">IOACT</a></li> <li><a href="Javascript:showEqn('IPL2r0')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">IPL2r0</a></li>
<li><a href="Javascript:showEqn('IOREQ')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">IOREQ</a></li> <li><a href="Javascript:showEqn('RESr0')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">RESr0</a></li>
<li><a href="Javascript:showEqn('RESr1')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">RESr1</a></li>
<li><a href="Javascript:showEqn('cntRefCnt0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/RefCnt&lt;0&gt;</a></li> <li><a href="Javascript:showEqn('cntRefCnt0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/RefCnt&lt;0&gt;</a></li>
<li><a href="Javascript:showEqn('cntRefCnt1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/RefCnt&lt;1&gt;</a></li> <li><a href="Javascript:showEqn('cntRefCnt1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/RefCnt&lt;1&gt;</a></li>
<li><a href="Javascript:showEqn('cntRefCnt2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/RefCnt&lt;2&gt;</a></li>
<li><a href="Javascript:showEqn('cntRefCnt3_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/RefCnt&lt;3&gt;</a></li>
<li><a href="Javascript:showEqn('cntRefCnt4_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/RefCnt&lt;4&gt;</a></li>
<li><a href="Javascript:showEqn('cntRefCnt5_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/RefCnt&lt;5&gt;</a></li>
<li><a href="Javascript:showEqn('cntRefCnt6_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/RefCnt&lt;6&gt;</a></li>
<li><a href="Javascript:showEqn('fsbASrf_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">fsb/ASrf</a></li> <li><a href="Javascript:showEqn('fsbASrf_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">fsb/ASrf</a></li>
<li><a href="Javascript:showEqn('iobmEr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/Er</a></li> <li><a href="Javascript:showEqn('iobmIOS_FSM_FFd1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOS_FSM_FFd1</a></li>
<li><a href="Javascript:showEqn('iobmIOS_FSM_FFd2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOS_FSM_FFd2</a></li>
<li><a href="Javascript:showEqn('iobmIOS_FSM_FFd3_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOS_FSM_FFd3</a></li>
<li><a href="Javascript:showEqn('iobsIOU1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/IOU1</a></li>
<li><a href="Javascript:showEqn('iobsLoad1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/Load1</a></li>
<li><a href="Javascript:showEqn('iobsPS_FSM_FFd1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/PS_FSM_FFd1</a></li>
<li><a href="Javascript:showEqn('iobsPS_FSM_FFd2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/PS_FSM_FFd2</a></li>
<li><a href="Javascript:showEqn('nADoutLE1')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nADoutLE1</a></li>
<li>nAS_FSB</li> <li>nAS_FSB</li>
<li>nBERR_IOB</li> <li>nIPL2</li>
<li>nDTACK_IOB</li> <li>nLDS_FSB</li>
<li>nRES</li>
<li>nUDS_FSB</li>
<li><a href="Javascript:showEqn('ramRS_FSM_FFd1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RS_FSM_FFd1</a></li> <li><a href="Javascript:showEqn('ramRS_FSM_FFd1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RS_FSM_FFd1</a></li>
<li><a href="Javascript:showEqn('ramRS_FSM_FFd2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RS_FSM_FFd2</a></li> <li><a href="Javascript:showEqn('ramRS_FSM_FFd2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RS_FSM_FFd2</a></li>
</ol></span><form><span class="pgRef"><table width="90%" align="center"><tr> </ol></span><form><span class="pgRef"><table width="90%" align="center"><tr>

View File

@ -1,277 +0,0 @@
<html>
<head>
<meta http-equiv="Content-Type" content="text/html; charset=UTF-8">
<script src="tooltips.js"></script><script src="fbs.js"></script><link rel="stylesheet" type="text/css" href="style.css">
</head>
<body class="pgBgnd" id="XC95144XL">
<span id="fbsel" class="pgRef"><table cellspacing="0" cellpadding="0" border="0" width="90%" align="center"><tr>
<td width="33%" valign="center" align="left"></td>
<td width="33%" valign="center" align="center"><form name="fbopt"><select onchange="javascript:showFB(document.fbopt.fbType.options[document.fbopt.fbType.options.selectedIndex].value)" name="fbType"><option value="FB1">FB1</option>
<option value="FB2" selected>FB2</option>
<option value="FB3">FB3</option>
<option value="FB4">FB4</option>
<option value="FB5">FB5</option>
<option value="FB6">FB6</option>
<option value="FB7">FB7</option>
<option value="FB8">FB8</option></select></form></td>
<td width="33%" valign="center" align="right"></td>
</tr></table></span><div><span id="fbdata" class="pgRef"><table align="center" width="90%" border="1" cellpadding="0" cellspacing="0">
<tr class="pgHeader">
<th width="10%">Signal Name</th>
<th width="10%">Total Product Terms</th>
<th width="30%">Product Terms</th>
<th width="10%">Location</th>
<th width="10%">Power Mode</th>
<th width="10%">Pin Number</th>
<th width="10%">PinType</th>
<th width="10%">Pin Use</th>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('iobmVPArr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/VPArr</a></td>
<td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB2_1_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_1</a>
</td>
<td align="center" width="10%">MC1</td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">(b)</td>
<td align="center" width="10%">(b)</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('iobmVPArf_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/VPArf</a></td>
<td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB2_2_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_1</a>
</td>
<td align="center" width="10%">MC2</td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%">99</td>
<td width="8%" align="center">I/O/GSR</td>
<td align="center" width="10%">GSR/I</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('iobmRESrr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/RESrr</a></td>
<td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB2_3_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_1</a>
</td>
<td align="center" width="10%">MC3</td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">(b)</td>
<td align="center" width="10%">(b)</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('iobmRESrf_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/RESrf</a></td>
<td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB2_4_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">4_1</a>
</td>
<td align="center" width="10%">MC4</td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">(b)</td>
<td align="center" width="10%">(b)</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('iobmIOREQr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOREQr</a></td>
<td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB2_5_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">5_1</a>
</td>
<td align="center" width="10%">MC5</td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%">1</td>
<td width="8%" align="center">I/O/GTS3</td>
<td align="center" width="10%">(b)</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('cntRefCnt3_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/RefCnt&lt;3&gt;</a></td>
<td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB2_6_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">6_1</a>
</td>
<td align="center" width="10%">MC6</td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%">2</td>
<td width="8%" align="center">I/O/GTS4</td>
<td align="center" width="10%">(b)</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('cntRefCnt2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/RefCnt&lt;2&gt;</a></td>
<td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB2_7_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_1</a>
</td>
<td align="center" width="10%">MC7</td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">(b)</td>
<td align="center" width="10%">(b)</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('cntRefCnt1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/RefCnt&lt;1&gt;</a></td>
<td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB2_8_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_1</a>
</td>
<td align="center" width="10%">MC8</td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%">3</td>
<td width="8%" align="center">I/O/GTS1</td>
<td align="center" width="10%">(b)</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('fsbBERR1r_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">fsb/BERR1r</a></td>
<td align="center" width="10%">2</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB2_9_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_1</a> <a href="Javascript:showPT('FB2_9_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_2</a>
</td>
<td align="center" width="10%">MC9</td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%">4</td>
<td width="8%" align="center">I/O/GTS2</td>
<td align="center" width="10%">(b)</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('cntRefDone_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/RefDone</a></td>
<td align="center" width="10%">2</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB2_10_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_1</a> <a href="Javascript:showPT('FB2_10_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_2</a>
</td>
<td align="center" width="10%">MC10</td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">(b)</td>
<td align="center" width="10%">(b)</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('nLDS_IOB')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nLDS_IOB</a></td>
<td align="center" width="10%">3</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB2_11_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">11_1</a> <a href="Javascript:showPT('FB2_11_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">11_2</a> <a href="Javascript:showPT('FB2_11_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">11_3</a>
</td>
<td align="center" width="10%">MC11</td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%">6</td>
<td width="8%" align="center">I/O</td>
<td align="center" width="10%">O</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('nUDS_IOB')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nUDS_IOB</a></td>
<td align="center" width="10%">3</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB2_12_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_1</a> <a href="Javascript:showPT('FB2_12_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_2</a> <a href="Javascript:showPT('FB2_12_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_3</a>
</td>
<td align="center" width="10%">MC12</td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%">7</td>
<td width="8%" align="center">I/O</td>
<td align="center" width="10%">O</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('cntTimeoutBPre_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/TimeoutBPre</a></td>
<td align="center" width="10%">3</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB2_13_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_1</a> <a href="Javascript:showPT('FB2_13_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_2</a> <a href="Javascript:showPT('FB2_13_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_3</a>
</td>
<td align="center" width="10%">MC13</td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">(b)</td>
<td align="center" width="10%">(b)</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('nDoutOE')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nDoutOE</a></td>
<td align="center" width="10%">2</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB2_14_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_1</a> <a href="Javascript:showPT('FB2_14_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_2</a>
</td>
<td align="center" width="10%">MC14</td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%">8</td>
<td width="8%" align="center">I/O</td>
<td align="center" width="10%">O</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('TimeoutB')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">TimeoutB</a></td>
<td align="center" width="10%">3</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB2_15_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_1</a> <a href="Javascript:showPT('FB2_15_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_2</a> <a href="Javascript:showPT('FB2_15_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_3</a>
</td>
<td align="center" width="10%">MC15</td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%">9</td>
<td width="8%" align="center">I/O</td>
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB&lt;22&gt;'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('TimeoutA')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">TimeoutA</a></td>
<td align="center" width="10%">3</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB2_16_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_1</a> <a href="Javascript:showPT('FB2_16_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_2</a> <a href="Javascript:showPT('FB2_16_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_3</a>
</td>
<td align="center" width="10%">MC16</td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">(b)</td>
<td align="center" width="10%">(b)</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('nAS_IOB')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nAS_IOB</a></td>
<td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB2_17_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_1</a>
</td>
<td align="center" width="10%">MC17</td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%">10</td>
<td width="8%" align="center">I/O</td>
<td align="center" width="10%">O</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('iobsIOReady_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/IOReady</a></td>
<td align="center" width="10%">4</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB2_18_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_1</a> <a href="Javascript:showPT('FB2_18_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_2</a> <a href="Javascript:showPT('FB2_18_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_3</a> <a href="Javascript:showPT('FB2_18_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_4</a>
</td>
<td align="center" width="10%">MC18</td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">(b)</td>
<td align="center" width="10%">(b)</td>
</tr>
</table></span></div>
<div id="tipBox"></div>
<br><span id="fbsiguse" class="pgRef"><b>Signals Used By Logic in Function Block</b><br><ol>
<li><a href="Javascript:showEqn('BERR_IOBS')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">BERR_IOBS</a></li>
<li><a href="Javascript:showEqn('IOBERR')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">IOBERR</a></li>
<li><a href="Javascript:showEqn('IOL0')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">IOL0</a></li>
<li><a href="Javascript:showEqn('IOREQ')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">IOREQ</a></li>
<li><a href="Javascript:showEqn('IORW0')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">IORW0</a></li>
<li><a href="Javascript:showEqn('IOU0')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">IOU0</a></li>
<li><a href="Javascript:showEqn('RefAck')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">RefAck</a></li>
<li><a href="Javascript:showEqn('TimeoutA')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">TimeoutA</a></li>
<li><a href="Javascript:showEqn('TimeoutB')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">TimeoutB</a></li>
<li><a href="Javascript:showEqn('cntRefCnt0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/RefCnt&lt;0&gt;</a></li>
<li><a href="Javascript:showEqn('cntRefCnt1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/RefCnt&lt;1&gt;</a></li>
<li><a href="Javascript:showEqn('cntRefCnt2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/RefCnt&lt;2&gt;</a></li>
<li><a href="Javascript:showEqn('cntRefCnt3_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/RefCnt&lt;3&gt;</a></li>
<li><a href="Javascript:showEqn('cntRefCnt4_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/RefCnt&lt;4&gt;</a></li>
<li><a href="Javascript:showEqn('cntRefCnt5_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/RefCnt&lt;5&gt;</a></li>
<li><a href="Javascript:showEqn('cntRefCnt6_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/RefCnt&lt;6&gt;</a></li>
<li><a href="Javascript:showEqn('cntRefCnt7_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/RefCnt&lt;7&gt;</a></li>
<li><a href="Javascript:showEqn('cntRefDone_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/RefDone</a></li>
<li><a href="Javascript:showEqn('cntTimeoutBPre_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/TimeoutBPre</a></li>
<li><a href="Javascript:showEqn('fsbASrf_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">fsb/ASrf</a></li>
<li><a href="Javascript:showEqn('fsbBERR1r_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">fsb/BERR1r</a></li>
<li><a href="Javascript:showEqn('iobmIOS_FSM_FFd2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOS_FSM_FFd2</a></li>
<li><a href="Javascript:showEqn('iobmIOS_FSM_FFd3_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOS_FSM_FFd3</a></li>
<li><a href="Javascript:showEqn('iobmIOS_FSM_FFd4_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOS_FSM_FFd4</a></li>
<li><a href="Javascript:showEqn('iobmIOS_FSM_FFd5_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOS_FSM_FFd5</a></li>
<li><a href="Javascript:showEqn('iobmIOS_FSM_FFd6_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOS_FSM_FFd6</a></li>
<li><a href="Javascript:showEqn('iobmIOS_FSM_FFd7_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOS_FSM_FFd7</a></li>
<li><a href="Javascript:showEqn('iobsIOACTr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/IOACTr</a></li>
<li><a href="Javascript:showEqn('iobsIOReady_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/IOReady</a></li>
<li><a href="Javascript:showEqn('iobsOnce_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/Once</a></li>
<li><a href="Javascript:showEqn('iobsPS_FSM_FFd2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/PS_FSM_FFd2</a></li>
<li><a href="Javascript:showEqn('nADoutLE1')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nADoutLE1</a></li>
<li>nAS_FSB</li>
<li>nRES</li>
<li>nVPA_IOB</li>
</ol></span><form><span class="pgRef"><table width="90%" align="center"><tr>
<td align="left"><input type="button" onclick="javascript:parent.leftnav.showTop()" onmouseover="window.status='goto top of page'; return true;" onmouseout="window.status=''" value="back to top"></td>
<td align="center"><table align="center" width="90%" border="0" cellpadding="0" cellspacing="0"><tr><td width="100%" align="center">
<input type="button" onclick="javascript:showFB('FB1')" onmouseover="window.status='show previous Function Block'; return true;" onmouseout="window.status=''" value="prev">
  
<input type="button" onclick="javascript:showFB('FB3')" onmouseover="window.status='show next Function Block'; return true;" onmouseout="window.status=''" value="next">
</td></tr></table></td>
<td align="right">
<input type="button" onclick="javascript:showLegend('logiclegend.htm')" onmouseover="window.status='show Legend'; return true;" onmouseout="window.status=''" value="legend"><input type="button" onclick="window.print()" onmouseover="window.status='print page'; return true;" onmouseout="window.status=''" value="print page">
</td>
</tr></table></span></form>
</body>
</html>

View File

@ -77,87 +77,95 @@
<td align="center" width="10%"> </td> <td align="center" width="10%"> </td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%">(unused)</td> <td align="center" width="10%"><a href="Javascript:showEqn('iobsIOACTr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/IOACTr</a></td>
<td align="center" width="10%">0</td> <td align="center" width="10%">1</td>
<td align="center" width="30%"> </td> <td align="center" width="30%"> <a href="Javascript:showPT('FB2_6_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">6_1</a>
</td>
<td align="center" width="10%">MC6</td> <td align="center" width="10%">MC6</td>
<td align="center" width="10%"> </td> <td align="center" width="10%">STD</td>
<td align="center" width="10%">2</td> <td align="center" width="10%">2</td>
<td width="8%" align="center">I/O/GTS4</td> <td width="8%" align="center">I/O/GTS4</td>
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB&lt;5&gt;'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td> <td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB&lt;5&gt;'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%">(unused)</td> <td align="center" width="10%"><a href="Javascript:showEqn('iobmVPArr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/VPArr</a></td>
<td align="center" width="10%">0</td> <td align="center" width="10%">1</td>
<td align="center" width="30%"> </td> <td align="center" width="30%"> <a href="Javascript:showPT('FB2_7_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_1</a>
</td>
<td align="center" width="10%">MC7</td> <td align="center" width="10%">MC7</td>
<td align="center" width="10%"> </td> <td align="center" width="10%">STD</td>
<td align="center" width="10%"> </td> <td align="center" width="10%"> </td>
<td align="center" width="10%">(b)</td> <td align="center" width="10%">(b)</td>
<td align="center" width="10%"> </td> <td align="center" width="10%">(b)</td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%">(unused)</td> <td align="center" width="10%"><a href="Javascript:showEqn('iobmVPArf_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/VPArf</a></td>
<td align="center" width="10%">0</td> <td align="center" width="10%">1</td>
<td align="center" width="30%"> </td> <td align="center" width="30%"> <a href="Javascript:showPT('FB2_8_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_1</a>
</td>
<td align="center" width="10%">MC8</td> <td align="center" width="10%">MC8</td>
<td align="center" width="10%"> </td> <td align="center" width="10%">STD</td>
<td align="center" width="10%">3</td> <td align="center" width="10%">3</td>
<td width="8%" align="center">I/O/GTS1</td> <td width="8%" align="center">I/O/GTS1</td>
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB&lt;6&gt;'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td> <td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB&lt;6&gt;'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%">(unused)</td> <td align="center" width="10%"><a href="Javascript:showEqn('iobmIOREQr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOREQr</a></td>
<td align="center" width="10%">0</td> <td align="center" width="10%">1</td>
<td align="center" width="30%"> </td> <td align="center" width="30%"> <a href="Javascript:showPT('FB2_9_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_1</a>
</td>
<td align="center" width="10%">MC9</td> <td align="center" width="10%">MC9</td>
<td align="center" width="10%"> </td> <td align="center" width="10%">STD</td>
<td align="center" width="10%">4</td> <td align="center" width="10%">4</td>
<td width="8%" align="center">I/O/GTS2</td> <td width="8%" align="center">I/O/GTS2</td>
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB&lt;7&gt;'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td> <td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB&lt;7&gt;'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%">(unused)</td> <td align="center" width="10%"><a href="Javascript:showEqn('iobmEr2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/Er2</a></td>
<td align="center" width="10%">0</td> <td align="center" width="10%">1</td>
<td align="center" width="30%"> </td> <td align="center" width="30%"> <a href="Javascript:showPT('FB2_10_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_1</a>
</td>
<td align="center" width="10%">MC10</td> <td align="center" width="10%">MC10</td>
<td align="center" width="10%"> </td> <td align="center" width="10%">STD</td>
<td align="center" width="10%"> </td> <td align="center" width="10%"> </td>
<td align="center" width="10%">(b)</td> <td align="center" width="10%">(b)</td>
<td align="center" width="10%"> </td> <td align="center" width="10%">(b)</td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%">(unused)</td> <td align="center" width="10%"><a href="Javascript:showEqn('iobmEr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/Er</a></td>
<td align="center" width="10%">0</td> <td align="center" width="10%">1</td>
<td align="center" width="30%"> </td> <td align="center" width="30%"> <a href="Javascript:showPT('FB2_11_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">11_1</a>
</td>
<td align="center" width="10%">MC11</td> <td align="center" width="10%">MC11</td>
<td align="center" width="10%"> </td> <td align="center" width="10%">STD</td>
<td align="center" width="10%">6</td> <td align="center" width="10%">6</td>
<td width="8%" align="center">I/O</td> <td width="8%" align="center">I/O</td>
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB&lt;8&gt;'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td> <td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB&lt;8&gt;'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%">(unused)</td> <td align="center" width="10%"><a href="Javascript:showEqn('iobmDTACKrr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/DTACKrr</a></td>
<td align="center" width="10%">0</td> <td align="center" width="10%">1</td>
<td align="center" width="30%"> </td> <td align="center" width="30%"> <a href="Javascript:showPT('FB2_12_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_1</a>
</td>
<td align="center" width="10%">MC12</td> <td align="center" width="10%">MC12</td>
<td align="center" width="10%"> </td> <td align="center" width="10%">STD</td>
<td align="center" width="10%">7</td> <td align="center" width="10%">7</td>
<td width="8%" align="center">I/O</td> <td width="8%" align="center">I/O</td>
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB&lt;9&gt;'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td> <td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB&lt;9&gt;'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%">(unused)</td> <td align="center" width="10%"><a href="Javascript:showEqn('iobmDTACKrf_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/DTACKrf</a></td>
<td align="center" width="10%">0</td> <td align="center" width="10%">1</td>
<td align="center" width="30%"> </td> <td align="center" width="30%"> <a href="Javascript:showPT('FB2_13_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_1</a>
</td>
<td align="center" width="10%">MC13</td> <td align="center" width="10%">MC13</td>
<td align="center" width="10%"> </td> <td align="center" width="10%">STD</td>
<td align="center" width="10%"> </td> <td align="center" width="10%"> </td>
<td align="center" width="10%">(b)</td> <td align="center" width="10%">(b)</td>
<td align="center" width="10%"> </td> <td align="center" width="10%">(b)</td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('iobmVPArr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/VPArr</a></td> <td align="center" width="10%"><a href="Javascript:showEqn('iobmBGr1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/BGr1</a></td>
<td align="center" width="10%">1</td> <td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB2_14_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_1</a> <td align="center" width="30%"> <a href="Javascript:showPT('FB2_14_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_1</a>
</td> </td>
@ -168,7 +176,7 @@
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB&lt;10&gt;'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td> <td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB&lt;10&gt;'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('iobmVPArf_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/VPArf</a></td> <td align="center" width="10%"><a href="Javascript:showEqn('iobmBGr0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/BGr0</a></td>
<td align="center" width="10%">1</td> <td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB2_15_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_1</a> <td align="center" width="30%"> <a href="Javascript:showPT('FB2_15_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_1</a>
</td> </td>
@ -179,7 +187,7 @@
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB&lt;11&gt;'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td> <td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB&lt;11&gt;'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('iobmRESrr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/RESrr</a></td> <td align="center" width="10%"><a href="Javascript:showEqn('iobmBERRrr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/BERRrr</a></td>
<td align="center" width="10%">1</td> <td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB2_16_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_1</a> <td align="center" width="30%"> <a href="Javascript:showPT('FB2_16_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_1</a>
</td> </td>
@ -190,7 +198,7 @@
<td align="center" width="10%">(b)</td> <td align="center" width="10%">(b)</td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('iobmRESrf_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/RESrf</a></td> <td align="center" width="10%"><a href="Javascript:showEqn('iobmBERRrf_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/BERRrf</a></td>
<td align="center" width="10%">1</td> <td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB2_17_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_1</a> <td align="center" width="30%"> <a href="Javascript:showPT('FB2_17_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_1</a>
</td> </td>
@ -201,9 +209,9 @@
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB&lt;12&gt;'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td> <td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB&lt;12&gt;'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('iobmEr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/Er</a></td> <td align="center" width="10%"><a href="Javascript:showEqn('cntRefCnt0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/RefCnt&lt;0&gt;</a></td>
<td align="center" width="10%">1</td> <td align="center" width="10%">0</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB2_18_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_1</a> <td align="center" width="30%"> <a href="Javascript:showPT('VCC')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''"></a>
</td> </td>
<td align="center" width="10%">MC18</td> <td align="center" width="10%">MC18</td>
<td align="center" width="10%">STD</td> <td align="center" width="10%">STD</td>
@ -215,7 +223,13 @@
<div id="tipBox"></div> <div id="tipBox"></div>
<br><span id="fbsiguse" class="pgRef"><b>Signals Used By Logic in Function Block</b><br><ol> <br><span id="fbsiguse" class="pgRef"><b>Signals Used By Logic in Function Block</b><br><ol>
<li>E_IOB</li> <li>E_IOB</li>
<li>nRES</li> <li><a href="Javascript:showEqn('IOACT')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">IOACT</a></li>
<li><a href="Javascript:showEqn('IOREQ')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">IOREQ</a></li>
<li><a href="Javascript:showEqn('iobmBGr0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/BGr0</a></li>
<li><a href="Javascript:showEqn('iobmEr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/Er</a></li>
<li>nBERR_IOB</li>
<li>nBG_IOB</li>
<li>nDTACK_IOB</li>
<li>nVPA_IOB</li> <li>nVPA_IOB</li>
</ol></span><form><span class="pgRef"><table width="90%" align="center"><tr> </ol></span><form><span class="pgRef"><table width="90%" align="center"><tr>
<td align="left"><input type="button" onclick="javascript:parent.leftnav.showTop()" onmouseover="window.status='goto top of page'; return true;" onmouseout="window.status=''" value="back to top"></td> <td align="left"><input type="button" onclick="javascript:parent.leftnav.showTop()" onmouseover="window.status='goto top of page'; return true;" onmouseout="window.status=''" value="back to top"></td>

View File

@ -1,273 +0,0 @@
<html>
<head>
<meta http-equiv="Content-Type" content="text/html; charset=UTF-8">
<script src="tooltips.js"></script><script src="fbs.js"></script><link rel="stylesheet" type="text/css" href="style.css">
</head>
<body class="pgBgnd" id="XC95144XL">
<span id="fbsel" class="pgRef"><table cellspacing="0" cellpadding="0" border="0" width="90%" align="center"><tr>
<td width="33%" valign="center" align="left"></td>
<td width="33%" valign="center" align="center"><form name="fbopt"><select onchange="javascript:showFB(document.fbopt.fbType.options[document.fbopt.fbType.options.selectedIndex].value)" name="fbType"><option value="FB1">FB1</option>
<option value="FB2">FB2</option>
<option value="FB3" selected>FB3</option>
<option value="FB4">FB4</option>
<option value="FB5">FB5</option>
<option value="FB6">FB6</option>
<option value="FB7">FB7</option>
<option value="FB8">FB8</option></select></form></td>
<td width="33%" valign="center" align="right"></td>
</tr></table></span><div><span id="fbdata" class="pgRef"><table align="center" width="90%" border="1" cellpadding="0" cellspacing="0">
<tr class="pgHeader">
<th width="10%">Signal Name</th>
<th width="10%">Total Product Terms</th>
<th width="30%">Product Terms</th>
<th width="10%">Location</th>
<th width="10%">Power Mode</th>
<th width="10%">Pin Number</th>
<th width="10%">PinType</th>
<th width="10%">Pin Use</th>
</tr>
<tr>
<td align="center" width="10%">(unused)</td>
<td align="center" width="10%">0</td>
<td align="center" width="30%"> </td>
<td align="center" width="10%">MC1</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">(b)</td>
<td align="center" width="10%">(b)</td>
</tr>
<tr>
<td align="center" width="10%">(unused)</td>
<td align="center" width="10%">0</td>
<td align="center" width="30%"> </td>
<td align="center" width="10%">MC2</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">23</td>
<td width="8%" align="center">I/O/GCK2</td>
<td align="center" width="10%">GCK</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('IORW0')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">IORW0</a></td>
<td align="center" width="10%">18</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB3_1_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_1</a> <a href="Javascript:showPT('FB3_1_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_2</a> <a href="Javascript:showPT('FB3_1_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_3</a> <a href="Javascript:showPT('FB3_1_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_4</a> <a href="Javascript:showPT('FB3_2_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_1</a> <a href="Javascript:showPT('FB3_2_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_2</a> <a href="Javascript:showPT('FB3_2_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_3</a> <a href="Javascript:showPT('FB3_2_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_4</a> <a href="Javascript:showPT('FB3_2_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_5</a> <a href="Javascript:showPT('FB3_3_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_1</a> <a href="Javascript:showPT('FB3_3_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_2</a> <a href="Javascript:showPT('FB3_3_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_3</a> <a href="Javascript:showPT('FB3_3_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_4</a> <a href="Javascript:showPT('FB3_3_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_5</a> <a href="Javascript:showPT('FB3_4_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">4_2</a> <a href="Javascript:showPT('FB3_4_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">4_3</a> <a href="Javascript:showPT('FB3_4_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">4_4</a> <a href="Javascript:showPT('FB3_4_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">4_5</a>
</td>
<td align="center" width="10%">MC3</td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">(b)</td>
<td align="center" width="10%">(b)</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('iobsIOACTr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/IOACTr</a></td>
<td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB3_4_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">4_1</a>
</td>
<td align="center" width="10%">MC4</td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">(b)</td>
<td align="center" width="10%">(b)</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('nRAS')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nRAS</a></td>
<td align="center" width="10%">3</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB3_5_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">5_1</a> <a href="Javascript:showPT('FB3_5_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">5_2</a> <a href="Javascript:showPT('FB3_5_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">5_3</a>
</td>
<td align="center" width="10%">MC5</td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%">24</td>
<td width="8%" align="center">I/O</td>
<td align="center" width="10%">O</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('iobsClear1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/Clear1</a></td>
<td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB3_6_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">6_1</a>
</td>
<td align="center" width="10%">MC6</td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%">25</td>
<td width="8%" align="center">I/O</td>
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'nLDS_FSB'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('fsbASrf_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">fsb/ASrf</a></td>
<td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB3_7_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_1</a>
</td>
<td align="center" width="10%">MC7</td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">(b)</td>
<td align="center" width="10%">(b)</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('ALE0S')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ALE0S</a></td>
<td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB3_8_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_1</a>
</td>
<td align="center" width="10%">MC8</td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%">27</td>
<td width="8%" align="center">I/O/GCK3</td>
<td align="center" width="10%">GCK/I</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('iobsPS_FSM_FFd2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/PS_FSM_FFd2</a></td>
<td align="center" width="10%">14</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB3_10_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_1</a> <a href="Javascript:showPT('FB3_10_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_2</a> <a href="Javascript:showPT('FB3_10_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_3</a> <a href="Javascript:showPT('FB3_10_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_4</a> <a href="Javascript:showPT('FB3_10_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_5</a> <a href="Javascript:showPT('FB3_8_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_2</a> <a href="Javascript:showPT('FB3_8_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_3</a> <a href="Javascript:showPT('FB3_8_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_4</a> <a href="Javascript:showPT('FB3_8_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_5</a> <a href="Javascript:showPT('FB3_9_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_1</a> <a href="Javascript:showPT('FB3_9_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_2</a> <a href="Javascript:showPT('FB3_9_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_3</a> <a href="Javascript:showPT('FB3_9_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_4</a> <a href="Javascript:showPT('FB3_9_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_5</a>
</td>
<td align="center" width="10%">MC9</td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%">28</td>
<td width="8%" align="center">I/O</td>
<td align="center" width="10%">(b)</td>
</tr>
<tr>
<td align="center" width="10%">(unused)</td>
<td align="center" width="10%">0</td>
<td align="center" width="30%"> </td>
<td align="center" width="10%">MC10</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">(b)</td>
<td align="center" width="10%">(b)</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('nADoutLE1')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nADoutLE1</a></td>
<td align="center" width="10%">2</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB3_11_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">11_1</a> <a href="Javascript:showPT('FB3_11_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">11_2</a>
</td>
<td align="center" width="10%">MC11</td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%">29</td>
<td width="8%" align="center">I/O</td>
<td align="center" width="10%">O</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('iobsPS_FSM_FFd1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/PS_FSM_FFd1</a></td>
<td align="center" width="10%">2</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB3_11_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">11_3</a> <a href="Javascript:showPT('FB3_11_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">11_4</a>
</td>
<td align="center" width="10%">MC12</td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%">30</td>
<td width="8%" align="center">I/O</td>
<td align="center" width="10%">(b)</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('IOREQ')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">IOREQ</a></td>
<td align="center" width="10%">14</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB3_12_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_1</a> <a href="Javascript:showPT('FB3_12_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_2</a> <a href="Javascript:showPT('FB3_12_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_3</a> <a href="Javascript:showPT('FB3_12_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_4</a> <a href="Javascript:showPT('FB3_12_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_5</a> <a href="Javascript:showPT('FB3_13_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_1</a> <a href="Javascript:showPT('FB3_13_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_2</a> <a href="Javascript:showPT('FB3_13_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_3</a> <a href="Javascript:showPT('FB3_13_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_4</a> <a href="Javascript:showPT('FB3_13_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_5</a> <a href="Javascript:showPT('FB3_14_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_2</a> <a href="Javascript:showPT('FB3_14_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_3</a> <a href="Javascript:showPT('FB3_14_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_4</a> <a href="Javascript:showPT('FB3_14_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_5</a>
</td>
<td align="center" width="10%">MC13</td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">(b)</td>
<td align="center" width="10%">(b)</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('iobmETACK_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/ETACK</a></td>
<td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB3_14_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_1</a>
</td>
<td align="center" width="10%">MC14</td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%">32</td>
<td width="8%" align="center">I/O</td>
<td align="center" width="10%">(b)</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('nVMA_IOB')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nVMA_IOB</a></td>
<td align="center" width="10%">2</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB3_15_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_1</a> <a href="Javascript:showPT('FB3_15_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_2</a>
</td>
<td align="center" width="10%">MC15</td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%">33</td>
<td width="8%" align="center">I/O</td>
<td align="center" width="10%">O</td>
</tr>
<tr>
<td align="center" width="10%">(unused)</td>
<td align="center" width="10%">0</td>
<td align="center" width="30%"> </td>
<td align="center" width="10%">MC16</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">(b)</td>
<td align="center" width="10%">(b)</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('iobsIORW1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/IORW1</a></td>
<td align="center" width="10%">16</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB3_15_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_3</a> <a href="Javascript:showPT('FB3_15_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_4</a> <a href="Javascript:showPT('FB3_16_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_1</a> <a href="Javascript:showPT('FB3_16_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_2</a> <a href="Javascript:showPT('FB3_16_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_3</a> <a href="Javascript:showPT('FB3_16_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_4</a> <a href="Javascript:showPT('FB3_16_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_5</a> <a href="Javascript:showPT('FB3_17_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_1</a> <a href="Javascript:showPT('FB3_17_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_2</a> <a href="Javascript:showPT('FB3_17_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_3</a> <a href="Javascript:showPT('FB3_17_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_4</a> <a href="Javascript:showPT('FB3_17_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_5</a> <a href="Javascript:showPT('FB3_18_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_2</a> <a href="Javascript:showPT('FB3_18_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_3</a> <a href="Javascript:showPT('FB3_18_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_4</a> <a href="Javascript:showPT('FB3_18_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_5</a>
</td>
<td align="center" width="10%">MC17</td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%">34</td>
<td width="8%" align="center">I/O</td>
<td align="center" width="10%">(b)</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('ramBACTr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/BACTr</a></td>
<td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB3_18_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_1</a>
</td>
<td align="center" width="10%">MC18</td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">(b)</td>
<td align="center" width="10%">(b)</td>
</tr>
</table></span></div>
<div id="tipBox"></div>
<br><span id="fbsiguse" class="pgRef"><b>Signals Used By Logic in Function Block</b><br><ol>
<li>A_FSB&lt;13&gt;</li>
<li>A_FSB&lt;14&gt;</li>
<li>A_FSB&lt;16&gt;</li>
<li>A_FSB&lt;17&gt;</li>
<li>A_FSB&lt;18&gt;</li>
<li>A_FSB&lt;19&gt;</li>
<li>A_FSB&lt;20&gt;</li>
<li>A_FSB&lt;21&gt;</li>
<li>A_FSB&lt;22&gt;</li>
<li>A_FSB&lt;23&gt;</li>
<li><a href="Javascript:showEqn('IOACT')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">IOACT</a></li>
<li><a href="Javascript:showEqn('IORW0')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">IORW0</a></li>
<li><a href="Javascript:showEqn('RefAck')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">RefAck</a></li>
<li><a href="Javascript:showEqn('csnOverlay1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cs/nOverlay1</a></li>
<li><a href="Javascript:showEqn('fsbASrf_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">fsb/ASrf</a></li>
<li><a href="Javascript:showEqn('iobmES0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/ES&lt;0&gt;</a></li>
<li><a href="Javascript:showEqn('iobmES1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/ES&lt;1&gt;</a></li>
<li><a href="Javascript:showEqn('iobmES2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/ES&lt;2&gt;</a></li>
<li><a href="Javascript:showEqn('iobmES3_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/ES&lt;3&gt;</a></li>
<li><a href="Javascript:showEqn('iobmES4_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/ES&lt;4&gt;</a></li>
<li><a href="Javascript:showEqn('iobmVPArf_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/VPArf</a></li>
<li><a href="Javascript:showEqn('iobmVPArr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/VPArr</a></li>
<li><a href="Javascript:showEqn('iobsClear1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/Clear1</a></li>
<li><a href="Javascript:showEqn('iobsIOACTr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/IOACTr</a></li>
<li><a href="Javascript:showEqn('iobsIORW1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/IORW1</a></li>
<li><a href="Javascript:showEqn('iobsLoad1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/Load1</a></li>
<li><a href="Javascript:showEqn('iobsOnce_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/Once</a></li>
<li><a href="Javascript:showEqn('iobsPS_FSM_FFd1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/PS_FSM_FFd1</a></li>
<li><a href="Javascript:showEqn('iobsPS_FSM_FFd2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/PS_FSM_FFd2</a></li>
<li><a href="Javascript:showEqn('nADoutLE1')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nADoutLE1</a></li>
<li>nAS_FSB</li>
<li><a href="Javascript:showEqn('nVMA_IOB')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nVMA_IOB</a></li>
<li>nWE_FSB</li>
<li><a href="Javascript:showEqn('ramRAMDIS1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RAMDIS1</a></li>
<li><a href="Javascript:showEqn('ramRAMDIS2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RAMDIS2</a></li>
</ol></span><form><span class="pgRef"><table width="90%" align="center"><tr>
<td align="left"><input type="button" onclick="javascript:parent.leftnav.showTop()" onmouseover="window.status='goto top of page'; return true;" onmouseout="window.status=''" value="back to top"></td>
<td align="center"><table align="center" width="90%" border="0" cellpadding="0" cellspacing="0"><tr><td width="100%" align="center">
<input type="button" onclick="javascript:showFB('FB2')" onmouseover="window.status='show previous Function Block'; return true;" onmouseout="window.status=''" value="prev">
  
<input type="button" onclick="javascript:showFB('FB4')" onmouseover="window.status='show next Function Block'; return true;" onmouseout="window.status=''" value="next">
</td></tr></table></td>
<td align="right">
<input type="button" onclick="javascript:showLegend('logiclegend.htm')" onmouseover="window.status='show Legend'; return true;" onmouseout="window.status=''" value="legend"><input type="button" onclick="window.print()" onmouseover="window.status='print page'; return true;" onmouseout="window.status=''" value="print page">
</td>
</tr></table></span></form>
</body>
</html>

View File

@ -27,32 +27,33 @@
<th width="10%">Pin Use</th> <th width="10%">Pin Use</th>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%">(unused)</td> <td align="center" width="10%"><a href="Javascript:showEqn('fsbReady1r_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">fsb/Ready1r</a></td>
<td align="center" width="10%">0</td> <td align="center" width="10%">8</td>
<td align="center" width="30%"> </td> <td align="center" width="30%"> <a href="Javascript:showPT('FB3_18_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_2</a> <a href="Javascript:showPT('FB3_18_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_3</a> <a href="Javascript:showPT('FB3_18_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_4</a> <a href="Javascript:showPT('FB3_18_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_5</a> <a href="Javascript:showPT('FB3_1_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_1</a> <a href="Javascript:showPT('FB3_1_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_2</a> <a href="Javascript:showPT('FB3_1_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_3</a> <a href="Javascript:showPT('FB3_1_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_4</a>
</td>
<td align="center" width="10%">MC1</td> <td align="center" width="10%">MC1</td>
<td align="center" width="10%"> </td> <td align="center" width="10%">STD</td>
<td align="center" width="10%"> </td> <td align="center" width="10%"> </td>
<td align="center" width="10%">(b)</td> <td align="center" width="10%">(b)</td>
<td align="center" width="10%">(b)</td> <td align="center" width="10%">(b)</td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('fsbVPA_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">fsb/VPA</a></td> <td align="center" width="10%">(unused)</td>
<td align="center" width="10%">25</td> <td align="center" width="10%">0</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB3_18_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_1</a> <a href="Javascript:showPT('FB3_18_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_2</a> <a href="Javascript:showPT('FB3_18_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_3</a> <a href="Javascript:showPT('FB3_18_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_4</a> <a href="Javascript:showPT('FB3_18_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_5</a> <a href="Javascript:showPT('FB3_1_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_1</a> <a href="Javascript:showPT('FB3_1_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_2</a> <a href="Javascript:showPT('FB3_1_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_3</a> <a href="Javascript:showPT('FB3_1_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_4</a> <a href="Javascript:showPT('FB3_1_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_5</a> <a href="Javascript:showPT('FB3_2_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_1</a> <a href="Javascript:showPT('FB3_2_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_2</a> <a href="Javascript:showPT('FB3_2_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_3</a> <a href="Javascript:showPT('FB3_2_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_4</a> <a href="Javascript:showPT('FB3_2_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_5</a> <a href="Javascript:showPT('FB3_3_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_1</a> <a href="Javascript:showPT('FB3_3_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_2</a> <a href="Javascript:showPT('FB3_3_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_3</a> <a href="Javascript:showPT('FB3_3_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_4</a> <a href="Javascript:showPT('FB3_3_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_5</a> <a href="Javascript:showPT('FB3_4_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">4_1</a> <a href="Javascript:showPT('FB3_4_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">4_2</a> <a href="Javascript:showPT('FB3_4_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">4_3</a> <a href="Javascript:showPT('FB3_4_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">4_4</a> <a href="Javascript:showPT('FB3_4_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">4_5</a> <td align="center" width="30%"> </td>
</td>
<td align="center" width="10%">MC2</td> <td align="center" width="10%">MC2</td>
<td align="center" width="10%">STD</td> <td align="center" width="10%"> </td>
<td align="center" width="10%">23</td> <td align="center" width="10%">23</td>
<td width="8%" align="center">I/O/GCK2</td> <td width="8%" align="center">I/O/GCK2</td>
<td align="center" width="10%">GCK/I</td> <td align="center" width="10%">GCK/I</td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%">(unused)</td> <td align="center" width="10%"><a href="Javascript:showEqn('iobsIORW1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/IORW1</a></td>
<td align="center" width="10%">0</td> <td align="center" width="10%">17</td>
<td align="center" width="30%"> </td> <td align="center" width="30%"> <a href="Javascript:showPT('FB3_1_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_5</a> <a href="Javascript:showPT('FB3_2_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_1</a> <a href="Javascript:showPT('FB3_2_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_2</a> <a href="Javascript:showPT('FB3_2_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_3</a> <a href="Javascript:showPT('FB3_2_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_4</a> <a href="Javascript:showPT('FB3_2_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_5</a> <a href="Javascript:showPT('FB3_3_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_1</a> <a href="Javascript:showPT('FB3_3_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_2</a> <a href="Javascript:showPT('FB3_3_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_3</a> <a href="Javascript:showPT('FB3_3_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_4</a> <a href="Javascript:showPT('FB3_3_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_5</a> <a href="Javascript:showPT('FB3_4_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">4_1</a> <a href="Javascript:showPT('FB3_4_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">4_2</a> <a href="Javascript:showPT('FB3_4_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">4_3</a> <a href="Javascript:showPT('FB3_4_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">4_4</a> <a href="Javascript:showPT('FB3_4_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">4_5</a> <a href="Javascript:showPT('FB3_5_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">5_5</a>
</td>
<td align="center" width="10%">MC3</td> <td align="center" width="10%">MC3</td>
<td align="center" width="10%"> </td> <td align="center" width="10%">STD</td>
<td align="center" width="10%"> </td> <td align="center" width="10%"> </td>
<td align="center" width="10%">(b)</td> <td align="center" width="10%">(b)</td>
<td align="center" width="10%">(b)</td> <td align="center" width="10%">(b)</td>
@ -68,9 +69,9 @@
<td align="center" width="10%">(b)</td> <td align="center" width="10%">(b)</td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('fsbReady1r_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">fsb/Ready1r</a></td> <td align="center" width="10%"><a href="Javascript:showEqn('fsbReady2r_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">fsb/Ready2r</a></td>
<td align="center" width="10%">7</td> <td align="center" width="10%">9</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB3_5_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">5_1</a> <a href="Javascript:showPT('FB3_5_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">5_2</a> <a href="Javascript:showPT('FB3_5_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">5_3</a> <a href="Javascript:showPT('FB3_5_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">5_4</a> <a href="Javascript:showPT('FB3_5_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">5_5</a> <a href="Javascript:showPT('FB3_6_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">6_1</a> <a href="Javascript:showPT('FB3_6_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">6_2</a> <td align="center" width="30%"> <a href="Javascript:showPT('FB3_5_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">5_1</a> <a href="Javascript:showPT('FB3_5_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">5_2</a> <a href="Javascript:showPT('FB3_5_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">5_3</a> <a href="Javascript:showPT('FB3_5_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">5_4</a> <a href="Javascript:showPT('FB3_6_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">6_1</a> <a href="Javascript:showPT('FB3_6_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">6_2</a> <a href="Javascript:showPT('FB3_6_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">6_3</a> <a href="Javascript:showPT('FB3_6_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">6_4</a> <a href="Javascript:showPT('FB3_6_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">6_5</a>
</td> </td>
<td align="center" width="10%">MC5</td> <td align="center" width="10%">MC5</td>
<td align="center" width="10%">STD</td> <td align="center" width="10%">STD</td>
@ -150,12 +151,11 @@
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'nLDS_FSB'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td> <td align="center" width="10%"><a href="#" onmouseover="this._tip = 'nLDS_FSB'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('iobsIORW1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/IORW1</a></td> <td align="center" width="10%">(unused)</td>
<td align="center" width="10%">16</td> <td align="center" width="10%">0</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB3_12_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_1</a> <a href="Javascript:showPT('FB3_12_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_2</a> <a href="Javascript:showPT('FB3_12_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_3</a> <a href="Javascript:showPT('FB3_12_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_4</a> <a href="Javascript:showPT('FB3_12_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_5</a> <a href="Javascript:showPT('FB3_13_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_1</a> <a href="Javascript:showPT('FB3_13_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_2</a> <a href="Javascript:showPT('FB3_13_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_3</a> <a href="Javascript:showPT('FB3_13_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_4</a> <a href="Javascript:showPT('FB3_13_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_5</a> <a href="Javascript:showPT('FB3_14_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_1</a> <a href="Javascript:showPT('FB3_14_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_2</a> <a href="Javascript:showPT('FB3_14_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_3</a> <a href="Javascript:showPT('FB3_14_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_4</a> <a href="Javascript:showPT('FB3_14_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_5</a> <a href="Javascript:showPT('FB3_15_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_5</a> <td align="center" width="30%"> </td>
</td>
<td align="center" width="10%">MC13</td> <td align="center" width="10%">MC13</td>
<td align="center" width="10%">STD</td> <td align="center" width="10%"> </td>
<td align="center" width="10%"> </td> <td align="center" width="10%"> </td>
<td align="center" width="10%">(b)</td> <td align="center" width="10%">(b)</td>
<td align="center" width="10%">(b)</td> <td align="center" width="10%">(b)</td>
@ -171,9 +171,9 @@
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'nAS_FSB'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td> <td align="center" width="10%"><a href="#" onmouseover="this._tip = 'nAS_FSB'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('fsbReady2r_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">fsb/Ready2r</a></td> <td align="center" width="10%"><a href="Javascript:showEqn('fsbVPA_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">fsb/VPA</a></td>
<td align="center" width="10%">9</td> <td align="center" width="10%">22</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB3_15_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_1</a> <a href="Javascript:showPT('FB3_15_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_2</a> <a href="Javascript:showPT('FB3_15_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_3</a> <a href="Javascript:showPT('FB3_15_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_4</a> <a href="Javascript:showPT('FB3_16_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_1</a> <a href="Javascript:showPT('FB3_16_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_2</a> <a href="Javascript:showPT('FB3_16_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_3</a> <a href="Javascript:showPT('FB3_16_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_4</a> <a href="Javascript:showPT('FB3_16_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_5</a> <td align="center" width="30%"> <a href="Javascript:showPT('FB3_13_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_1</a> <a href="Javascript:showPT('FB3_13_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_2</a> <a href="Javascript:showPT('FB3_13_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_3</a> <a href="Javascript:showPT('FB3_13_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_4</a> <a href="Javascript:showPT('FB3_13_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_5</a> <a href="Javascript:showPT('FB3_14_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_1</a> <a href="Javascript:showPT('FB3_14_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_2</a> <a href="Javascript:showPT('FB3_14_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_3</a> <a href="Javascript:showPT('FB3_14_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_4</a> <a href="Javascript:showPT('FB3_14_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_5</a> <a href="Javascript:showPT('FB3_15_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_1</a> <a href="Javascript:showPT('FB3_15_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_2</a> <a href="Javascript:showPT('FB3_15_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_3</a> <a href="Javascript:showPT('FB3_15_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_4</a> <a href="Javascript:showPT('FB3_15_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_5</a> <a href="Javascript:showPT('FB3_16_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_1</a> <a href="Javascript:showPT('FB3_16_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_2</a> <a href="Javascript:showPT('FB3_16_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_3</a> <a href="Javascript:showPT('FB3_16_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_4</a> <a href="Javascript:showPT('FB3_16_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_5</a> <a href="Javascript:showPT('FB3_17_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_2</a> <a href="Javascript:showPT('FB3_17_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_3</a>
</td> </td>
<td align="center" width="10%">MC15</td> <td align="center" width="10%">MC15</td>
<td align="center" width="10%">STD</td> <td align="center" width="10%">STD</td>
@ -203,11 +203,12 @@
<td align="center" width="10%">O</td> <td align="center" width="10%">O</td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%">(unused)</td> <td align="center" width="10%"><a href="Javascript:showEqn('iobsClear1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/Clear1</a></td>
<td align="center" width="10%">0</td> <td align="center" width="10%">1</td>
<td align="center" width="30%"> </td> <td align="center" width="30%"> <a href="Javascript:showPT('FB3_18_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_1</a>
</td>
<td align="center" width="10%">MC18</td> <td align="center" width="10%">MC18</td>
<td align="center" width="10%"> </td> <td align="center" width="10%">STD</td>
<td align="center" width="10%"> </td> <td align="center" width="10%"> </td>
<td align="center" width="10%">(b)</td> <td align="center" width="10%">(b)</td>
<td align="center" width="10%">(b)</td> <td align="center" width="10%">(b)</td>
@ -215,8 +216,8 @@
</table></span></div> </table></span></div>
<div id="tipBox"></div> <div id="tipBox"></div>
<br><span id="fbsiguse" class="pgRef"><b>Signals Used By Logic in Function Block</b><br><ol> <br><span id="fbsiguse" class="pgRef"><b>Signals Used By Logic in Function Block</b><br><ol>
<li><a href="Javascript:showEqn('OpTxFX_DC609_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">$OpTx$FX_DC$609</a></li> <li><a href="Javascript:showEqn('OpTxOpTxFX_DC182_INV783_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">$OpTx$$OpTx$FX_DC$182_INV$783</a></li>
<li><a href="Javascript:showEqn('OpTxFX_DC610_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">$OpTx$FX_DC$610</a></li> <li><a href="Javascript:showEqn('OpTxFX_DC708_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">$OpTx$FX_DC$708</a></li>
<li>A_FSB&lt;10&gt;</li> <li>A_FSB&lt;10&gt;</li>
<li>A_FSB&lt;11&gt;</li> <li>A_FSB&lt;11&gt;</li>
<li>A_FSB&lt;12&gt;</li> <li>A_FSB&lt;12&gt;</li>
@ -234,13 +235,12 @@
<li>A_FSB&lt;8&gt;</li> <li>A_FSB&lt;8&gt;</li>
<li>A_FSB&lt;9&gt;</li> <li>A_FSB&lt;9&gt;</li>
<li><a href="Javascript:showEqn('BERR_IOBS')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">BERR_IOBS</a></li> <li><a href="Javascript:showEqn('BERR_IOBS')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">BERR_IOBS</a></li>
<li>SW&lt;1&gt;</li>
<li><a href="Javascript:showEqn('TimeoutA')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">TimeoutA</a></li> <li><a href="Javascript:showEqn('TimeoutA')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">TimeoutA</a></li>
<li><a href="Javascript:showEqn('TimeoutB')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">TimeoutB</a></li>
<li><a href="Javascript:showEqn('csnOverlay1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cs/nOverlay1</a></li> <li><a href="Javascript:showEqn('csnOverlay1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cs/nOverlay1</a></li>
<li><a href="Javascript:showEqn('fsbASrf_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">fsb/ASrf</a></li> <li><a href="Javascript:showEqn('fsbASrf_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">fsb/ASrf</a></li>
<li><a href="Javascript:showEqn('fsbBERR0r_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">fsb/BERR0r</a></li> <li><a href="Javascript:showEqn('fsbBERR0r_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">fsb/BERR0r</a></li>
<li><a href="Javascript:showEqn('fsbBERR1r_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">fsb/BERR1r</a></li> <li><a href="Javascript:showEqn('fsbBERR1r_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">fsb/BERR1r</a></li>
<li><a href="Javascript:showEqn('fsbReady0r_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">fsb/Ready0r</a></li>
<li><a href="Javascript:showEqn('fsbReady1r_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">fsb/Ready1r</a></li> <li><a href="Javascript:showEqn('fsbReady1r_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">fsb/Ready1r</a></li>
<li><a href="Javascript:showEqn('fsbReady2r_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">fsb/Ready2r</a></li> <li><a href="Javascript:showEqn('fsbReady2r_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">fsb/Ready2r</a></li>
<li><a href="Javascript:showEqn('fsbVPA_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">fsb/VPA</a></li> <li><a href="Javascript:showEqn('fsbVPA_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">fsb/VPA</a></li>
@ -251,9 +251,9 @@
<li><a href="Javascript:showEqn('iobsPS_FSM_FFd2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/PS_FSM_FFd2</a></li> <li><a href="Javascript:showEqn('iobsPS_FSM_FFd2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/PS_FSM_FFd2</a></li>
<li><a href="Javascript:showEqn('nADoutLE1')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nADoutLE1</a></li> <li><a href="Javascript:showEqn('nADoutLE1')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nADoutLE1</a></li>
<li>nAS_FSB</li> <li>nAS_FSB</li>
<li><a href="Javascript:showEqn('nBR_IOB')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nBR_IOB</a></li>
<li><a href="Javascript:showEqn('nDTACK_FSB')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nDTACK_FSB</a></li> <li><a href="Javascript:showEqn('nDTACK_FSB')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nDTACK_FSB</a></li>
<li>nWE_FSB</li> <li>nWE_FSB</li>
<li><a href="Javascript:showEqn('ramRAMReady_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RAMReady</a></li>
</ol></span><form><span class="pgRef"><table width="90%" align="center"><tr> </ol></span><form><span class="pgRef"><table width="90%" align="center"><tr>
<td align="left"><input type="button" onclick="javascript:parent.leftnav.showTop()" onmouseover="window.status='goto top of page'; return true;" onmouseout="window.status=''" value="back to top"></td> <td align="left"><input type="button" onclick="javascript:parent.leftnav.showTop()" onmouseover="window.status='goto top of page'; return true;" onmouseout="window.status=''" value="back to top"></td>
<td align="center"><table align="center" width="90%" border="0" cellpadding="0" cellspacing="0"><tr><td width="100%" align="center"> <td align="center"><table align="center" width="90%" border="0" cellpadding="0" cellspacing="0"><tr><td width="100%" align="center">

View File

@ -1,270 +0,0 @@
<html>
<head>
<meta http-equiv="Content-Type" content="text/html; charset=UTF-8">
<script src="tooltips.js"></script><script src="fbs.js"></script><link rel="stylesheet" type="text/css" href="style.css">
</head>
<body class="pgBgnd" id="XC95144XL">
<span id="fbsel" class="pgRef"><table cellspacing="0" cellpadding="0" border="0" width="90%" align="center"><tr>
<td width="33%" valign="center" align="left"></td>
<td width="33%" valign="center" align="center"><form name="fbopt"><select onchange="javascript:showFB(document.fbopt.fbType.options[document.fbopt.fbType.options.selectedIndex].value)" name="fbType"><option value="FB1">FB1</option>
<option value="FB2">FB2</option>
<option value="FB3">FB3</option>
<option value="FB4" selected>FB4</option>
<option value="FB5">FB5</option>
<option value="FB6">FB6</option>
<option value="FB7">FB7</option>
<option value="FB8">FB8</option></select></form></td>
<td width="33%" valign="center" align="right"></td>
</tr></table></span><div><span id="fbdata" class="pgRef"><table align="center" width="90%" border="1" cellpadding="0" cellspacing="0">
<tr class="pgHeader">
<th width="10%">Signal Name</th>
<th width="10%">Total Product Terms</th>
<th width="30%">Product Terms</th>
<th width="10%">Location</th>
<th width="10%">Power Mode</th>
<th width="10%">Pin Number</th>
<th width="10%">PinType</th>
<th width="10%">Pin Use</th>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('ramRASEL_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RASEL</a></td>
<td align="center" width="10%">20</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB4_17_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_1</a> <a href="Javascript:showPT('FB4_17_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_2</a> <a href="Javascript:showPT('FB4_17_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_3</a> <a href="Javascript:showPT('FB4_17_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_4</a> <a href="Javascript:showPT('FB4_17_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_5</a> <a href="Javascript:showPT('FB4_18_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_1</a> <a href="Javascript:showPT('FB4_18_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_2</a> <a href="Javascript:showPT('FB4_18_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_3</a> <a href="Javascript:showPT('FB4_18_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_4</a> <a href="Javascript:showPT('FB4_18_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_5</a> <a href="Javascript:showPT('FB4_1_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_1</a> <a href="Javascript:showPT('FB4_1_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_2</a> <a href="Javascript:showPT('FB4_1_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_3</a> <a href="Javascript:showPT('FB4_1_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_4</a> <a href="Javascript:showPT('FB4_1_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_5</a> <a href="Javascript:showPT('FB4_2_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_1</a> <a href="Javascript:showPT('FB4_2_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_2</a> <a href="Javascript:showPT('FB4_2_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_3</a> <a href="Javascript:showPT('FB4_2_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_4</a> <a href="Javascript:showPT('FB4_2_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_5</a>
</td>
<td align="center" width="10%">MC1</td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">(b)</td>
<td align="center" width="10%">(b)</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('RA0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">RA&lt;0&gt;</a></td>
<td align="center" width="10%">2</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB4_3_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_3</a> <a href="Javascript:showPT('FB4_3_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_4</a>
</td>
<td align="center" width="10%">MC2</td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%">87</td>
<td width="8%" align="center">I/O</td>
<td align="center" width="10%">O</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('csnOverlay1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cs/nOverlay1</a></td>
<td align="center" width="10%">2</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB4_3_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_1</a> <a href="Javascript:showPT('FB4_3_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_2</a>
</td>
<td align="center" width="10%">MC3</td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">(b)</td>
<td align="center" width="10%">(b)</td>
</tr>
<tr>
<td align="center" width="10%">(unused)</td>
<td align="center" width="10%">0</td>
<td align="center" width="30%"> </td>
<td align="center" width="10%">MC4</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">(b)</td>
<td align="center" width="10%"> </td>
</tr>
<tr>
<td align="center" width="10%">(unused)</td>
<td align="center" width="10%">0</td>
<td align="center" width="30%"> </td>
<td align="center" width="10%">MC5</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">89</td>
<td width="8%" align="center">I/O</td>
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB&lt;10&gt;'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('iobsLoad1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/Load1</a></td>
<td align="center" width="10%">14</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB4_5_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">5_1</a> <a href="Javascript:showPT('FB4_5_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">5_2</a> <a href="Javascript:showPT('FB4_5_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">5_3</a> <a href="Javascript:showPT('FB4_5_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">5_4</a> <a href="Javascript:showPT('FB4_6_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">6_1</a> <a href="Javascript:showPT('FB4_6_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">6_2</a> <a href="Javascript:showPT('FB4_6_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">6_3</a> <a href="Javascript:showPT('FB4_6_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">6_4</a> <a href="Javascript:showPT('FB4_6_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">6_5</a> <a href="Javascript:showPT('FB4_7_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_1</a> <a href="Javascript:showPT('FB4_7_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_2</a> <a href="Javascript:showPT('FB4_7_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_3</a> <a href="Javascript:showPT('FB4_7_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_4</a> <a href="Javascript:showPT('FB4_7_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_5</a>
</td>
<td align="center" width="10%">MC6</td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%">90</td>
<td width="8%" align="center">I/O</td>
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB&lt;1&gt;'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
</tr>
<tr>
<td align="center" width="10%">(unused)</td>
<td align="center" width="10%">0</td>
<td align="center" width="30%"> </td>
<td align="center" width="10%">MC7</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">(b)</td>
<td align="center" width="10%">(b)</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('RA2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">RA&lt;2&gt;</a></td>
<td align="center" width="10%">2</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB4_8_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_1</a> <a href="Javascript:showPT('FB4_8_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_2</a>
</td>
<td align="center" width="10%">MC8</td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%">91</td>
<td width="8%" align="center">I/O</td>
<td align="center" width="10%">O</td>
</tr>
<tr>
<td align="center" width="10%">(unused)</td>
<td align="center" width="10%">0</td>
<td align="center" width="30%"> </td>
<td align="center" width="10%">MC9</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">92</td>
<td width="8%" align="center">I/O</td>
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB&lt;12&gt;'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('iobsOnce_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/Once</a></td>
<td align="center" width="10%">17</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB4_10_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_1</a> <a href="Javascript:showPT('FB4_10_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_2</a> <a href="Javascript:showPT('FB4_10_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_3</a> <a href="Javascript:showPT('FB4_10_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_4</a> <a href="Javascript:showPT('FB4_10_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_5</a> <a href="Javascript:showPT('FB4_11_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">11_1</a> <a href="Javascript:showPT('FB4_11_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">11_2</a> <a href="Javascript:showPT('FB4_11_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">11_3</a> <a href="Javascript:showPT('FB4_11_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">11_4</a> <a href="Javascript:showPT('FB4_11_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">11_5</a> <a href="Javascript:showPT('FB4_8_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_3</a> <a href="Javascript:showPT('FB4_8_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_4</a> <a href="Javascript:showPT('FB4_9_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_1</a> <a href="Javascript:showPT('FB4_9_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_2</a> <a href="Javascript:showPT('FB4_9_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_3</a> <a href="Javascript:showPT('FB4_9_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_4</a> <a href="Javascript:showPT('FB4_9_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_5</a>
</td>
<td align="center" width="10%">MC10</td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">(b)</td>
<td align="center" width="10%">(b)</td>
</tr>
<tr>
<td align="center" width="10%">(unused)</td>
<td align="center" width="10%">0</td>
<td align="center" width="30%"> </td>
<td align="center" width="10%">MC11</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">93</td>
<td width="8%" align="center">I/O</td>
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB&lt;14&gt;'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('RA4_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">RA&lt;4&gt;</a></td>
<td align="center" width="10%">2</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB4_12_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_1</a> <a href="Javascript:showPT('FB4_12_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_2</a>
</td>
<td align="center" width="10%">MC12</td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%">94</td>
<td width="8%" align="center">I/O</td>
<td align="center" width="10%">O</td>
</tr>
<tr>
<td align="center" width="10%">(unused)</td>
<td align="center" width="10%">0</td>
<td align="center" width="30%"> </td>
<td align="center" width="10%">MC13</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">(b)</td>
<td align="center" width="10%">(b)</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('ramRAMDIS1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RAMDIS1</a></td>
<td align="center" width="10%">18</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB4_12_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_3</a> <a href="Javascript:showPT('FB4_12_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_4</a> <a href="Javascript:showPT('FB4_12_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_5</a> <a href="Javascript:showPT('FB4_13_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_1</a> <a href="Javascript:showPT('FB4_13_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_2</a> <a href="Javascript:showPT('FB4_13_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_3</a> <a href="Javascript:showPT('FB4_13_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_4</a> <a href="Javascript:showPT('FB4_13_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_5</a> <a href="Javascript:showPT('FB4_14_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_1</a> <a href="Javascript:showPT('FB4_14_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_2</a> <a href="Javascript:showPT('FB4_14_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_3</a> <a href="Javascript:showPT('FB4_14_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_4</a> <a href="Javascript:showPT('FB4_14_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_5</a> <a href="Javascript:showPT('FB4_15_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_1</a> <a href="Javascript:showPT('FB4_15_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_2</a> <a href="Javascript:showPT('FB4_15_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_3</a> <a href="Javascript:showPT('FB4_15_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_4</a> <a href="Javascript:showPT('FB4_15_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_5</a>
</td>
<td align="center" width="10%">MC14</td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%">95</td>
<td width="8%" align="center">I/O</td>
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB&lt;16&gt;'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
</tr>
<tr>
<td align="center" width="10%">(unused)</td>
<td align="center" width="10%">0</td>
<td align="center" width="30%"> </td>
<td align="center" width="10%">MC15</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">96</td>
<td width="8%" align="center">I/O</td>
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB&lt;17&gt;'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('fsbBERR0r_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">fsb/BERR0r</a></td>
<td align="center" width="10%">3</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB4_16_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_1</a> <a href="Javascript:showPT('FB4_16_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_2</a> <a href="Javascript:showPT('FB4_16_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_3</a>
</td>
<td align="center" width="10%">MC16</td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">(b)</td>
<td align="center" width="10%">(b)</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('nDinOE')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nDinOE</a></td>
<td align="center" width="10%">2</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB4_16_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_4</a> <a href="Javascript:showPT('FB4_16_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_5</a>
</td>
<td align="center" width="10%">MC17</td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%">97</td>
<td width="8%" align="center">I/O</td>
<td align="center" width="10%">O</td>
</tr>
<tr>
<td align="center" width="10%">(unused)</td>
<td align="center" width="10%">0</td>
<td align="center" width="30%"> </td>
<td align="center" width="10%">MC18</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">(b)</td>
<td align="center" width="10%">(b)</td>
</tr>
</table></span></div>
<div id="tipBox"></div>
<br><span id="fbsiguse" class="pgRef"><b>Signals Used By Logic in Function Block</b><br><ol>
<li>A_FSB&lt;10&gt;</li>
<li>A_FSB&lt;12&gt;</li>
<li>A_FSB&lt;13&gt;</li>
<li>A_FSB&lt;14&gt;</li>
<li>A_FSB&lt;16&gt;</li>
<li>A_FSB&lt;17&gt;</li>
<li>A_FSB&lt;18&gt;</li>
<li>A_FSB&lt;19&gt;</li>
<li>A_FSB&lt;1&gt;</li>
<li>A_FSB&lt;20&gt;</li>
<li>A_FSB&lt;21&gt;</li>
<li>A_FSB&lt;22&gt;</li>
<li>A_FSB&lt;23&gt;</li>
<li>A_FSB&lt;3&gt;</li>
<li>A_FSB&lt;5&gt;</li>
<li><a href="Javascript:showEqn('TimeoutB')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">TimeoutB</a></li>
<li><a href="Javascript:showEqn('cntRefCnt5_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/RefCnt&lt;5&gt;</a></li>
<li><a href="Javascript:showEqn('cntRefCnt6_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/RefCnt&lt;6&gt;</a></li>
<li><a href="Javascript:showEqn('cntRefCnt7_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/RefCnt&lt;7&gt;</a></li>
<li><a href="Javascript:showEqn('cntRefDone_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/RefDone</a></li>
<li><a href="Javascript:showEqn('csnOverlay0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cs/nOverlay0</a></li>
<li><a href="Javascript:showEqn('csnOverlay1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cs/nOverlay1</a></li>
<li><a href="Javascript:showEqn('fsbASrf_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">fsb/ASrf</a></li>
<li><a href="Javascript:showEqn('fsbBERR0r_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">fsb/BERR0r</a></li>
<li><a href="Javascript:showEqn('iobsOnce_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/Once</a></li>
<li><a href="Javascript:showEqn('iobsPS_FSM_FFd1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/PS_FSM_FFd1</a></li>
<li><a href="Javascript:showEqn('iobsPS_FSM_FFd2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/PS_FSM_FFd2</a></li>
<li><a href="Javascript:showEqn('nADoutLE1')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nADoutLE1</a></li>
<li>nAS_FSB</li>
<li>nWE_FSB</li>
<li><a href="Javascript:showEqn('ramBACTr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/BACTr</a></li>
<li><a href="Javascript:showEqn('ramOnce_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/Once</a></li>
<li><a href="Javascript:showEqn('ramRASEL_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RASEL</a></li>
<li><a href="Javascript:showEqn('ramRS_FSM_FFd1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RS_FSM_FFd1</a></li>
<li><a href="Javascript:showEqn('ramRS_FSM_FFd2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RS_FSM_FFd2</a></li>
<li><a href="Javascript:showEqn('ramRS_FSM_FFd3_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RS_FSM_FFd3</a></li>
</ol></span><form><span class="pgRef"><table width="90%" align="center"><tr>
<td align="left"><input type="button" onclick="javascript:parent.leftnav.showTop()" onmouseover="window.status='goto top of page'; return true;" onmouseout="window.status=''" value="back to top"></td>
<td align="center"><table align="center" width="90%" border="0" cellpadding="0" cellspacing="0"><tr><td width="100%" align="center">
<input type="button" onclick="javascript:showFB('FB3')" onmouseover="window.status='show previous Function Block'; return true;" onmouseout="window.status=''" value="prev">
  
<input type="button" onclick="javascript:showFB('FB5')" onmouseover="window.status='show next Function Block'; return true;" onmouseout="window.status=''" value="next">
</td></tr></table></td>
<td align="right">
<input type="button" onclick="javascript:showLegend('logiclegend.htm')" onmouseover="window.status='show Legend'; return true;" onmouseout="window.status=''" value="legend"><input type="button" onclick="window.print()" onmouseover="window.status='print page'; return true;" onmouseout="window.status=''" value="print page">
</td>
</tr></table></span></form>
</body>
</html>

View File

@ -27,7 +27,7 @@
<th width="10%">Pin Use</th> <th width="10%">Pin Use</th>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('ramBACTr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/BACTr</a></td> <td align="center" width="10%"><a href="Javascript:showEqn('cntRefCnt6_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/RefCnt&lt;6&gt;</a></td>
<td align="center" width="10%">1</td> <td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB4_1_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_1</a> <td align="center" width="30%"> <a href="Javascript:showPT('FB4_1_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_1</a>
</td> </td>
@ -39,8 +39,8 @@
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('nAoutOE')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nAoutOE</a></td> <td align="center" width="10%"><a href="Javascript:showEqn('nAoutOE')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nAoutOE</a></td>
<td align="center" width="10%">0</td> <td align="center" width="10%">3</td>
<td align="center" width="30%"> <a href="Javascript:showPT('GND')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''"></a> <td align="center" width="30%"> <a href="Javascript:showPT('FB4_2_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_1</a> <a href="Javascript:showPT('FB4_2_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_2</a> <a href="Javascript:showPT('FB4_2_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_3</a>
</td> </td>
<td align="center" width="10%">MC2</td> <td align="center" width="10%">MC2</td>
<td align="center" width="10%">STD</td> <td align="center" width="10%">STD</td>
@ -49,7 +49,7 @@
<td align="center" width="10%">O</td> <td align="center" width="10%">O</td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('iobsClear1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/Clear1</a></td> <td align="center" width="10%"><a href="Javascript:showEqn('cntRefCnt5_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/RefCnt&lt;5&gt;</a></td>
<td align="center" width="10%">1</td> <td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB4_3_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_1</a> <td align="center" width="30%"> <a href="Javascript:showPT('FB4_3_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_1</a>
</td> </td>
@ -60,7 +60,7 @@
<td align="center" width="10%">(b)</td> <td align="center" width="10%">(b)</td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('ALE0S')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ALE0S</a></td> <td align="center" width="10%"><a href="Javascript:showEqn('cntRefCnt4_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/RefCnt&lt;4&gt;</a></td>
<td align="center" width="10%">1</td> <td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB4_4_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">4_1</a> <td align="center" width="30%"> <a href="Javascript:showPT('FB4_4_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">4_1</a>
</td> </td>
@ -83,8 +83,8 @@
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('nDinOE')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nDinOE</a></td> <td align="center" width="10%"><a href="Javascript:showEqn('nDinOE')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nDinOE</a></td>
<td align="center" width="10%">2</td> <td align="center" width="10%">3</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB4_6_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">6_1</a> <a href="Javascript:showPT('FB4_6_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">6_2</a> <td align="center" width="30%"> <a href="Javascript:showPT('FB4_6_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">6_1</a> <a href="Javascript:showPT('FB4_6_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">6_2</a> <a href="Javascript:showPT('FB4_6_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">6_3</a>
</td> </td>
<td align="center" width="10%">MC6</td> <td align="center" width="10%">MC6</td>
<td align="center" width="10%">STD</td> <td align="center" width="10%">STD</td>
@ -93,9 +93,9 @@
<td align="center" width="10%">O</td> <td align="center" width="10%">O</td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('iobsPS_FSM_FFd1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/PS_FSM_FFd1</a></td> <td align="center" width="10%"><a href="Javascript:showEqn('cntRefCnt3_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/RefCnt&lt;3&gt;</a></td>
<td align="center" width="10%">2</td> <td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB4_7_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_1</a> <a href="Javascript:showPT('FB4_7_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_2</a> <td align="center" width="30%"> <a href="Javascript:showPT('FB4_7_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_1</a>
</td> </td>
<td align="center" width="10%">MC7</td> <td align="center" width="10%">MC7</td>
<td align="center" width="10%">STD</td> <td align="center" width="10%">STD</td>
@ -104,7 +104,7 @@
<td align="center" width="10%">(b)</td> <td align="center" width="10%">(b)</td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('iobsIOU1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/IOU1</a></td> <td align="center" width="10%"><a href="Javascript:showEqn('iobsPS_FSM_FFd1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/PS_FSM_FFd1</a></td>
<td align="center" width="10%">2</td> <td align="center" width="10%">2</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB4_8_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_1</a> <a href="Javascript:showPT('FB4_8_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_2</a> <td align="center" width="30%"> <a href="Javascript:showPT('FB4_8_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_1</a> <a href="Javascript:showPT('FB4_8_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_2</a>
</td> </td>
@ -115,7 +115,7 @@
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'nRES'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td> <td align="center" width="10%"><a href="#" onmouseover="this._tip = 'nRES'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('iobsIOL1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/IOL1</a></td> <td align="center" width="10%"><a href="Javascript:showEqn('fsbBERR1r_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">fsb/BERR1r</a></td>
<td align="center" width="10%">2</td> <td align="center" width="10%">2</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB4_9_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_1</a> <a href="Javascript:showPT('FB4_9_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_2</a> <td align="center" width="30%"> <a href="Javascript:showPT('FB4_9_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_1</a> <a href="Javascript:showPT('FB4_9_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_2</a>
</td> </td>
@ -123,10 +123,10 @@
<td align="center" width="10%">STD</td> <td align="center" width="10%">STD</td>
<td align="center" width="10%">92</td> <td align="center" width="10%">92</td>
<td width="8%" align="center">I/O</td> <td width="8%" align="center">I/O</td>
<td align="center" width="10%">(b)</td> <td align="center" width="10%"><a href="#" onmouseover="this._tip = 'nIPL2'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('iobmIOS_FSM_FFd2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOS_FSM_FFd2</a></td> <td align="center" width="10%"><a href="Javascript:showEqn('csnOverlay1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cs/nOverlay1</a></td>
<td align="center" width="10%">2</td> <td align="center" width="10%">2</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB4_10_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_1</a> <a href="Javascript:showPT('FB4_10_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_2</a> <td align="center" width="30%"> <a href="Javascript:showPT('FB4_10_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_1</a> <a href="Javascript:showPT('FB4_10_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_2</a>
</td> </td>
@ -148,7 +148,7 @@
<td align="center" width="10%">O</td> <td align="center" width="10%">O</td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('iobmIOS_FSM_FFd1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOS_FSM_FFd1</a></td> <td align="center" width="10%"><a href="Javascript:showEqn('cntRefDone_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/RefDone</a></td>
<td align="center" width="10%">2</td> <td align="center" width="10%">2</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB4_12_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_1</a> <a href="Javascript:showPT('FB4_12_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_2</a> <td align="center" width="30%"> <a href="Javascript:showPT('FB4_12_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_1</a> <a href="Javascript:showPT('FB4_12_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_2</a>
</td> </td>
@ -159,9 +159,9 @@
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB&lt;1&gt;'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td> <td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB&lt;1&gt;'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('fsbBERR1r_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">fsb/BERR1r</a></td> <td align="center" width="10%"><a href="Javascript:showEqn('csnOverlay0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cs/nOverlay0</a></td>
<td align="center" width="10%">2</td> <td align="center" width="10%">3</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB4_13_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_1</a> <a href="Javascript:showPT('FB4_13_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_2</a> <td align="center" width="30%"> <a href="Javascript:showPT('FB4_13_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_1</a> <a href="Javascript:showPT('FB4_13_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_2</a> <a href="Javascript:showPT('FB4_13_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_3</a>
</td> </td>
<td align="center" width="10%">MC13</td> <td align="center" width="10%">MC13</td>
<td align="center" width="10%">STD</td> <td align="center" width="10%">STD</td>
@ -170,9 +170,9 @@
<td align="center" width="10%">(b)</td> <td align="center" width="10%">(b)</td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('csnOverlay1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cs/nOverlay1</a></td> <td align="center" width="10%"><a href="Javascript:showEqn('cntTimeoutBPre_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/TimeoutBPre</a></td>
<td align="center" width="10%">2</td> <td align="center" width="10%">3</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB4_14_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_1</a> <a href="Javascript:showPT('FB4_14_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_2</a> <td align="center" width="30%"> <a href="Javascript:showPT('FB4_14_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_1</a> <a href="Javascript:showPT('FB4_14_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_2</a> <a href="Javascript:showPT('FB4_14_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_3</a>
</td> </td>
<td align="center" width="10%">MC14</td> <td align="center" width="10%">MC14</td>
<td align="center" width="10%">STD</td> <td align="center" width="10%">STD</td>
@ -181,9 +181,9 @@
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB&lt;2&gt;'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td> <td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB&lt;2&gt;'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('OpTxFX_DC610_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">$OpTx$FX_DC$610</a></td> <td align="center" width="10%"><a href="Javascript:showEqn('TimeoutA')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">TimeoutA</a></td>
<td align="center" width="10%">2</td> <td align="center" width="10%">3</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB4_15_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_1</a> <a href="Javascript:showPT('FB4_15_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_2</a> <td align="center" width="30%"> <a href="Javascript:showPT('FB4_15_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_1</a> <a href="Javascript:showPT('FB4_15_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_2</a> <a href="Javascript:showPT('FB4_15_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_3</a>
</td> </td>
<td align="center" width="10%">MC15</td> <td align="center" width="10%">MC15</td>
<td align="center" width="10%">STD</td> <td align="center" width="10%">STD</td>
@ -192,7 +192,7 @@
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB&lt;3&gt;'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td> <td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB&lt;3&gt;'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('IOU0')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">IOU0</a></td> <td align="center" width="10%"><a href="Javascript:showEqn('IOL0')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">IOL0</a></td>
<td align="center" width="10%">3</td> <td align="center" width="10%">3</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB4_16_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_1</a> <a href="Javascript:showPT('FB4_16_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_2</a> <a href="Javascript:showPT('FB4_16_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_3</a> <td align="center" width="30%"> <a href="Javascript:showPT('FB4_16_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_1</a> <a href="Javascript:showPT('FB4_16_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_2</a> <a href="Javascript:showPT('FB4_16_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_3</a>
</td> </td>
@ -203,9 +203,9 @@
<td align="center" width="10%">(b)</td> <td align="center" width="10%">(b)</td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('IOL0')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">IOL0</a></td> <td align="center" width="10%"><a href="Javascript:showEqn('iobsIOReady_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/IOReady</a></td>
<td align="center" width="10%">3</td> <td align="center" width="10%">4</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB4_17_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_1</a> <a href="Javascript:showPT('FB4_17_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_2</a> <a href="Javascript:showPT('FB4_17_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_3</a> <td align="center" width="30%"> <a href="Javascript:showPT('FB4_17_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_1</a> <a href="Javascript:showPT('FB4_17_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_2</a> <a href="Javascript:showPT('FB4_17_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_3</a> <a href="Javascript:showPT('FB4_17_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_4</a>
</td> </td>
<td align="center" width="10%">MC17</td> <td align="center" width="10%">MC17</td>
<td align="center" width="10%">STD</td> <td align="center" width="10%">STD</td>
@ -214,7 +214,7 @@
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB&lt;4&gt;'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td> <td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB&lt;4&gt;'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('iobsIOReady_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/IOReady</a></td> <td align="center" width="10%"><a href="Javascript:showEqn('BERR_IOBS')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">BERR_IOBS</a></td>
<td align="center" width="10%">4</td> <td align="center" width="10%">4</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB4_18_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_1</a> <a href="Javascript:showPT('FB4_18_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_2</a> <a href="Javascript:showPT('FB4_18_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_3</a> <a href="Javascript:showPT('FB4_18_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_4</a> <td align="center" width="30%"> <a href="Javascript:showPT('FB4_18_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_1</a> <a href="Javascript:showPT('FB4_18_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_2</a> <a href="Javascript:showPT('FB4_18_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_3</a> <a href="Javascript:showPT('FB4_18_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_4</a>
</td> </td>
@ -234,27 +234,39 @@
<li><a href="Javascript:showEqn('BERR_IOBS')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">BERR_IOBS</a></li> <li><a href="Javascript:showEqn('BERR_IOBS')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">BERR_IOBS</a></li>
<li><a href="Javascript:showEqn('IOBERR')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">IOBERR</a></li> <li><a href="Javascript:showEqn('IOBERR')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">IOBERR</a></li>
<li><a href="Javascript:showEqn('IORW0')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">IORW0</a></li> <li><a href="Javascript:showEqn('IORW0')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">IORW0</a></li>
<li><a href="Javascript:showEqn('TimeoutB')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">TimeoutB</a></li> <li><a href="Javascript:showEqn('RefAck')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">RefAck</a></li>
<li>SW&lt;1&gt;</li>
<li><a href="Javascript:showEqn('TimeoutA')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">TimeoutA</a></li>
<li><a href="Javascript:showEqn('cntRefCnt0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/RefCnt&lt;0&gt;</a></li>
<li><a href="Javascript:showEqn('cntRefCnt1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/RefCnt&lt;1&gt;</a></li>
<li><a href="Javascript:showEqn('cntRefCnt2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/RefCnt&lt;2&gt;</a></li>
<li><a href="Javascript:showEqn('cntRefCnt3_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/RefCnt&lt;3&gt;</a></li>
<li><a href="Javascript:showEqn('cntRefCnt4_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/RefCnt&lt;4&gt;</a></li>
<li><a href="Javascript:showEqn('cntRefCnt5_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/RefCnt&lt;5&gt;</a></li>
<li><a href="Javascript:showEqn('cntRefCnt6_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/RefCnt&lt;6&gt;</a></li>
<li><a href="Javascript:showEqn('cntRefCnt7_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/RefCnt&lt;7&gt;</a></li>
<li><a href="Javascript:showEqn('cntRefDone_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/RefDone</a></li>
<li><a href="Javascript:showEqn('cntTimeoutBPre_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/TimeoutBPre</a></li>
<li><a href="Javascript:showEqn('csnOverlay0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cs/nOverlay0</a></li> <li><a href="Javascript:showEqn('csnOverlay0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cs/nOverlay0</a></li>
<li><a href="Javascript:showEqn('fsbASrf_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">fsb/ASrf</a></li> <li><a href="Javascript:showEqn('fsbASrf_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">fsb/ASrf</a></li>
<li><a href="Javascript:showEqn('fsbBERR1r_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">fsb/BERR1r</a></li> <li><a href="Javascript:showEqn('fsbBERR1r_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">fsb/BERR1r</a></li>
<li><a href="Javascript:showEqn('fsbVPA_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">fsb/VPA</a></li> <li><a href="Javascript:showEqn('fsbVPA_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">fsb/VPA</a></li>
<li><a href="Javascript:showEqn('iobmIOS_FSM_FFd1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOS_FSM_FFd1</a></li> <li><a href="Javascript:showEqn('iobmBGr0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/BGr0</a></li>
<li><a href="Javascript:showEqn('iobmBGr1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/BGr1</a></li>
<li><a href="Javascript:showEqn('iobmIOS_FSM_FFd2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOS_FSM_FFd2</a></li> <li><a href="Javascript:showEqn('iobmIOS_FSM_FFd2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOS_FSM_FFd2</a></li>
<li><a href="Javascript:showEqn('iobmIOS_FSM_FFd3_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOS_FSM_FFd3</a></li> <li><a href="Javascript:showEqn('iobmIOS_FSM_FFd3_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOS_FSM_FFd3</a></li>
<li><a href="Javascript:showEqn('iobmIOS_FSM_FFd4_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOS_FSM_FFd4</a></li>
<li><a href="Javascript:showEqn('iobsIOACTr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/IOACTr</a></li> <li><a href="Javascript:showEqn('iobsIOACTr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/IOACTr</a></li>
<li><a href="Javascript:showEqn('iobsIOL1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/IOL1</a></li> <li><a href="Javascript:showEqn('iobsIOL1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/IOL1</a></li>
<li><a href="Javascript:showEqn('iobsIOReady_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/IOReady</a></li> <li><a href="Javascript:showEqn('iobsIOReady_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/IOReady</a></li>
<li><a href="Javascript:showEqn('iobsIOU1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/IOU1</a></li>
<li><a href="Javascript:showEqn('iobsLoad1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/Load1</a></li>
<li><a href="Javascript:showEqn('iobsOnce_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/Once</a></li> <li><a href="Javascript:showEqn('iobsOnce_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/Once</a></li>
<li><a href="Javascript:showEqn('iobsPS_FSM_FFd1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/PS_FSM_FFd1</a></li> <li><a href="Javascript:showEqn('iobsPS_FSM_FFd1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/PS_FSM_FFd1</a></li>
<li><a href="Javascript:showEqn('iobsPS_FSM_FFd2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/PS_FSM_FFd2</a></li> <li><a href="Javascript:showEqn('iobsPS_FSM_FFd2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/PS_FSM_FFd2</a></li>
<li><a href="Javascript:showEqn('nADoutLE1')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nADoutLE1</a></li> <li><a href="Javascript:showEqn('nADoutLE1')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nADoutLE1</a></li>
<li>nAS_FSB</li> <li>nAS_FSB</li>
<li><a href="Javascript:showEqn('nAS_IOB')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nAS_IOB</a></li>
<li><a href="Javascript:showEqn('nAoutOE')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nAoutOE</a></li>
<li>nLDS_FSB</li> <li>nLDS_FSB</li>
<li>nUDS_FSB</li> <li>nRES</li>
<li>nWE_FSB</li> <li>nWE_FSB</li>
</ol></span><form><span class="pgRef"><table width="90%" align="center"><tr> </ol></span><form><span class="pgRef"><table width="90%" align="center"><tr>
<td align="left"><input type="button" onclick="javascript:parent.leftnav.showTop()" onmouseover="window.status='goto top of page'; return true;" onmouseout="window.status=''" value="back to top"></td> <td align="left"><input type="button" onclick="javascript:parent.leftnav.showTop()" onmouseover="window.status='goto top of page'; return true;" onmouseout="window.status=''" value="back to top"></td>

View File

@ -1,276 +0,0 @@
<html>
<head>
<meta http-equiv="Content-Type" content="text/html; charset=UTF-8">
<script src="tooltips.js"></script><script src="fbs.js"></script><link rel="stylesheet" type="text/css" href="style.css">
</head>
<body class="pgBgnd" id="XC95144XL">
<span id="fbsel" class="pgRef"><table cellspacing="0" cellpadding="0" border="0" width="90%" align="center"><tr>
<td width="33%" valign="center" align="left"></td>
<td width="33%" valign="center" align="center"><form name="fbopt"><select onchange="javascript:showFB(document.fbopt.fbType.options[document.fbopt.fbType.options.selectedIndex].value)" name="fbType"><option value="FB1">FB1</option>
<option value="FB2">FB2</option>
<option value="FB3">FB3</option>
<option value="FB4">FB4</option>
<option value="FB5" selected>FB5</option>
<option value="FB6">FB6</option>
<option value="FB7">FB7</option>
<option value="FB8">FB8</option></select></form></td>
<td width="33%" valign="center" align="right"></td>
</tr></table></span><div><span id="fbdata" class="pgRef"><table align="center" width="90%" border="1" cellpadding="0" cellspacing="0">
<tr class="pgHeader">
<th width="10%">Signal Name</th>
<th width="10%">Total Product Terms</th>
<th width="30%">Product Terms</th>
<th width="10%">Location</th>
<th width="10%">Power Mode</th>
<th width="10%">Pin Number</th>
<th width="10%">PinType</th>
<th width="10%">Pin Use</th>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('IOACT')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">IOACT</a></td>
<td align="center" width="10%">10</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB5_18_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_4</a> <a href="Javascript:showPT('FB5_18_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_5</a> <a href="Javascript:showPT('FB5_1_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_1</a> <a href="Javascript:showPT('FB5_1_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_2</a> <a href="Javascript:showPT('FB5_1_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_3</a> <a href="Javascript:showPT('FB5_1_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_4</a> <a href="Javascript:showPT('FB5_1_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_5</a> <a href="Javascript:showPT('FB5_2_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_3</a> <a href="Javascript:showPT('FB5_2_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_4</a> <a href="Javascript:showPT('FB5_2_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_5</a>
</td>
<td align="center" width="10%">MC1</td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">(b)</td>
<td align="center" width="10%">(b)</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('RA1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">RA&lt;1&gt;</a></td>
<td align="center" width="10%">2</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB5_2_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_1</a> <a href="Javascript:showPT('FB5_2_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_2</a>
</td>
<td align="center" width="10%">MC2</td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%">35</td>
<td width="8%" align="center">I/O</td>
<td align="center" width="10%">O</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('iobmIOS_FSM_FFd7_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOS_FSM_FFd7</a></td>
<td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB5_3_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_1</a>
</td>
<td align="center" width="10%">MC3</td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">(b)</td>
<td align="center" width="10%">(b)</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('iobmIOS_FSM_FFd6_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOS_FSM_FFd6</a></td>
<td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB5_4_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">4_1</a>
</td>
<td align="center" width="10%">MC4</td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">(b)</td>
<td align="center" width="10%">(b)</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('iobmIOS_FSM_FFd5_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOS_FSM_FFd5</a></td>
<td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB5_5_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">5_1</a>
</td>
<td align="center" width="10%">MC5</td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%">36</td>
<td width="8%" align="center">I/O</td>
<td align="center" width="10%">(b)</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('iobmIOS_FSM_FFd4_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOS_FSM_FFd4</a></td>
<td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB5_6_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">6_1</a>
</td>
<td align="center" width="10%">MC6</td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%">37</td>
<td width="8%" align="center">I/O</td>
<td align="center" width="10%">(b)</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('iobmBERRrr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/BERRrr</a></td>
<td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB5_7_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_1</a>
</td>
<td align="center" width="10%">MC7</td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">(b)</td>
<td align="center" width="10%">(b)</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('RA3_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">RA&lt;3&gt;</a></td>
<td align="center" width="10%">2</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB5_8_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_1</a> <a href="Javascript:showPT('FB5_8_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_2</a>
</td>
<td align="center" width="10%">MC8</td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%">39</td>
<td width="8%" align="center">I/O</td>
<td align="center" width="10%">O</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('iobmBERRrf_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/BERRrf</a></td>
<td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB5_9_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_1</a>
</td>
<td align="center" width="10%">MC9</td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%">40</td>
<td width="8%" align="center">I/O</td>
<td align="center" width="10%">(b)</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('cntRefCnt0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/RefCnt&lt;0&gt;</a></td>
<td align="center" width="10%">0</td>
<td align="center" width="30%"> <a href="Javascript:showPT('VCC')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''"></a>
</td>
<td align="center" width="10%">MC10</td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">(b)</td>
<td align="center" width="10%">(b)</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('iobmIOS_FSM_FFd8_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOS_FSM_FFd8</a></td>
<td align="center" width="10%">2</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB5_11_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">11_1</a> <a href="Javascript:showPT('FB5_11_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">11_2</a>
</td>
<td align="center" width="10%">MC11</td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%">41</td>
<td width="8%" align="center">I/O</td>
<td align="center" width="10%">(b)</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('nROMCS')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nROMCS</a></td>
<td align="center" width="10%">2</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB5_12_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_1</a> <a href="Javascript:showPT('FB5_12_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_2</a>
</td>
<td align="center" width="10%">MC12</td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%">42</td>
<td width="8%" align="center">I/O</td>
<td align="center" width="10%">O</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('ALE0M')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ALE0M</a></td>
<td align="center" width="10%">2</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB5_13_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_1</a> <a href="Javascript:showPT('FB5_13_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_2</a>
</td>
<td align="center" width="10%">MC13</td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">(b)</td>
<td align="center" width="10%">(b)</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('iobmIOS_FSM_FFd2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOS_FSM_FFd2</a></td>
<td align="center" width="10%">4</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB5_14_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_1</a> <a href="Javascript:showPT('FB5_14_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_2</a> <a href="Javascript:showPT('FB5_14_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_3</a> <a href="Javascript:showPT('FB5_14_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_4</a>
</td>
<td align="center" width="10%">MC14</td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%">43</td>
<td width="8%" align="center">I/O</td>
<td align="center" width="10%">(b)</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('BERR_IOBS')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">BERR_IOBS</a></td>
<td align="center" width="10%">4</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB5_15_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_1</a> <a href="Javascript:showPT('FB5_15_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_2</a> <a href="Javascript:showPT('FB5_15_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_3</a> <a href="Javascript:showPT('FB5_15_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_4</a>
</td>
<td align="center" width="10%">MC15</td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%">46</td>
<td width="8%" align="center">I/O</td>
<td align="center" width="10%">(b)</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('iobmIOS_FSM_FFd3_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOS_FSM_FFd3</a></td>
<td align="center" width="10%">5</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB5_16_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_1</a> <a href="Javascript:showPT('FB5_16_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_2</a> <a href="Javascript:showPT('FB5_16_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_3</a> <a href="Javascript:showPT('FB5_16_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_4</a> <a href="Javascript:showPT('FB5_16_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_5</a>
</td>
<td align="center" width="10%">MC16</td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">(b)</td>
<td align="center" width="10%">(b)</td>
</tr>
<tr>
<td align="center" width="10%">(unused)</td>
<td align="center" width="10%">0</td>
<td align="center" width="30%"> </td>
<td align="center" width="10%">MC17</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">49</td>
<td width="8%" align="center">I/O</td>
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'E_IOB'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('IOBERR')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">IOBERR</a></td>
<td align="center" width="10%">8</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB5_17_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_1</a> <a href="Javascript:showPT('FB5_17_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_2</a> <a href="Javascript:showPT('FB5_17_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_3</a> <a href="Javascript:showPT('FB5_17_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_4</a> <a href="Javascript:showPT('FB5_17_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_5</a> <a href="Javascript:showPT('FB5_18_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_1</a> <a href="Javascript:showPT('FB5_18_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_2</a> <a href="Javascript:showPT('FB5_18_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_3</a>
</td>
<td align="center" width="10%">MC18</td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">(b)</td>
<td align="center" width="10%">(b)</td>
</tr>
</table></span></div>
<div id="tipBox"></div>
<br><span id="fbsiguse" class="pgRef"><b>Signals Used By Logic in Function Block</b><br><ol>
<li>A_FSB&lt;11&gt;</li>
<li>A_FSB&lt;13&gt;</li>
<li>A_FSB&lt;20&gt;</li>
<li>A_FSB&lt;21&gt;</li>
<li>A_FSB&lt;22&gt;</li>
<li>A_FSB&lt;23&gt;</li>
<li>A_FSB&lt;2&gt;</li>
<li>A_FSB&lt;4&gt;</li>
<li><a href="Javascript:showEqn('BERR_IOBS')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">BERR_IOBS</a></li>
<li>CLK_IOB</li>
<li><a href="Javascript:showEqn('IOBERR')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">IOBERR</a></li>
<li><a href="Javascript:showEqn('csnOverlay1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cs/nOverlay1</a></li>
<li><a href="Javascript:showEqn('fsbASrf_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">fsb/ASrf</a></li>
<li><a href="Javascript:showEqn('iobmBERRrf_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/BERRrf</a></li>
<li><a href="Javascript:showEqn('iobmBERRrr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/BERRrr</a></li>
<li><a href="Javascript:showEqn('iobmDTACKrf_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/DTACKrf</a></li>
<li><a href="Javascript:showEqn('iobmDTACKrr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/DTACKrr</a></li>
<li><a href="Javascript:showEqn('iobmETACK_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/ETACK</a></li>
<li><a href="Javascript:showEqn('iobmIOREQr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOREQr</a></li>
<li><a href="Javascript:showEqn('iobmIOS_FSM_FFd1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOS_FSM_FFd1</a></li>
<li><a href="Javascript:showEqn('iobmIOS_FSM_FFd3_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOS_FSM_FFd3</a></li>
<li><a href="Javascript:showEqn('iobmIOS_FSM_FFd4_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOS_FSM_FFd4</a></li>
<li><a href="Javascript:showEqn('iobmIOS_FSM_FFd5_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOS_FSM_FFd5</a></li>
<li><a href="Javascript:showEqn('iobmIOS_FSM_FFd6_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOS_FSM_FFd6</a></li>
<li><a href="Javascript:showEqn('iobmIOS_FSM_FFd7_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOS_FSM_FFd7</a></li>
<li><a href="Javascript:showEqn('iobmIOS_FSM_FFd8_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOS_FSM_FFd8</a></li>
<li><a href="Javascript:showEqn('iobmRESrf_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/RESrf</a></li>
<li><a href="Javascript:showEqn('iobmRESrr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/RESrr</a></li>
<li><a href="Javascript:showEqn('iobsIOACTr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/IOACTr</a></li>
<li><a href="Javascript:showEqn('iobsOnce_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/Once</a></li>
<li><a href="Javascript:showEqn('iobsPS_FSM_FFd2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/PS_FSM_FFd2</a></li>
<li><a href="Javascript:showEqn('nADoutLE1')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nADoutLE1</a></li>
<li>nAS_FSB</li>
<li>nBERR_IOB</li>
<li><a href="Javascript:showEqn('ramRASEL_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RASEL</a></li>
</ol></span><form><span class="pgRef"><table width="90%" align="center"><tr>
<td align="left"><input type="button" onclick="javascript:parent.leftnav.showTop()" onmouseover="window.status='goto top of page'; return true;" onmouseout="window.status=''" value="back to top"></td>
<td align="center"><table align="center" width="90%" border="0" cellpadding="0" cellspacing="0"><tr><td width="100%" align="center">
<input type="button" onclick="javascript:showFB('FB4')" onmouseover="window.status='show previous Function Block'; return true;" onmouseout="window.status=''" value="prev">
  
<input type="button" onclick="javascript:showFB('FB6')" onmouseover="window.status='show next Function Block'; return true;" onmouseout="window.status=''" value="next">
</td></tr></table></td>
<td align="right">
<input type="button" onclick="javascript:showLegend('logiclegend.htm')" onmouseover="window.status='show Legend'; return true;" onmouseout="window.status=''" value="legend"><input type="button" onclick="window.print()" onmouseover="window.status='print page'; return true;" onmouseout="window.status=''" value="print page">
</td>
</tr></table></span></form>
</body>
</html>

View File

@ -27,19 +27,20 @@
<th width="10%">Pin Use</th> <th width="10%">Pin Use</th>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%">(unused)</td> <td align="center" width="10%"><a href="Javascript:showEqn('ramRASEL_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RASEL</a></td>
<td align="center" width="10%">0</td> <td align="center" width="10%">20</td>
<td align="center" width="30%"> </td> <td align="center" width="30%"> <a href="Javascript:showPT('FB5_17_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_1</a> <a href="Javascript:showPT('FB5_17_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_2</a> <a href="Javascript:showPT('FB5_17_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_3</a> <a href="Javascript:showPT('FB5_17_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_4</a> <a href="Javascript:showPT('FB5_17_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_5</a> <a href="Javascript:showPT('FB5_18_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_1</a> <a href="Javascript:showPT('FB5_18_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_2</a> <a href="Javascript:showPT('FB5_18_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_3</a> <a href="Javascript:showPT('FB5_18_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_4</a> <a href="Javascript:showPT('FB5_18_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_5</a> <a href="Javascript:showPT('FB5_1_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_1</a> <a href="Javascript:showPT('FB5_1_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_2</a> <a href="Javascript:showPT('FB5_1_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_3</a> <a href="Javascript:showPT('FB5_1_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_4</a> <a href="Javascript:showPT('FB5_1_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_5</a> <a href="Javascript:showPT('FB5_2_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_1</a> <a href="Javascript:showPT('FB5_2_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_2</a> <a href="Javascript:showPT('FB5_2_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_3</a> <a href="Javascript:showPT('FB5_2_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_4</a> <a href="Javascript:showPT('FB5_2_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_5</a>
</td>
<td align="center" width="10%">MC1</td> <td align="center" width="10%">MC1</td>
<td align="center" width="10%"> </td> <td align="center" width="10%">STD</td>
<td align="center" width="10%"> </td> <td align="center" width="10%"> </td>
<td align="center" width="10%">(b)</td> <td align="center" width="10%">(b)</td>
<td align="center" width="10%">(b)</td> <td align="center" width="10%">(b)</td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('nROMCS')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nROMCS</a></td> <td align="center" width="10%"><a href="Javascript:showEqn('nROMCS')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nROMCS</a></td>
<td align="center" width="10%">2</td> <td align="center" width="10%">3</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB5_2_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_1</a> <a href="Javascript:showPT('FB5_2_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_2</a> <td align="center" width="30%"> <a href="Javascript:showPT('FB5_3_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_2</a> <a href="Javascript:showPT('FB5_3_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_3</a> <a href="Javascript:showPT('FB5_3_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_4</a>
</td> </td>
<td align="center" width="10%">MC2</td> <td align="center" width="10%">MC2</td>
<td align="center" width="10%">STD</td> <td align="center" width="10%">STD</td>
@ -48,19 +49,20 @@
<td align="center" width="10%">O</td> <td align="center" width="10%">O</td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%">(unused)</td> <td align="center" width="10%"><a href="Javascript:showEqn('cntRefCnt7_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/RefCnt&lt;7&gt;</a></td>
<td align="center" width="10%">0</td> <td align="center" width="10%">1</td>
<td align="center" width="30%"> </td> <td align="center" width="30%"> <a href="Javascript:showPT('FB5_3_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_1</a>
</td>
<td align="center" width="10%">MC3</td> <td align="center" width="10%">MC3</td>
<td align="center" width="10%"> </td> <td align="center" width="10%">STD</td>
<td align="center" width="10%"> </td> <td align="center" width="10%"> </td>
<td align="center" width="10%">(b)</td> <td align="center" width="10%">(b)</td>
<td align="center" width="10%">(b)</td> <td align="center" width="10%">(b)</td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('iobsPS_FSM_FFd2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/PS_FSM_FFd2</a></td> <td align="center" width="10%"><a href="Javascript:showEqn('ramRAMDIS2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RAMDIS2</a></td>
<td align="center" width="10%">14</td> <td align="center" width="10%">7</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB5_3_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_1</a> <a href="Javascript:showPT('FB5_3_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_2</a> <a href="Javascript:showPT('FB5_3_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_3</a> <a href="Javascript:showPT('FB5_3_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_4</a> <a href="Javascript:showPT('FB5_3_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_5</a> <a href="Javascript:showPT('FB5_4_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">4_1</a> <a href="Javascript:showPT('FB5_4_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">4_2</a> <a href="Javascript:showPT('FB5_4_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">4_3</a> <a href="Javascript:showPT('FB5_4_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">4_4</a> <a href="Javascript:showPT('FB5_4_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">4_5</a> <a href="Javascript:showPT('FB5_5_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">5_2</a> <a href="Javascript:showPT('FB5_5_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">5_3</a> <a href="Javascript:showPT('FB5_5_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">5_4</a> <a href="Javascript:showPT('FB5_5_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">5_5</a> <td align="center" width="30%"> <a href="Javascript:showPT('FB5_4_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">4_1</a> <a href="Javascript:showPT('FB5_4_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">4_2</a> <a href="Javascript:showPT('FB5_4_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">4_3</a> <a href="Javascript:showPT('FB5_4_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">4_4</a> <a href="Javascript:showPT('FB5_4_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">4_5</a> <a href="Javascript:showPT('FB5_5_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">5_2</a> <a href="Javascript:showPT('FB5_5_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">5_3</a>
</td> </td>
<td align="center" width="10%">MC4</td> <td align="center" width="10%">MC4</td>
<td align="center" width="10%">STD</td> <td align="center" width="10%">STD</td>
@ -101,9 +103,9 @@
<td align="center" width="10%">(b)</td> <td align="center" width="10%">(b)</td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('iobsOnce_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/Once</a></td> <td align="center" width="10%"><a href="Javascript:showEqn('ramRAMDIS1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RAMDIS1</a></td>
<td align="center" width="10%">17</td> <td align="center" width="10%">18</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB5_6_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">6_2</a> <a href="Javascript:showPT('FB5_6_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">6_3</a> <a href="Javascript:showPT('FB5_7_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_1</a> <a href="Javascript:showPT('FB5_7_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_2</a> <a href="Javascript:showPT('FB5_7_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_3</a> <a href="Javascript:showPT('FB5_7_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_4</a> <a href="Javascript:showPT('FB5_7_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_5</a> <a href="Javascript:showPT('FB5_8_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_1</a> <a href="Javascript:showPT('FB5_8_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_2</a> <a href="Javascript:showPT('FB5_8_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_3</a> <a href="Javascript:showPT('FB5_8_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_4</a> <a href="Javascript:showPT('FB5_8_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_5</a> <a href="Javascript:showPT('FB5_9_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_1</a> <a href="Javascript:showPT('FB5_9_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_2</a> <a href="Javascript:showPT('FB5_9_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_3</a> <a href="Javascript:showPT('FB5_9_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_4</a> <a href="Javascript:showPT('FB5_9_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_5</a> <td align="center" width="30%"> <a href="Javascript:showPT('FB5_6_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">6_2</a> <a href="Javascript:showPT('FB5_6_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">6_3</a> <a href="Javascript:showPT('FB5_6_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">6_4</a> <a href="Javascript:showPT('FB5_7_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_1</a> <a href="Javascript:showPT('FB5_7_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_2</a> <a href="Javascript:showPT('FB5_7_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_3</a> <a href="Javascript:showPT('FB5_7_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_4</a> <a href="Javascript:showPT('FB5_7_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_5</a> <a href="Javascript:showPT('FB5_8_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_1</a> <a href="Javascript:showPT('FB5_8_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_2</a> <a href="Javascript:showPT('FB5_8_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_3</a> <a href="Javascript:showPT('FB5_8_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_4</a> <a href="Javascript:showPT('FB5_8_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_5</a> <a href="Javascript:showPT('FB5_9_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_1</a> <a href="Javascript:showPT('FB5_9_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_2</a> <a href="Javascript:showPT('FB5_9_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_3</a> <a href="Javascript:showPT('FB5_9_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_4</a> <a href="Javascript:showPT('FB5_9_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_5</a>
</td> </td>
<td align="center" width="10%">MC8</td> <td align="center" width="10%">MC8</td>
<td align="center" width="10%">STD</td> <td align="center" width="10%">STD</td>
@ -155,9 +157,9 @@
<td align="center" width="10%">O</td> <td align="center" width="10%">O</td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('IOREQ')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">IOREQ</a></td> <td align="center" width="10%"><a href="Javascript:showEqn('iobsLoad1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/Load1</a></td>
<td align="center" width="10%">14</td> <td align="center" width="10%">15</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB5_12_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_1</a> <a href="Javascript:showPT('FB5_12_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_2</a> <a href="Javascript:showPT('FB5_12_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_3</a> <a href="Javascript:showPT('FB5_12_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_4</a> <a href="Javascript:showPT('FB5_12_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_5</a> <a href="Javascript:showPT('FB5_13_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_1</a> <a href="Javascript:showPT('FB5_13_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_2</a> <a href="Javascript:showPT('FB5_13_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_3</a> <a href="Javascript:showPT('FB5_13_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_4</a> <a href="Javascript:showPT('FB5_13_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_5</a> <a href="Javascript:showPT('FB5_14_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_2</a> <a href="Javascript:showPT('FB5_14_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_3</a> <a href="Javascript:showPT('FB5_14_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_4</a> <a href="Javascript:showPT('FB5_14_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_5</a> <td align="center" width="30%"> <a href="Javascript:showPT('FB5_12_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_1</a> <a href="Javascript:showPT('FB5_12_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_2</a> <a href="Javascript:showPT('FB5_12_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_3</a> <a href="Javascript:showPT('FB5_12_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_4</a> <a href="Javascript:showPT('FB5_12_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_5</a> <a href="Javascript:showPT('FB5_13_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_1</a> <a href="Javascript:showPT('FB5_13_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_2</a> <a href="Javascript:showPT('FB5_13_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_3</a> <a href="Javascript:showPT('FB5_13_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_4</a> <a href="Javascript:showPT('FB5_13_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_5</a> <a href="Javascript:showPT('FB5_14_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_1</a> <a href="Javascript:showPT('FB5_14_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_2</a> <a href="Javascript:showPT('FB5_14_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_3</a> <a href="Javascript:showPT('FB5_14_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_4</a> <a href="Javascript:showPT('FB5_14_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_5</a>
</td> </td>
<td align="center" width="10%">MC13</td> <td align="center" width="10%">MC13</td>
<td align="center" width="10%">STD</td> <td align="center" width="10%">STD</td>
@ -168,7 +170,7 @@
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('RA2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">RA&lt;2&gt;</a></td> <td align="center" width="10%"><a href="Javascript:showEqn('RA2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">RA&lt;2&gt;</a></td>
<td align="center" width="10%">2</td> <td align="center" width="10%">2</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB5_14_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_1</a> <a href="Javascript:showPT('FB5_15_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_3</a> <td align="center" width="30%"> <a href="Javascript:showPT('FB5_15_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_3</a> <a href="Javascript:showPT('FB5_15_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_4</a>
</td> </td>
<td align="center" width="10%">MC14</td> <td align="center" width="10%">MC14</td>
<td align="center" width="10%">STD</td> <td align="center" width="10%">STD</td>
@ -188,9 +190,9 @@
<td align="center" width="10%">O</td> <td align="center" width="10%">O</td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('BERR_IOBS')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">BERR_IOBS</a></td> <td align="center" width="10%"><a href="Javascript:showEqn('ramOnce_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/Once</a></td>
<td align="center" width="10%">4</td> <td align="center" width="10%">5</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB5_16_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_1</a> <a href="Javascript:showPT('FB5_16_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_2</a> <a href="Javascript:showPT('FB5_16_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_3</a> <a href="Javascript:showPT('FB5_16_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_4</a> <td align="center" width="30%"> <a href="Javascript:showPT('FB5_16_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_1</a> <a href="Javascript:showPT('FB5_16_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_2</a> <a href="Javascript:showPT('FB5_16_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_3</a> <a href="Javascript:showPT('FB5_16_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_4</a> <a href="Javascript:showPT('FB5_16_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_5</a>
</td> </td>
<td align="center" width="10%">MC16</td> <td align="center" width="10%">MC16</td>
<td align="center" width="10%">STD</td> <td align="center" width="10%">STD</td>
@ -209,12 +211,11 @@
<td align="center" width="10%">(b)</td> <td align="center" width="10%">(b)</td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('IORW0')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">IORW0</a></td> <td align="center" width="10%">(unused)</td>
<td align="center" width="10%">18</td> <td align="center" width="10%">0</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB5_17_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_1</a> <a href="Javascript:showPT('FB5_17_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_2</a> <a href="Javascript:showPT('FB5_17_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_3</a> <a href="Javascript:showPT('FB5_17_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_4</a> <a href="Javascript:showPT('FB5_17_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_5</a> <a href="Javascript:showPT('FB5_18_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_1</a> <a href="Javascript:showPT('FB5_18_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_2</a> <a href="Javascript:showPT('FB5_18_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_3</a> <a href="Javascript:showPT('FB5_18_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_4</a> <a href="Javascript:showPT('FB5_18_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_5</a> <a href="Javascript:showPT('FB5_1_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_1</a> <a href="Javascript:showPT('FB5_1_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_2</a> <a href="Javascript:showPT('FB5_1_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_3</a> <a href="Javascript:showPT('FB5_1_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_4</a> <a href="Javascript:showPT('FB5_1_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_5</a> <a href="Javascript:showPT('FB5_2_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_3</a> <a href="Javascript:showPT('FB5_2_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_4</a> <a href="Javascript:showPT('FB5_2_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_5</a> <td align="center" width="30%"> </td>
</td>
<td align="center" width="10%">MC18</td> <td align="center" width="10%">MC18</td>
<td align="center" width="10%">STD</td> <td align="center" width="10%"> </td>
<td align="center" width="10%"> </td> <td align="center" width="10%"> </td>
<td align="center" width="10%">(b)</td> <td align="center" width="10%">(b)</td>
<td align="center" width="10%">(b)</td> <td align="center" width="10%">(b)</td>
@ -239,20 +240,31 @@
<li>A_FSB&lt;5&gt;</li> <li>A_FSB&lt;5&gt;</li>
<li>A_FSB&lt;6&gt;</li> <li>A_FSB&lt;6&gt;</li>
<li>A_FSB&lt;7&gt;</li> <li>A_FSB&lt;7&gt;</li>
<li><a href="Javascript:showEqn('BERR_IOBS')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">BERR_IOBS</a></li> <li>SW&lt;1&gt;</li>
<li><a href="Javascript:showEqn('IOBERR')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">IOBERR</a></li> <li><a href="Javascript:showEqn('cntRefCnt0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/RefCnt&lt;0&gt;</a></li>
<li><a href="Javascript:showEqn('IORW0')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">IORW0</a></li> <li><a href="Javascript:showEqn('cntRefCnt1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/RefCnt&lt;1&gt;</a></li>
<li><a href="Javascript:showEqn('cntRefCnt2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/RefCnt&lt;2&gt;</a></li>
<li><a href="Javascript:showEqn('cntRefCnt3_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/RefCnt&lt;3&gt;</a></li>
<li><a href="Javascript:showEqn('cntRefCnt4_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/RefCnt&lt;4&gt;</a></li>
<li><a href="Javascript:showEqn('cntRefCnt5_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/RefCnt&lt;5&gt;</a></li>
<li><a href="Javascript:showEqn('cntRefCnt6_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/RefCnt&lt;6&gt;</a></li>
<li><a href="Javascript:showEqn('cntRefCnt7_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/RefCnt&lt;7&gt;</a></li>
<li><a href="Javascript:showEqn('cntRefDone_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/RefDone</a></li>
<li><a href="Javascript:showEqn('csnOverlay1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cs/nOverlay1</a></li> <li><a href="Javascript:showEqn('csnOverlay1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cs/nOverlay1</a></li>
<li><a href="Javascript:showEqn('fsbASrf_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">fsb/ASrf</a></li> <li><a href="Javascript:showEqn('fsbASrf_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">fsb/ASrf</a></li>
<li><a href="Javascript:showEqn('iobsIOACTr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/IOACTr</a></li>
<li><a href="Javascript:showEqn('iobsIORW1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/IORW1</a></li>
<li><a href="Javascript:showEqn('iobsOnce_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/Once</a></li> <li><a href="Javascript:showEqn('iobsOnce_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/Once</a></li>
<li><a href="Javascript:showEqn('iobsPS_FSM_FFd1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/PS_FSM_FFd1</a></li> <li><a href="Javascript:showEqn('iobsPS_FSM_FFd1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/PS_FSM_FFd1</a></li>
<li><a href="Javascript:showEqn('iobsPS_FSM_FFd2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/PS_FSM_FFd2</a></li> <li><a href="Javascript:showEqn('iobsPS_FSM_FFd2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/PS_FSM_FFd2</a></li>
<li><a href="Javascript:showEqn('nADoutLE1')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nADoutLE1</a></li> <li><a href="Javascript:showEqn('nADoutLE1')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nADoutLE1</a></li>
<li>nAS_FSB</li> <li>nAS_FSB</li>
<li>nWE_FSB</li> <li>nWE_FSB</li>
<li><a href="Javascript:showEqn('ramBACTr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/BACTr</a></li>
<li><a href="Javascript:showEqn('ramOnce_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/Once</a></li>
<li><a href="Javascript:showEqn('ramRAMDIS2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RAMDIS2</a></li>
<li><a href="Javascript:showEqn('ramRASEL_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RASEL</a></li> <li><a href="Javascript:showEqn('ramRASEL_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RASEL</a></li>
<li><a href="Javascript:showEqn('ramRS_FSM_FFd1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RS_FSM_FFd1</a></li>
<li><a href="Javascript:showEqn('ramRS_FSM_FFd2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RS_FSM_FFd2</a></li>
<li><a href="Javascript:showEqn('ramRS_FSM_FFd3_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RS_FSM_FFd3</a></li>
</ol></span><form><span class="pgRef"><table width="90%" align="center"><tr> </ol></span><form><span class="pgRef"><table width="90%" align="center"><tr>
<td align="left"><input type="button" onclick="javascript:parent.leftnav.showTop()" onmouseover="window.status='goto top of page'; return true;" onmouseout="window.status=''" value="back to top"></td> <td align="left"><input type="button" onclick="javascript:parent.leftnav.showTop()" onmouseover="window.status='goto top of page'; return true;" onmouseout="window.status=''" value="back to top"></td>
<td align="center"><table align="center" width="90%" border="0" cellpadding="0" cellspacing="0"><tr><td width="100%" align="center"> <td align="center"><table align="center" width="90%" border="0" cellpadding="0" cellspacing="0"><tr><td width="100%" align="center">

View File

@ -1,266 +0,0 @@
<html>
<head>
<meta http-equiv="Content-Type" content="text/html; charset=UTF-8">
<script src="tooltips.js"></script><script src="fbs.js"></script><link rel="stylesheet" type="text/css" href="style.css">
</head>
<body class="pgBgnd" id="XC95144XL">
<span id="fbsel" class="pgRef"><table cellspacing="0" cellpadding="0" border="0" width="90%" align="center"><tr>
<td width="33%" valign="center" align="left"></td>
<td width="33%" valign="center" align="center"><form name="fbopt"><select onchange="javascript:showFB(document.fbopt.fbType.options[document.fbopt.fbType.options.selectedIndex].value)" name="fbType"><option value="FB1">FB1</option>
<option value="FB2">FB2</option>
<option value="FB3">FB3</option>
<option value="FB4">FB4</option>
<option value="FB5">FB5</option>
<option value="FB6" selected>FB6</option>
<option value="FB7">FB7</option>
<option value="FB8">FB8</option></select></form></td>
<td width="33%" valign="center" align="right"></td>
</tr></table></span><div><span id="fbdata" class="pgRef"><table align="center" width="90%" border="1" cellpadding="0" cellspacing="0">
<tr class="pgHeader">
<th width="10%">Signal Name</th>
<th width="10%">Total Product Terms</th>
<th width="30%">Product Terms</th>
<th width="10%">Location</th>
<th width="10%">Power Mode</th>
<th width="10%">Pin Number</th>
<th width="10%">PinType</th>
<th width="10%">Pin Use</th>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('iobmEr2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/Er2</a></td>
<td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB6_1_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_1</a>
</td>
<td align="center" width="10%">MC1</td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">(b)</td>
<td align="center" width="10%">(b)</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('nCAS')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nCAS</a></td>
<td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB6_2_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_1</a>
</td>
<td align="center" width="10%">MC2</td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%">74</td>
<td width="8%" align="center">I/O</td>
<td align="center" width="10%">O</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('iobmDTACKrr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/DTACKrr</a></td>
<td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB6_3_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_1</a>
</td>
<td align="center" width="10%">MC3</td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">(b)</td>
<td align="center" width="10%">(b)</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('iobmDTACKrf_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/DTACKrf</a></td>
<td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB6_4_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">4_1</a>
</td>
<td align="center" width="10%">MC4</td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">(b)</td>
<td align="center" width="10%">(b)</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('RefAck')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">RefAck</a></td>
<td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB6_5_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">5_1</a>
</td>
<td align="center" width="10%">MC5</td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%">76</td>
<td width="8%" align="center">I/O</td>
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB&lt;18&gt;'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('nRAMLWE')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nRAMLWE</a></td>
<td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB6_6_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">6_1</a>
</td>
<td align="center" width="10%">MC6</td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%">77</td>
<td width="8%" align="center">I/O</td>
<td align="center" width="10%">O</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('iobsIOU1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/IOU1</a></td>
<td align="center" width="10%">2</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB6_7_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_1</a> <a href="Javascript:showPT('FB6_7_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_2</a>
</td>
<td align="center" width="10%">MC7</td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">(b)</td>
<td align="center" width="10%">(b)</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('iobsIOL1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/IOL1</a></td>
<td align="center" width="10%">2</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB6_8_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_1</a> <a href="Javascript:showPT('FB6_8_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_2</a>
</td>
<td align="center" width="10%">MC8</td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%">78</td>
<td width="8%" align="center">I/O</td>
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB&lt;3&gt;'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('nRAMUWE')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nRAMUWE</a></td>
<td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB6_9_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_1</a>
</td>
<td align="center" width="10%">MC9</td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%">79</td>
<td width="8%" align="center">I/O</td>
<td align="center" width="10%">O</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('iobmES3_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/ES&lt;3&gt;</a></td>
<td align="center" width="10%">3</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB6_10_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_1</a> <a href="Javascript:showPT('FB6_10_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_2</a> <a href="Javascript:showPT('FB6_10_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_3</a>
</td>
<td align="center" width="10%">MC10</td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">(b)</td>
<td align="center" width="10%">(b)</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('iobmES1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/ES&lt;1&gt;</a></td>
<td align="center" width="10%">3</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB6_11_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">11_1</a> <a href="Javascript:showPT('FB6_11_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">11_2</a> <a href="Javascript:showPT('FB6_11_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">11_3</a>
</td>
<td align="center" width="10%">MC11</td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%">80</td>
<td width="8%" align="center">I/O</td>
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB&lt;15&gt;'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('nROMWE')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nROMWE</a></td>
<td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB6_12_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_1</a>
</td>
<td align="center" width="10%">MC12</td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%">81</td>
<td width="8%" align="center">I/O</td>
<td align="center" width="10%">O</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('iobmES0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/ES&lt;0&gt;</a></td>
<td align="center" width="10%">3</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB6_13_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_1</a> <a href="Javascript:showPT('FB6_13_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_2</a> <a href="Javascript:showPT('FB6_13_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_3</a>
</td>
<td align="center" width="10%">MC13</td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">(b)</td>
<td align="center" width="10%">(b)</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('IOU0')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">IOU0</a></td>
<td align="center" width="10%">3</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB6_14_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_1</a> <a href="Javascript:showPT('FB6_14_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_2</a> <a href="Javascript:showPT('FB6_14_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_3</a>
</td>
<td align="center" width="10%">MC14</td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%">82</td>
<td width="8%" align="center">I/O</td>
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB&lt;13&gt;'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('nVPA_FSB')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nVPA_FSB</a></td>
<td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB6_15_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_1</a>
</td>
<td align="center" width="10%">MC15</td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%">85</td>
<td width="8%" align="center">I/O</td>
<td align="center" width="10%">O</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('IOL0')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">IOL0</a></td>
<td align="center" width="10%">3</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB6_16_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_1</a> <a href="Javascript:showPT('FB6_16_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_2</a> <a href="Javascript:showPT('FB6_16_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_3</a>
</td>
<td align="center" width="10%">MC16</td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">(b)</td>
<td align="center" width="10%">(b)</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('iobmES4_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/ES&lt;4&gt;</a></td>
<td align="center" width="10%">4</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB6_17_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_1</a> <a href="Javascript:showPT('FB6_17_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_2</a> <a href="Javascript:showPT('FB6_17_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_3</a> <a href="Javascript:showPT('FB6_17_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_4</a>
</td>
<td align="center" width="10%">MC17</td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%">86</td>
<td width="8%" align="center">I/O</td>
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB&lt;11&gt;'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('iobmES2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/ES&lt;2&gt;</a></td>
<td align="center" width="10%">5</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB6_18_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_1</a> <a href="Javascript:showPT('FB6_18_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_2</a> <a href="Javascript:showPT('FB6_18_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_3</a> <a href="Javascript:showPT('FB6_18_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_4</a> <a href="Javascript:showPT('FB6_18_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_5</a>
</td>
<td align="center" width="10%">MC18</td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">(b)</td>
<td align="center" width="10%">(b)</td>
</tr>
</table></span></div>
<div id="tipBox"></div>
<br><span id="fbsiguse" class="pgRef"><b>Signals Used By Logic in Function Block</b><br><ol>
<li><a href="Javascript:showEqn('fsbVPA_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">fsb/VPA</a></li>
<li><a href="Javascript:showEqn('iobmES0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/ES&lt;0&gt;</a></li>
<li><a href="Javascript:showEqn('iobmES1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/ES&lt;1&gt;</a></li>
<li><a href="Javascript:showEqn('iobmES2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/ES&lt;2&gt;</a></li>
<li><a href="Javascript:showEqn('iobmES3_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/ES&lt;3&gt;</a></li>
<li><a href="Javascript:showEqn('iobmES4_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/ES&lt;4&gt;</a></li>
<li><a href="Javascript:showEqn('iobmEr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/Er</a></li>
<li><a href="Javascript:showEqn('iobmEr2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/Er2</a></li>
<li><a href="Javascript:showEqn('iobsIOL1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/IOL1</a></li>
<li><a href="Javascript:showEqn('iobsIOU1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/IOU1</a></li>
<li><a href="Javascript:showEqn('iobsLoad1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/Load1</a></li>
<li><a href="Javascript:showEqn('iobsPS_FSM_FFd1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/PS_FSM_FFd1</a></li>
<li><a href="Javascript:showEqn('iobsPS_FSM_FFd2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/PS_FSM_FFd2</a></li>
<li><a href="Javascript:showEqn('nADoutLE1')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nADoutLE1</a></li>
<li>nAS_FSB</li>
<li>nDTACK_IOB</li>
<li>nLDS_FSB</li>
<li>nUDS_FSB</li>
<li>nWE_FSB</li>
<li><a href="Javascript:showEqn('ramRAMDIS1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RAMDIS1</a></li>
<li><a href="Javascript:showEqn('ramRAMDIS2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RAMDIS2</a></li>
<li><a href="Javascript:showEqn('ramRASEL_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RASEL</a></li>
<li><a href="Javascript:showEqn('ramRS_FSM_FFd1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RS_FSM_FFd1</a></li>
<li><a href="Javascript:showEqn('ramRS_FSM_FFd2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RS_FSM_FFd2</a></li>
</ol></span><form><span class="pgRef"><table width="90%" align="center"><tr>
<td align="left"><input type="button" onclick="javascript:parent.leftnav.showTop()" onmouseover="window.status='goto top of page'; return true;" onmouseout="window.status=''" value="back to top"></td>
<td align="center"><table align="center" width="90%" border="0" cellpadding="0" cellspacing="0"><tr><td width="100%" align="center">
<input type="button" onclick="javascript:showFB('FB5')" onmouseover="window.status='show previous Function Block'; return true;" onmouseout="window.status=''" value="prev">
  
<input type="button" onclick="javascript:showFB('FB7')" onmouseover="window.status='show next Function Block'; return true;" onmouseout="window.status=''" value="next">
</td></tr></table></td>
<td align="right">
<input type="button" onclick="javascript:showLegend('logiclegend.htm')" onmouseover="window.status='show Legend'; return true;" onmouseout="window.status=''" value="legend"><input type="button" onclick="window.print()" onmouseover="window.status='print page'; return true;" onmouseout="window.status=''" value="print page">
</td>
</tr></table></span></form>
</body>
</html>

View File

@ -39,8 +39,8 @@
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('nVMA_IOB')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nVMA_IOB</a></td> <td align="center" width="10%"><a href="Javascript:showEqn('nVMA_IOB')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nVMA_IOB</a></td>
<td align="center" width="10%">2</td> <td align="center" width="10%">3</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB6_2_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_1</a> <a href="Javascript:showPT('FB6_2_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_2</a> <td align="center" width="30%"> <a href="Javascript:showPT('FB6_2_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_1</a> <a href="Javascript:showPT('FB6_2_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_2</a> <a href="Javascript:showPT('FB6_2_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_3</a>
</td> </td>
<td align="center" width="10%">MC2</td> <td align="center" width="10%">MC2</td>
<td align="center" width="10%">STD</td> <td align="center" width="10%">STD</td>
@ -49,9 +49,9 @@
<td align="center" width="10%">O</td> <td align="center" width="10%">O</td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('ALE0M')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ALE0M</a></td> <td align="center" width="10%"><a href="Javascript:showEqn('iobmIOS_FSM_FFd3_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOS_FSM_FFd3</a></td>
<td align="center" width="10%">2</td> <td align="center" width="10%">3</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB6_3_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_1</a> <a href="Javascript:showPT('FB6_3_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_2</a> <td align="center" width="30%"> <a href="Javascript:showPT('FB6_3_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_1</a> <a href="Javascript:showPT('FB6_3_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_2</a> <a href="Javascript:showPT('FB6_3_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_3</a>
</td> </td>
<td align="center" width="10%">MC3</td> <td align="center" width="10%">MC3</td>
<td align="center" width="10%">STD</td> <td align="center" width="10%">STD</td>
@ -93,9 +93,9 @@
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'nVPA_IOB'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td> <td align="center" width="10%"><a href="#" onmouseover="this._tip = 'nVPA_IOB'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('iobmIOS_FSM_FFd4_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOS_FSM_FFd4</a></td> <td align="center" width="10%"><a href="Javascript:showEqn('ALE0M')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ALE0M</a></td>
<td align="center" width="10%">4</td> <td align="center" width="10%">3</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB6_7_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_1</a> <a href="Javascript:showPT('FB6_7_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_2</a> <a href="Javascript:showPT('FB6_7_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_3</a> <a href="Javascript:showPT('FB6_7_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_4</a> <td align="center" width="30%"> <a href="Javascript:showPT('FB6_7_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_1</a> <a href="Javascript:showPT('FB6_7_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_2</a> <a href="Javascript:showPT('FB6_7_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_3</a>
</td> </td>
<td align="center" width="10%">MC7</td> <td align="center" width="10%">MC7</td>
<td align="center" width="10%">STD</td> <td align="center" width="10%">STD</td>
@ -126,7 +126,7 @@
<td align="center" width="10%">O</td> <td align="center" width="10%">O</td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('iobmIOS_FSM_FFd3_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOS_FSM_FFd3</a></td> <td align="center" width="10%"><a href="Javascript:showEqn('iobmIOS_FSM_FFd2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOS_FSM_FFd2</a></td>
<td align="center" width="10%">5</td> <td align="center" width="10%">5</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB6_10_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_1</a> <a href="Javascript:showPT('FB6_10_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_2</a> <a href="Javascript:showPT('FB6_10_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_3</a> <a href="Javascript:showPT('FB6_10_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_4</a> <a href="Javascript:showPT('FB6_10_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_5</a> <td align="center" width="30%"> <a href="Javascript:showPT('FB6_10_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_1</a> <a href="Javascript:showPT('FB6_10_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_2</a> <a href="Javascript:showPT('FB6_10_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_3</a> <a href="Javascript:showPT('FB6_10_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_4</a> <a href="Javascript:showPT('FB6_10_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_5</a>
</td> </td>
@ -149,8 +149,8 @@
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('nAS_IOB')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nAS_IOB</a></td> <td align="center" width="10%"><a href="Javascript:showEqn('nAS_IOB')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nAS_IOB</a></td>
<td align="center" width="10%">2</td> <td align="center" width="10%">3</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB6_12_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_1</a> <a href="Javascript:showPT('FB6_12_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_2</a> <td align="center" width="30%"> <a href="Javascript:showPT('FB6_12_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_1</a> <a href="Javascript:showPT('FB6_12_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_2</a> <a href="Javascript:showPT('FB6_12_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_3</a>
</td> </td>
<td align="center" width="10%">MC12</td> <td align="center" width="10%">MC12</td>
<td align="center" width="10%">STD</td> <td align="center" width="10%">STD</td>
@ -193,8 +193,8 @@
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('IOACT')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">IOACT</a></td> <td align="center" width="10%"><a href="Javascript:showEqn('IOACT')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">IOACT</a></td>
<td align="center" width="10%">6</td> <td align="center" width="10%">7</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB6_15_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_2</a> <a href="Javascript:showPT('FB6_16_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_1</a> <a href="Javascript:showPT('FB6_16_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_2</a> <a href="Javascript:showPT('FB6_16_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_3</a> <a href="Javascript:showPT('FB6_16_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_4</a> <a href="Javascript:showPT('FB6_16_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_5</a> <td align="center" width="30%"> <a href="Javascript:showPT('FB6_15_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_2</a> <a href="Javascript:showPT('FB6_16_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_1</a> <a href="Javascript:showPT('FB6_16_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_2</a> <a href="Javascript:showPT('FB6_16_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_3</a> <a href="Javascript:showPT('FB6_16_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_4</a> <a href="Javascript:showPT('FB6_16_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_5</a> <a href="Javascript:showPT('FB6_17_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_2</a>
</td> </td>
<td align="center" width="10%">MC16</td> <td align="center" width="10%">MC16</td>
<td align="center" width="10%">STD</td> <td align="center" width="10%">STD</td>
@ -204,8 +204,8 @@
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('nDinLE')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nDinLE</a></td> <td align="center" width="10%"><a href="Javascript:showEqn('nDinLE')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nDinLE</a></td>
<td align="center" width="10%">2</td> <td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB6_17_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_1</a> <a href="Javascript:showPT('FB6_17_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_2</a> <td align="center" width="30%"> <a href="Javascript:showPT('FB6_17_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_1</a>
</td> </td>
<td align="center" width="10%">MC17</td> <td align="center" width="10%">MC17</td>
<td align="center" width="10%">STD</td> <td align="center" width="10%">STD</td>
@ -216,7 +216,7 @@
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('IOBERR')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">IOBERR</a></td> <td align="center" width="10%"><a href="Javascript:showEqn('IOBERR')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">IOBERR</a></td>
<td align="center" width="10%">9</td> <td align="center" width="10%">9</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB6_17_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_3</a> <a href="Javascript:showPT('FB6_17_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_4</a> <a href="Javascript:showPT('FB6_17_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_5</a> <a href="Javascript:showPT('FB6_18_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_1</a> <a href="Javascript:showPT('FB6_18_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_2</a> <a href="Javascript:showPT('FB6_18_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_3</a> <a href="Javascript:showPT('FB6_18_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_4</a> <a href="Javascript:showPT('FB6_18_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_5</a> <a href="Javascript:showPT('FB6_1_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_2</a> <td align="center" width="30%"> <a href="Javascript:showPT('FB6_18_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_1</a> <a href="Javascript:showPT('FB6_18_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_2</a> <a href="Javascript:showPT('FB6_18_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_3</a> <a href="Javascript:showPT('FB6_18_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_4</a> <a href="Javascript:showPT('FB6_18_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_5</a> <a href="Javascript:showPT('FB6_1_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_2</a> <a href="Javascript:showPT('FB6_1_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_3</a> <a href="Javascript:showPT('FB6_1_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_4</a> <a href="Javascript:showPT('FB6_1_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_5</a>
</td> </td>
<td align="center" width="10%">MC18</td> <td align="center" width="10%">MC18</td>
<td align="center" width="10%">STD</td> <td align="center" width="10%">STD</td>
@ -251,7 +251,6 @@
<li><a href="Javascript:showEqn('iobmIOS_FSM_FFd1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOS_FSM_FFd1</a></li> <li><a href="Javascript:showEqn('iobmIOS_FSM_FFd1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOS_FSM_FFd1</a></li>
<li><a href="Javascript:showEqn('iobmIOS_FSM_FFd2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOS_FSM_FFd2</a></li> <li><a href="Javascript:showEqn('iobmIOS_FSM_FFd2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOS_FSM_FFd2</a></li>
<li><a href="Javascript:showEqn('iobmIOS_FSM_FFd3_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOS_FSM_FFd3</a></li> <li><a href="Javascript:showEqn('iobmIOS_FSM_FFd3_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOS_FSM_FFd3</a></li>
<li><a href="Javascript:showEqn('iobmIOS_FSM_FFd4_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOS_FSM_FFd4</a></li>
<li><a href="Javascript:showEqn('iobmRESrf_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/RESrf</a></li> <li><a href="Javascript:showEqn('iobmRESrf_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/RESrf</a></li>
<li><a href="Javascript:showEqn('iobmRESrr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/RESrr</a></li> <li><a href="Javascript:showEqn('iobmRESrr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/RESrr</a></li>
<li><a href="Javascript:showEqn('iobmVPArf_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/VPArf</a></li> <li><a href="Javascript:showEqn('iobmVPArf_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/VPArf</a></li>
@ -259,6 +258,7 @@
<li><a href="Javascript:showEqn('iobsClear1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/Clear1</a></li> <li><a href="Javascript:showEqn('iobsClear1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/Clear1</a></li>
<li><a href="Javascript:showEqn('iobsLoad1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/Load1</a></li> <li><a href="Javascript:showEqn('iobsLoad1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/Load1</a></li>
<li><a href="Javascript:showEqn('nADoutLE1')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nADoutLE1</a></li> <li><a href="Javascript:showEqn('nADoutLE1')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nADoutLE1</a></li>
<li><a href="Javascript:showEqn('nAoutOE')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nAoutOE</a></li>
<li>nBERR_IOB</li> <li>nBERR_IOB</li>
<li><a href="Javascript:showEqn('nVMA_IOB')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nVMA_IOB</a></li> <li><a href="Javascript:showEqn('nVMA_IOB')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nVMA_IOB</a></li>
</ol></span><form><span class="pgRef"><table width="90%" align="center"><tr> </ol></span><form><span class="pgRef"><table width="90%" align="center"><tr>

View File

@ -1,276 +0,0 @@
<html>
<head>
<meta http-equiv="Content-Type" content="text/html; charset=UTF-8">
<script src="tooltips.js"></script><script src="fbs.js"></script><link rel="stylesheet" type="text/css" href="style.css">
</head>
<body class="pgBgnd" id="XC95144XL">
<span id="fbsel" class="pgRef"><table cellspacing="0" cellpadding="0" border="0" width="90%" align="center"><tr>
<td width="33%" valign="center" align="left"></td>
<td width="33%" valign="center" align="center"><form name="fbopt"><select onchange="javascript:showFB(document.fbopt.fbType.options[document.fbopt.fbType.options.selectedIndex].value)" name="fbType"><option value="FB1">FB1</option>
<option value="FB2">FB2</option>
<option value="FB3">FB3</option>
<option value="FB4">FB4</option>
<option value="FB5">FB5</option>
<option value="FB6">FB6</option>
<option value="FB7" selected>FB7</option>
<option value="FB8">FB8</option></select></form></td>
<td width="33%" valign="center" align="right"></td>
</tr></table></span><div><span id="fbdata" class="pgRef"><table align="center" width="90%" border="1" cellpadding="0" cellspacing="0">
<tr class="pgHeader">
<th width="10%">Signal Name</th>
<th width="10%">Total Product Terms</th>
<th width="30%">Product Terms</th>
<th width="10%">Location</th>
<th width="10%">Power Mode</th>
<th width="10%">Pin Number</th>
<th width="10%">PinType</th>
<th width="10%">Pin Use</th>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('cntRefCnt5_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/RefCnt&lt;5&gt;</a></td>
<td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB7_1_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_1</a>
</td>
<td align="center" width="10%">MC1</td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">(b)</td>
<td align="center" width="10%">(b)</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('RA5_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">RA&lt;5&gt;</a></td>
<td align="center" width="10%">2</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB7_2_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_1</a> <a href="Javascript:showPT('FB7_2_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_2</a>
</td>
<td align="center" width="10%">MC2</td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%">50</td>
<td width="8%" align="center">I/O</td>
<td align="center" width="10%">O</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('cntRefCnt4_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/RefCnt&lt;4&gt;</a></td>
<td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB7_3_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_1</a>
</td>
<td align="center" width="10%">MC3</td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">(b)</td>
<td align="center" width="10%">(b)</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('csnOverlay0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cs/nOverlay0</a></td>
<td align="center" width="10%">2</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB7_4_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">4_1</a> <a href="Javascript:showPT('FB7_4_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">4_2</a>
</td>
<td align="center" width="10%">MC4</td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">(b)</td>
<td align="center" width="10%">(b)</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('ramRS_FSM_FFd3_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RS_FSM_FFd3</a></td>
<td align="center" width="10%">11</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB7_4_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">4_3</a> <a href="Javascript:showPT('FB7_4_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">4_4</a> <a href="Javascript:showPT('FB7_4_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">4_5</a> <a href="Javascript:showPT('FB7_5_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">5_1</a> <a href="Javascript:showPT('FB7_5_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">5_2</a> <a href="Javascript:showPT('FB7_5_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">5_3</a> <a href="Javascript:showPT('FB7_5_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">5_4</a> <a href="Javascript:showPT('FB7_5_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">5_5</a> <a href="Javascript:showPT('FB7_6_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">6_3</a> <a href="Javascript:showPT('FB7_6_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">6_4</a> <a href="Javascript:showPT('FB7_6_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">6_5</a>
</td>
<td align="center" width="10%">MC5</td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%">52</td>
<td width="8%" align="center">I/O</td>
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'nUDS_FSB'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('RA6_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">RA&lt;6&gt;</a></td>
<td align="center" width="10%">2</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB7_6_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">6_1</a> <a href="Javascript:showPT('FB7_6_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">6_2</a>
</td>
<td align="center" width="10%">MC6</td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%">53</td>
<td width="8%" align="center">I/O</td>
<td align="center" width="10%">O</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('fsbReady0r_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">fsb/Ready0r</a></td>
<td align="center" width="10%">3</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB7_7_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_1</a> <a href="Javascript:showPT('FB7_7_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_2</a> <a href="Javascript:showPT('FB7_7_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_3</a>
</td>
<td align="center" width="10%">MC7</td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">(b)</td>
<td align="center" width="10%">(b)</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('ramRS_FSM_FFd1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RS_FSM_FFd1</a></td>
<td align="center" width="10%">5</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB7_8_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_1</a> <a href="Javascript:showPT('FB7_8_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_2</a> <a href="Javascript:showPT('FB7_8_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_3</a> <a href="Javascript:showPT('FB7_8_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_4</a> <a href="Javascript:showPT('FB7_8_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_5</a>
</td>
<td align="center" width="10%">MC8</td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%">54</td>
<td width="8%" align="center">I/O</td>
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'nDTACK_IOB'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('RA7_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">RA&lt;7&gt;</a></td>
<td align="center" width="10%">2</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB7_9_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_1</a> <a href="Javascript:showPT('FB7_9_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_2</a>
</td>
<td align="center" width="10%">MC9</td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%">55</td>
<td width="8%" align="center">I/O</td>
<td align="center" width="10%">O</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('ramOnce_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/Once</a></td>
<td align="center" width="10%">5</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB7_10_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_1</a> <a href="Javascript:showPT('FB7_10_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_2</a> <a href="Javascript:showPT('FB7_9_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_3</a> <a href="Javascript:showPT('FB7_9_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_4</a> <a href="Javascript:showPT('FB7_9_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_5</a>
</td>
<td align="center" width="10%">MC10</td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">(b)</td>
<td align="center" width="10%">(b)</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('ramRAMDIS2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RAMDIS2</a></td>
<td align="center" width="10%">7</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB7_10_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_3</a> <a href="Javascript:showPT('FB7_10_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_4</a> <a href="Javascript:showPT('FB7_10_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_5</a> <a href="Javascript:showPT('FB7_11_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">11_1</a> <a href="Javascript:showPT('FB7_11_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">11_2</a> <a href="Javascript:showPT('FB7_11_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">11_3</a> <a href="Javascript:showPT('FB7_11_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">11_4</a>
</td>
<td align="center" width="10%">MC11</td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%">56</td>
<td width="8%" align="center">I/O</td>
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB&lt;4&gt;'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('RA8_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">RA&lt;8&gt;</a></td>
<td align="center" width="10%">2</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB7_11_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">11_5</a> <a href="Javascript:showPT('FB7_12_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_1</a>
</td>
<td align="center" width="10%">MC12</td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%">58</td>
<td width="8%" align="center">I/O</td>
<td align="center" width="10%">O</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('ramRS_FSM_FFd2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RS_FSM_FFd2</a></td>
<td align="center" width="10%">13</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB7_12_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_2</a> <a href="Javascript:showPT('FB7_12_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_3</a> <a href="Javascript:showPT('FB7_12_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_4</a> <a href="Javascript:showPT('FB7_12_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_5</a> <a href="Javascript:showPT('FB7_13_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_1</a> <a href="Javascript:showPT('FB7_13_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_2</a> <a href="Javascript:showPT('FB7_13_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_3</a> <a href="Javascript:showPT('FB7_13_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_4</a> <a href="Javascript:showPT('FB7_13_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_5</a> <a href="Javascript:showPT('FB7_14_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_2</a> <a href="Javascript:showPT('FB7_14_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_3</a> <a href="Javascript:showPT('FB7_14_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_4</a> <a href="Javascript:showPT('FB7_14_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_5</a>
</td>
<td align="center" width="10%">MC13</td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">(b)</td>
<td align="center" width="10%">(b)</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('cntRefCnt7_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/RefCnt&lt;7&gt;</a></td>
<td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB7_14_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_1</a>
</td>
<td align="center" width="10%">MC14</td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%">59</td>
<td width="8%" align="center">I/O</td>
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'nVPA_IOB'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('RA9_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">RA&lt;9&gt;</a></td>
<td align="center" width="10%">2</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB7_15_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_1</a> <a href="Javascript:showPT('FB7_15_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_2</a>
</td>
<td align="center" width="10%">MC15</td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%">60</td>
<td width="8%" align="center">I/O</td>
<td align="center" width="10%">O</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('cntRefCnt6_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/RefCnt&lt;6&gt;</a></td>
<td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB7_15_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_3</a>
</td>
<td align="center" width="10%">MC16</td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">(b)</td>
<td align="center" width="10%">(b)</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('ramRAMReady_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RAMReady</a></td>
<td align="center" width="10%">16</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB7_16_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_1</a> <a href="Javascript:showPT('FB7_16_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_2</a> <a href="Javascript:showPT('FB7_16_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_3</a> <a href="Javascript:showPT('FB7_16_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_4</a> <a href="Javascript:showPT('FB7_16_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_5</a> <a href="Javascript:showPT('FB7_17_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_1</a> <a href="Javascript:showPT('FB7_17_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_2</a> <a href="Javascript:showPT('FB7_17_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_3</a> <a href="Javascript:showPT('FB7_17_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_4</a> <a href="Javascript:showPT('FB7_17_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_5</a> <a href="Javascript:showPT('FB7_18_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_1</a> <a href="Javascript:showPT('FB7_18_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_2</a> <a href="Javascript:showPT('FB7_18_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_3</a> <a href="Javascript:showPT('FB7_18_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_4</a> <a href="Javascript:showPT('FB7_18_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_5</a> <a href="Javascript:showPT('FB7_1_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_2</a>
</td>
<td align="center" width="10%">MC17</td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%">61</td>
<td width="8%" align="center">I/O</td>
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'nWE_FSB'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
</tr>
<tr>
<td align="center" width="10%">(unused)</td>
<td align="center" width="10%">0</td>
<td align="center" width="30%"> </td>
<td align="center" width="10%">MC18</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">(b)</td>
<td align="center" width="10%">(b)</td>
</tr>
</table></span></div>
<div id="tipBox"></div>
<br><span id="fbsiguse" class="pgRef"><b>Signals Used By Logic in Function Block</b><br><ol>
<li>A_FSB&lt;15&gt;</li>
<li>A_FSB&lt;16&gt;</li>
<li>A_FSB&lt;17&gt;</li>
<li>A_FSB&lt;18&gt;</li>
<li>A_FSB&lt;19&gt;</li>
<li>A_FSB&lt;20&gt;</li>
<li>A_FSB&lt;21&gt;</li>
<li>A_FSB&lt;22&gt;</li>
<li>A_FSB&lt;23&gt;</li>
<li>A_FSB&lt;6&gt;</li>
<li>A_FSB&lt;7&gt;</li>
<li>A_FSB&lt;8&gt;</li>
<li>A_FSB&lt;9&gt;</li>
<li><a href="Javascript:showEqn('cntRefCnt0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/RefCnt&lt;0&gt;</a></li>
<li><a href="Javascript:showEqn('cntRefCnt1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/RefCnt&lt;1&gt;</a></li>
<li><a href="Javascript:showEqn('cntRefCnt2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/RefCnt&lt;2&gt;</a></li>
<li><a href="Javascript:showEqn('cntRefCnt3_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/RefCnt&lt;3&gt;</a></li>
<li><a href="Javascript:showEqn('cntRefCnt4_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/RefCnt&lt;4&gt;</a></li>
<li><a href="Javascript:showEqn('cntRefCnt5_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/RefCnt&lt;5&gt;</a></li>
<li><a href="Javascript:showEqn('cntRefCnt6_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/RefCnt&lt;6&gt;</a></li>
<li><a href="Javascript:showEqn('cntRefCnt7_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/RefCnt&lt;7&gt;</a></li>
<li><a href="Javascript:showEqn('cntRefDone_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/RefDone</a></li>
<li><a href="Javascript:showEqn('csnOverlay0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cs/nOverlay0</a></li>
<li><a href="Javascript:showEqn('csnOverlay1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cs/nOverlay1</a></li>
<li><a href="Javascript:showEqn('fsbASrf_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">fsb/ASrf</a></li>
<li><a href="Javascript:showEqn('fsbReady0r_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">fsb/Ready0r</a></li>
<li>nAS_FSB</li>
<li><a href="Javascript:showEqn('ramBACTr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/BACTr</a></li>
<li><a href="Javascript:showEqn('ramOnce_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/Once</a></li>
<li><a href="Javascript:showEqn('ramRAMDIS2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RAMDIS2</a></li>
<li><a href="Javascript:showEqn('ramRAMReady_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RAMReady</a></li>
<li><a href="Javascript:showEqn('ramRASEL_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RASEL</a></li>
<li><a href="Javascript:showEqn('ramRS_FSM_FFd1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RS_FSM_FFd1</a></li>
<li><a href="Javascript:showEqn('ramRS_FSM_FFd2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RS_FSM_FFd2</a></li>
<li><a href="Javascript:showEqn('ramRS_FSM_FFd3_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RS_FSM_FFd3</a></li>
</ol></span><form><span class="pgRef"><table width="90%" align="center"><tr>
<td align="left"><input type="button" onclick="javascript:parent.leftnav.showTop()" onmouseover="window.status='goto top of page'; return true;" onmouseout="window.status=''" value="back to top"></td>
<td align="center"><table align="center" width="90%" border="0" cellpadding="0" cellspacing="0"><tr><td width="100%" align="center">
<input type="button" onclick="javascript:showFB('FB6')" onmouseover="window.status='show previous Function Block'; return true;" onmouseout="window.status=''" value="prev">
  
<input type="button" onclick="javascript:showFB('FB8')" onmouseover="window.status='show next Function Block'; return true;" onmouseout="window.status=''" value="next">
</td></tr></table></td>
<td align="right">
<input type="button" onclick="javascript:showLegend('logiclegend.htm')" onmouseover="window.status='show Legend'; return true;" onmouseout="window.status=''" value="legend"><input type="button" onclick="window.print()" onmouseover="window.status='print page'; return true;" onmouseout="window.status=''" value="print page">
</td>
</tr></table></span></form>
</body>
</html>

View File

@ -27,9 +27,9 @@
<th width="10%">Pin Use</th> <th width="10%">Pin Use</th>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('TimeoutB')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">TimeoutB</a></td> <td align="center" width="10%"><a href="Javascript:showEqn('ramRAMReady_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RAMReady</a></td>
<td align="center" width="10%">3</td> <td align="center" width="10%">16</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB7_2_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_3</a> <a href="Javascript:showPT('FB7_2_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_4</a> <a href="Javascript:showPT('FB7_2_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_5</a> <td align="center" width="30%"> <a href="Javascript:showPT('FB7_17_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_5</a> <a href="Javascript:showPT('FB7_18_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_1</a> <a href="Javascript:showPT('FB7_18_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_2</a> <a href="Javascript:showPT('FB7_18_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_3</a> <a href="Javascript:showPT('FB7_18_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_4</a> <a href="Javascript:showPT('FB7_18_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_5</a> <a href="Javascript:showPT('FB7_1_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_1</a> <a href="Javascript:showPT('FB7_1_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_2</a> <a href="Javascript:showPT('FB7_1_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_3</a> <a href="Javascript:showPT('FB7_1_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_4</a> <a href="Javascript:showPT('FB7_1_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_5</a> <a href="Javascript:showPT('FB7_2_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_1</a> <a href="Javascript:showPT('FB7_2_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_2</a> <a href="Javascript:showPT('FB7_2_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_3</a> <a href="Javascript:showPT('FB7_2_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_4</a> <a href="Javascript:showPT('FB7_2_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_5</a>
</td> </td>
<td align="center" width="10%">MC1</td> <td align="center" width="10%">MC1</td>
<td align="center" width="10%">STD</td> <td align="center" width="10%">STD</td>
@ -40,7 +40,7 @@
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('RA1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">RA&lt;1&gt;</a></td> <td align="center" width="10%"><a href="Javascript:showEqn('RA1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">RA&lt;1&gt;</a></td>
<td align="center" width="10%">2</td> <td align="center" width="10%">2</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB7_2_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_1</a> <a href="Javascript:showPT('FB7_2_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_2</a> <td align="center" width="30%"> <a href="Javascript:showPT('FB7_3_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_4</a> <a href="Javascript:showPT('FB7_3_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_5</a>
</td> </td>
<td align="center" width="10%">MC2</td> <td align="center" width="10%">MC2</td>
<td align="center" width="10%">STD</td> <td align="center" width="10%">STD</td>
@ -49,9 +49,9 @@
<td align="center" width="10%">O</td> <td align="center" width="10%">O</td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('cntRefDone_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/RefDone</a></td> <td align="center" width="10%"><a href="Javascript:showEqn('TimeoutB')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">TimeoutB</a></td>
<td align="center" width="10%">2</td> <td align="center" width="10%">3</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB7_3_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_1</a> <a href="Javascript:showPT('FB7_3_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_2</a> <td align="center" width="30%"> <a href="Javascript:showPT('FB7_3_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_1</a> <a href="Javascript:showPT('FB7_3_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_2</a> <a href="Javascript:showPT('FB7_3_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_3</a>
</td> </td>
<td align="center" width="10%">MC3</td> <td align="center" width="10%">MC3</td>
<td align="center" width="10%">STD</td> <td align="center" width="10%">STD</td>
@ -93,9 +93,9 @@
<td align="center" width="10%">O</td> <td align="center" width="10%">O</td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('csnOverlay0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cs/nOverlay0</a></td> <td align="center" width="10%"><a href="Javascript:showEqn('ramRS_FSM_FFd1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RS_FSM_FFd1</a></td>
<td align="center" width="10%">3</td> <td align="center" width="10%">5</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB7_7_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_1</a> <a href="Javascript:showPT('FB7_7_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_2</a> <a href="Javascript:showPT('FB7_7_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_3</a> <td align="center" width="30%"> <a href="Javascript:showPT('FB7_7_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_1</a> <a href="Javascript:showPT('FB7_7_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_2</a> <a href="Javascript:showPT('FB7_7_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_3</a> <a href="Javascript:showPT('FB7_7_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_4</a> <a href="Javascript:showPT('FB7_7_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_5</a>
</td> </td>
<td align="center" width="10%">MC7</td> <td align="center" width="10%">MC7</td>
<td align="center" width="10%">STD</td> <td align="center" width="10%">STD</td>
@ -106,7 +106,7 @@
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('RA8_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">RA&lt;8&gt;</a></td> <td align="center" width="10%"><a href="Javascript:showEqn('RA8_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">RA&lt;8&gt;</a></td>
<td align="center" width="10%">7</td> <td align="center" width="10%">7</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB7_7_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_4</a> <a href="Javascript:showPT('FB7_8_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_1</a> <a href="Javascript:showPT('FB7_8_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_2</a> <a href="Javascript:showPT('FB7_8_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_3</a> <a href="Javascript:showPT('FB7_8_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_4</a> <a href="Javascript:showPT('FB7_8_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_5</a> <a href="Javascript:showPT('FB7_9_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_2</a> <td align="center" width="30%"> <a href="Javascript:showPT('FB7_8_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_1</a> <a href="Javascript:showPT('FB7_8_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_2</a> <a href="Javascript:showPT('FB7_8_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_3</a> <a href="Javascript:showPT('FB7_8_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_4</a> <a href="Javascript:showPT('FB7_8_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_5</a> <a href="Javascript:showPT('FB7_9_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_2</a> <a href="Javascript:showPT('FB7_9_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_3</a>
</td> </td>
<td align="center" width="10%">MC8</td> <td align="center" width="10%">MC8</td>
<td align="center" width="10%">STD</td> <td align="center" width="10%">STD</td>
@ -126,9 +126,9 @@
<td align="center" width="10%">O</td> <td align="center" width="10%">O</td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('cntTimeoutBPre_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/TimeoutBPre</a></td> <td align="center" width="10%"><a href="Javascript:showEqn('OpTxOpTxFX_DC182_INV783_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">$OpTx$$OpTx$FX_DC$182_INV$783</a></td>
<td align="center" width="10%">3</td> <td align="center" width="10%">6</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB7_10_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_1</a> <a href="Javascript:showPT('FB7_10_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_2</a> <a href="Javascript:showPT('FB7_10_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_3</a> <td align="center" width="30%"> <a href="Javascript:showPT('FB7_10_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_1</a> <a href="Javascript:showPT('FB7_10_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_2</a> <a href="Javascript:showPT('FB7_10_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_3</a> <a href="Javascript:showPT('FB7_10_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_4</a> <a href="Javascript:showPT('FB7_10_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_5</a> <a href="Javascript:showPT('FB7_11_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">11_3</a>
</td> </td>
<td align="center" width="10%">MC10</td> <td align="center" width="10%">MC10</td>
<td align="center" width="10%">STD</td> <td align="center" width="10%">STD</td>
@ -148,36 +148,37 @@
<td align="center" width="10%">O</td> <td align="center" width="10%">O</td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('TimeoutA')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">TimeoutA</a></td> <td align="center" width="10%"><a href="Javascript:showEqn('CLK25EN')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">CLK25EN</a></td>
<td align="center" width="10%">3</td> <td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB7_11_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">11_3</a> <a href="Javascript:showPT('FB7_11_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">11_4</a> <a href="Javascript:showPT('FB7_11_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">11_5</a> <td align="center" width="30%"> <a href="Javascript:showPT('FB7_12_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_1</a>
</td> </td>
<td align="center" width="10%">MC12</td> <td align="center" width="10%">MC12</td>
<td align="center" width="10%">STD</td> <td align="center" width="10%">STD</td>
<td align="center" width="10%">58</td> <td align="center" width="10%">58</td>
<td width="8%" align="center">I/O</td> <td width="8%" align="center">I/O</td>
<td align="center" width="10%">(b)</td> <td align="center" width="10%">O</td>
</tr>
<tr>
<td align="center" width="10%">(unused)</td>
<td align="center" width="10%">0</td>
<td align="center" width="30%"> </td>
<td align="center" width="10%">MC13</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">(b)</td>
<td align="center" width="10%">(b)</td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('ramRS_FSM_FFd3_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RS_FSM_FFd3</a></td> <td align="center" width="10%"><a href="Javascript:showEqn('ramRS_FSM_FFd3_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RS_FSM_FFd3</a></td>
<td align="center" width="10%">11</td> <td align="center" width="10%">11</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB7_12_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_1</a> <a href="Javascript:showPT('FB7_12_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_2</a> <a href="Javascript:showPT('FB7_12_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_3</a> <a href="Javascript:showPT('FB7_12_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_4</a> <a href="Javascript:showPT('FB7_12_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_5</a> <a href="Javascript:showPT('FB7_13_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_1</a> <a href="Javascript:showPT('FB7_13_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_2</a> <a href="Javascript:showPT('FB7_13_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_3</a> <a href="Javascript:showPT('FB7_13_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_4</a> <a href="Javascript:showPT('FB7_13_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_5</a> <a href="Javascript:showPT('FB7_14_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_1</a> <td align="center" width="30%"> <a href="Javascript:showPT('FB7_12_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_2</a> <a href="Javascript:showPT('FB7_12_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_3</a> <a href="Javascript:showPT('FB7_12_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_4</a> <a href="Javascript:showPT('FB7_12_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_5</a> <a href="Javascript:showPT('FB7_13_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_1</a> <a href="Javascript:showPT('FB7_13_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_2</a> <a href="Javascript:showPT('FB7_13_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_3</a> <a href="Javascript:showPT('FB7_13_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_4</a> <a href="Javascript:showPT('FB7_13_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_5</a> <a href="Javascript:showPT('FB7_14_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_2</a> <a href="Javascript:showPT('FB7_14_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_3</a>
</td>
<td align="center" width="10%">MC13</td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">(b)</td>
<td align="center" width="10%">(b)</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('CLK20EN')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">CLK20EN</a></td>
<td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB7_14_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_1</a>
</td> </td>
<td align="center" width="10%">MC14</td> <td align="center" width="10%">MC14</td>
<td align="center" width="10%">STD</td> <td align="center" width="10%">STD</td>
<td align="center" width="10%">59</td> <td align="center" width="10%">59</td>
<td width="8%" align="center">I/O</td> <td width="8%" align="center">I/O</td>
<td align="center" width="10%">(b)</td> <td align="center" width="10%">O</td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%">(unused)</td> <td align="center" width="10%">(unused)</td>
@ -187,36 +188,35 @@
<td align="center" width="10%"> </td> <td align="center" width="10%"> </td>
<td align="center" width="10%">60</td> <td align="center" width="10%">60</td>
<td width="8%" align="center">I/O</td> <td width="8%" align="center">I/O</td>
<td align="center" width="10%">(b)</td> <td align="center" width="10%"><a href="#" onmouseover="this._tip = 'SW&lt;1&gt;'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('ramRS_FSM_FFd2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RS_FSM_FFd2</a></td>
<td align="center" width="10%">13</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB7_14_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_2</a> <a href="Javascript:showPT('FB7_14_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_3</a> <a href="Javascript:showPT('FB7_14_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_4</a> <a href="Javascript:showPT('FB7_14_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_5</a> <a href="Javascript:showPT('FB7_15_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_1</a> <a href="Javascript:showPT('FB7_15_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_2</a> <a href="Javascript:showPT('FB7_15_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_3</a> <a href="Javascript:showPT('FB7_15_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_4</a> <a href="Javascript:showPT('FB7_15_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_5</a> <a href="Javascript:showPT('FB7_16_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_1</a> <a href="Javascript:showPT('FB7_16_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_2</a> <a href="Javascript:showPT('FB7_16_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_3</a> <a href="Javascript:showPT('FB7_16_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_4</a>
</td>
<td align="center" width="10%">MC16</td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">(b)</td>
<td align="center" width="10%">(b)</td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%">(unused)</td> <td align="center" width="10%">(unused)</td>
<td align="center" width="10%">0</td> <td align="center" width="10%">0</td>
<td align="center" width="30%"> </td> <td align="center" width="30%"> </td>
<td align="center" width="10%">MC17</td> <td align="center" width="10%">MC16</td>
<td align="center" width="10%"> </td> <td align="center" width="10%"> </td>
<td align="center" width="10%">61</td> <td align="center" width="10%"> </td>
<td width="8%" align="center">I/O</td> <td align="center" width="10%">(b)</td>
<td align="center" width="10%">(b)</td> <td align="center" width="10%">(b)</td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('ramRAMReady_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RAMReady</a></td> <td align="center" width="10%"><a href="Javascript:showEqn('ramRS_FSM_FFd2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RS_FSM_FFd2</a></td>
<td align="center" width="10%">16</td> <td align="center" width="10%">13</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB7_16_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_5</a> <a href="Javascript:showPT('FB7_17_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_1</a> <a href="Javascript:showPT('FB7_17_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_2</a> <a href="Javascript:showPT('FB7_17_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_3</a> <a href="Javascript:showPT('FB7_17_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_4</a> <a href="Javascript:showPT('FB7_17_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_5</a> <a href="Javascript:showPT('FB7_18_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_1</a> <a href="Javascript:showPT('FB7_18_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_2</a> <a href="Javascript:showPT('FB7_18_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_3</a> <a href="Javascript:showPT('FB7_18_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_4</a> <a href="Javascript:showPT('FB7_18_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_5</a> <a href="Javascript:showPT('FB7_1_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_1</a> <a href="Javascript:showPT('FB7_1_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_2</a> <a href="Javascript:showPT('FB7_1_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_3</a> <a href="Javascript:showPT('FB7_1_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_4</a> <a href="Javascript:showPT('FB7_1_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_5</a> <td align="center" width="30%"> <a href="Javascript:showPT('FB7_15_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_1</a> <a href="Javascript:showPT('FB7_15_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_2</a> <a href="Javascript:showPT('FB7_15_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_3</a> <a href="Javascript:showPT('FB7_15_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_4</a> <a href="Javascript:showPT('FB7_16_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_1</a> <a href="Javascript:showPT('FB7_16_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_2</a> <a href="Javascript:showPT('FB7_16_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_3</a> <a href="Javascript:showPT('FB7_16_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_4</a> <a href="Javascript:showPT('FB7_16_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_5</a> <a href="Javascript:showPT('FB7_17_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_1</a> <a href="Javascript:showPT('FB7_17_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_2</a> <a href="Javascript:showPT('FB7_17_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_3</a> <a href="Javascript:showPT('FB7_17_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_4</a>
</td> </td>
<td align="center" width="10%">MC18</td> <td align="center" width="10%">MC17</td>
<td align="center" width="10%">STD</td> <td align="center" width="10%">STD</td>
<td align="center" width="10%">61</td>
<td width="8%" align="center">I/O</td>
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'SW&lt;0&gt;'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
</tr>
<tr>
<td align="center" width="10%">(unused)</td>
<td align="center" width="10%">0</td>
<td align="center" width="30%"> </td>
<td align="center" width="10%">MC18</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%"> </td> <td align="center" width="10%"> </td>
<td align="center" width="10%">(b)</td> <td align="center" width="10%">(b)</td>
<td align="center" width="10%">(b)</td> <td align="center" width="10%">(b)</td>
@ -237,8 +237,7 @@
<li>A_FSB&lt;2&gt;</li> <li>A_FSB&lt;2&gt;</li>
<li>A_FSB&lt;8&gt;</li> <li>A_FSB&lt;8&gt;</li>
<li>A_FSB&lt;9&gt;</li> <li>A_FSB&lt;9&gt;</li>
<li><a href="Javascript:showEqn('RefAck')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">RefAck</a></li> <li>SW&lt;0&gt;</li>
<li><a href="Javascript:showEqn('TimeoutA')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">TimeoutA</a></li>
<li><a href="Javascript:showEqn('TimeoutB')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">TimeoutB</a></li> <li><a href="Javascript:showEqn('TimeoutB')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">TimeoutB</a></li>
<li><a href="Javascript:showEqn('cntRefCnt0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/RefCnt&lt;0&gt;</a></li> <li><a href="Javascript:showEqn('cntRefCnt0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/RefCnt&lt;0&gt;</a></li>
<li><a href="Javascript:showEqn('cntRefCnt1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/RefCnt&lt;1&gt;</a></li> <li><a href="Javascript:showEqn('cntRefCnt1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/RefCnt&lt;1&gt;</a></li>
@ -250,12 +249,10 @@
<li><a href="Javascript:showEqn('cntRefCnt7_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/RefCnt&lt;7&gt;</a></li> <li><a href="Javascript:showEqn('cntRefCnt7_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/RefCnt&lt;7&gt;</a></li>
<li><a href="Javascript:showEqn('cntRefDone_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/RefDone</a></li> <li><a href="Javascript:showEqn('cntRefDone_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/RefDone</a></li>
<li><a href="Javascript:showEqn('cntTimeoutBPre_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/TimeoutBPre</a></li> <li><a href="Javascript:showEqn('cntTimeoutBPre_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/TimeoutBPre</a></li>
<li><a href="Javascript:showEqn('csnOverlay0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cs/nOverlay0</a></li>
<li><a href="Javascript:showEqn('csnOverlay1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cs/nOverlay1</a></li> <li><a href="Javascript:showEqn('csnOverlay1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cs/nOverlay1</a></li>
<li><a href="Javascript:showEqn('fsbASrf_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">fsb/ASrf</a></li> <li><a href="Javascript:showEqn('fsbASrf_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">fsb/ASrf</a></li>
<li><a href="Javascript:showEqn('fsbReady0r_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">fsb/Ready0r</a></li> <li><a href="Javascript:showEqn('fsbReady0r_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">fsb/Ready0r</a></li>
<li>nAS_FSB</li> <li>nAS_FSB</li>
<li>nRES</li>
<li><a href="Javascript:showEqn('ramBACTr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/BACTr</a></li> <li><a href="Javascript:showEqn('ramBACTr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/BACTr</a></li>
<li><a href="Javascript:showEqn('ramOnce_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/Once</a></li> <li><a href="Javascript:showEqn('ramOnce_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/Once</a></li>
<li><a href="Javascript:showEqn('ramRAMReady_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RAMReady</a></li> <li><a href="Javascript:showEqn('ramRAMReady_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RAMReady</a></li>

View File

@ -1,235 +0,0 @@
<html>
<head>
<meta http-equiv="Content-Type" content="text/html; charset=UTF-8">
<script src="tooltips.js"></script><script src="fbs.js"></script><link rel="stylesheet" type="text/css" href="style.css">
</head>
<body class="pgBgnd" id="XC95144XL">
<span id="fbsel" class="pgRef"><table cellspacing="0" cellpadding="0" border="0" width="90%" align="center"><tr>
<td width="33%" valign="center" align="left"></td>
<td width="33%" valign="center" align="center"><form name="fbopt"><select onchange="javascript:showFB(document.fbopt.fbType.options[document.fbopt.fbType.options.selectedIndex].value)" name="fbType"><option value="FB1">FB1</option>
<option value="FB2">FB2</option>
<option value="FB3">FB3</option>
<option value="FB4">FB4</option>
<option value="FB5">FB5</option>
<option value="FB6">FB6</option>
<option value="FB7">FB7</option>
<option value="FB8" selected>FB8</option></select></form></td>
<td width="33%" valign="center" align="right"></td>
</tr></table></span><div><span id="fbdata" class="pgRef"><table align="center" width="90%" border="1" cellpadding="0" cellspacing="0">
<tr class="pgHeader">
<th width="10%">Signal Name</th>
<th width="10%">Total Product Terms</th>
<th width="30%">Product Terms</th>
<th width="10%">Location</th>
<th width="10%">Power Mode</th>
<th width="10%">Pin Number</th>
<th width="10%">PinType</th>
<th width="10%">Pin Use</th>
</tr>
<tr>
<td align="center" width="10%">(unused)</td>
<td align="center" width="10%">0</td>
<td align="center" width="30%"> </td>
<td align="center" width="10%">MC1</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">(b)</td>
<td align="center" width="10%"> </td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('RA11_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">RA&lt;11&gt;</a></td>
<td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB8_2_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_1</a>
</td>
<td align="center" width="10%">MC2</td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%">63</td>
<td width="8%" align="center">I/O</td>
<td align="center" width="10%">O</td>
</tr>
<tr>
<td align="center" width="10%">(unused)</td>
<td align="center" width="10%">0</td>
<td align="center" width="30%"> </td>
<td align="center" width="10%">MC3</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">(b)</td>
<td align="center" width="10%"> </td>
</tr>
<tr>
<td align="center" width="10%">(unused)</td>
<td align="center" width="10%">0</td>
<td align="center" width="30%"> </td>
<td align="center" width="10%">MC4</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">(b)</td>
<td align="center" width="10%"> </td>
</tr>
<tr>
<td align="center" width="10%">(unused)</td>
<td align="center" width="10%">0</td>
<td align="center" width="30%"> </td>
<td align="center" width="10%">MC5</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">64</td>
<td width="8%" align="center">I/O</td>
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB&lt;8&gt;'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('RA10_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">RA&lt;10&gt;</a></td>
<td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB8_6_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">6_1</a>
</td>
<td align="center" width="10%">MC6</td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%">65</td>
<td width="8%" align="center">I/O</td>
<td align="center" width="10%">O</td>
</tr>
<tr>
<td align="center" width="10%">(unused)</td>
<td align="center" width="10%">0</td>
<td align="center" width="30%"> </td>
<td align="center" width="10%">MC7</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">(b)</td>
<td align="center" width="10%"> </td>
</tr>
<tr>
<td align="center" width="10%">(unused)</td>
<td align="center" width="10%">0</td>
<td align="center" width="30%"> </td>
<td align="center" width="10%">MC8</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">66</td>
<td width="8%" align="center">I/O</td>
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB&lt;23&gt;'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('nADoutLE0')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nADoutLE0</a></td>
<td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB8_9_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_1</a>
</td>
<td align="center" width="10%">MC9</td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%">67</td>
<td width="8%" align="center">I/O</td>
<td align="center" width="10%">O</td>
</tr>
<tr>
<td align="center" width="10%">(unused)</td>
<td align="center" width="10%">0</td>
<td align="center" width="30%"> </td>
<td align="center" width="10%">MC10</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">(b)</td>
<td align="center" width="10%"> </td>
</tr>
<tr>
<td align="center" width="10%">(unused)</td>
<td align="center" width="10%">0</td>
<td align="center" width="30%"> </td>
<td align="center" width="10%">MC11</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">68</td>
<td width="8%" align="center">I/O</td>
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB&lt;21&gt;'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('nAoutOE')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nAoutOE</a></td>
<td align="center" width="10%">0</td>
<td align="center" width="30%"> <a href="Javascript:showPT('GND')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''"></a>
</td>
<td align="center" width="10%">MC12</td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%">70</td>
<td width="8%" align="center">I/O</td>
<td align="center" width="10%">O</td>
</tr>
<tr>
<td align="center" width="10%">(unused)</td>
<td align="center" width="10%">0</td>
<td align="center" width="30%"> </td>
<td align="center" width="10%">MC13</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">(b)</td>
<td align="center" width="10%"> </td>
</tr>
<tr>
<td align="center" width="10%">(unused)</td>
<td align="center" width="10%">0</td>
<td align="center" width="30%"> </td>
<td align="center" width="10%">MC14</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">71</td>
<td width="8%" align="center">I/O</td>
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB&lt;20&gt;'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('nDinLE')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nDinLE</a></td>
<td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB8_15_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_1</a>
</td>
<td align="center" width="10%">MC15</td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%">72</td>
<td width="8%" align="center">I/O</td>
<td align="center" width="10%">O</td>
</tr>
<tr>
<td align="center" width="10%">(unused)</td>
<td align="center" width="10%">0</td>
<td align="center" width="30%"> </td>
<td align="center" width="10%">MC16</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">(b)</td>
<td align="center" width="10%"> </td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('iobmIOS_FSM_FFd1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOS_FSM_FFd1</a></td>
<td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB8_17_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_1</a>
</td>
<td align="center" width="10%">MC17</td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%">73</td>
<td width="8%" align="center">I/O</td>
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB&lt;19&gt;'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('iobmEr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/Er</a></td>
<td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB8_18_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_1</a>
</td>
<td align="center" width="10%">MC18</td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">(b)</td>
<td align="center" width="10%">(b)</td>
</tr>
</table></span></div>
<div id="tipBox"></div>
<br><span id="fbsiguse" class="pgRef"><b>Signals Used By Logic in Function Block</b><br><ol>
<li><a href="Javascript:showEqn('ALE0M')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ALE0M</a></li>
<li><a href="Javascript:showEqn('ALE0S')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ALE0S</a></li>
<li>A_FSB&lt;19&gt;</li>
<li>A_FSB&lt;21&gt;</li>
<li>E_IOB</li>
<li><a href="Javascript:showEqn('iobmIOS_FSM_FFd2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOS_FSM_FFd2</a></li>
<li><a href="Javascript:showEqn('iobmIOS_FSM_FFd3_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOS_FSM_FFd3</a></li>
<li><a href="Javascript:showEqn('iobmIOS_FSM_FFd4_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOS_FSM_FFd4</a></li>
</ol></span><form><span class="pgRef"><table width="90%" align="center"><tr>
<td align="left"><input type="button" onclick="javascript:parent.leftnav.showTop()" onmouseover="window.status='goto top of page'; return true;" onmouseout="window.status=''" value="back to top"></td>
<td align="center"><table align="center" width="90%" border="0" cellpadding="0" cellspacing="0"><tr><td width="100%" align="center"><input type="button" onclick="javascript:showFB('FB7')" onmouseover="window.status='show previous Function Block'; return true;" onmouseout="window.status=''" value="prev"></td></tr></table></td>
<td align="right">
<input type="button" onclick="javascript:showLegend('logiclegend.htm')" onmouseover="window.status='show Legend'; return true;" onmouseout="window.status=''" value="legend"><input type="button" onclick="window.print()" onmouseover="window.status='print page'; return true;" onmouseout="window.status=''" value="print page">
</td>
</tr></table></span></form>
</body>
</html>

View File

@ -27,12 +27,11 @@
<th width="10%">Pin Use</th> <th width="10%">Pin Use</th>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('ramRASEL_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RASEL</a></td> <td align="center" width="10%">(unused)</td>
<td align="center" width="10%">20</td> <td align="center" width="10%">0</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB8_17_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_1</a> <a href="Javascript:showPT('FB8_17_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_2</a> <a href="Javascript:showPT('FB8_17_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_3</a> <a href="Javascript:showPT('FB8_17_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_4</a> <a href="Javascript:showPT('FB8_17_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_5</a> <a href="Javascript:showPT('FB8_18_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_1</a> <a href="Javascript:showPT('FB8_18_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_2</a> <a href="Javascript:showPT('FB8_18_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_3</a> <a href="Javascript:showPT('FB8_18_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_4</a> <a href="Javascript:showPT('FB8_18_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_5</a> <a href="Javascript:showPT('FB8_1_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_1</a> <a href="Javascript:showPT('FB8_1_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_2</a> <a href="Javascript:showPT('FB8_1_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_3</a> <a href="Javascript:showPT('FB8_1_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_4</a> <a href="Javascript:showPT('FB8_1_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_5</a> <a href="Javascript:showPT('FB8_2_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_1</a> <a href="Javascript:showPT('FB8_2_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_2</a> <a href="Javascript:showPT('FB8_2_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_3</a> <a href="Javascript:showPT('FB8_2_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_4</a> <a href="Javascript:showPT('FB8_2_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_5</a> <td align="center" width="30%"> </td>
</td>
<td align="center" width="10%">MC1</td> <td align="center" width="10%">MC1</td>
<td align="center" width="10%">STD</td> <td align="center" width="10%"> </td>
<td align="center" width="10%"> </td> <td align="center" width="10%"> </td>
<td align="center" width="10%">(b)</td> <td align="center" width="10%">(b)</td>
<td align="center" width="10%">(b)</td> <td align="center" width="10%">(b)</td>
@ -40,7 +39,7 @@
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('RA11_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">RA&lt;11&gt;</a></td> <td align="center" width="10%"><a href="Javascript:showEqn('RA11_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">RA&lt;11&gt;</a></td>
<td align="center" width="10%">1</td> <td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB8_3_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_4</a> <td align="center" width="30%"> <a href="Javascript:showPT('FB8_2_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_1</a>
</td> </td>
<td align="center" width="10%">MC2</td> <td align="center" width="10%">MC2</td>
<td align="center" width="10%">STD</td> <td align="center" width="10%">STD</td>
@ -49,20 +48,19 @@
<td align="center" width="10%">O</td> <td align="center" width="10%">O</td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('fsbBERR0r_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">fsb/BERR0r</a></td> <td align="center" width="10%">(unused)</td>
<td align="center" width="10%">3</td> <td align="center" width="10%">0</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB8_3_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_1</a> <a href="Javascript:showPT('FB8_3_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_2</a> <a href="Javascript:showPT('FB8_3_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_3</a> <td align="center" width="30%"> </td>
</td>
<td align="center" width="10%">MC3</td> <td align="center" width="10%">MC3</td>
<td align="center" width="10%">STD</td> <td align="center" width="10%"> </td>
<td align="center" width="10%"> </td> <td align="center" width="10%"> </td>
<td align="center" width="10%">(b)</td> <td align="center" width="10%">(b)</td>
<td align="center" width="10%">(b)</td> <td align="center" width="10%">(b)</td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('ramRS_FSM_FFd1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RS_FSM_FFd1</a></td> <td align="center" width="10%"><a href="Javascript:showEqn('iobsPS_FSM_FFd2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/PS_FSM_FFd2</a></td>
<td align="center" width="10%">5</td> <td align="center" width="10%">15</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB8_4_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">4_1</a> <a href="Javascript:showPT('FB8_4_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">4_2</a> <a href="Javascript:showPT('FB8_4_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">4_3</a> <a href="Javascript:showPT('FB8_4_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">4_4</a> <a href="Javascript:showPT('FB8_4_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">4_5</a> <td align="center" width="30%"> <a href="Javascript:showPT('FB8_3_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_1</a> <a href="Javascript:showPT('FB8_3_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_2</a> <a href="Javascript:showPT('FB8_3_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_3</a> <a href="Javascript:showPT('FB8_3_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_4</a> <a href="Javascript:showPT('FB8_3_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_5</a> <a href="Javascript:showPT('FB8_4_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">4_1</a> <a href="Javascript:showPT('FB8_4_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">4_2</a> <a href="Javascript:showPT('FB8_4_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">4_3</a> <a href="Javascript:showPT('FB8_4_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">4_4</a> <a href="Javascript:showPT('FB8_4_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">4_5</a> <a href="Javascript:showPT('FB8_5_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">5_1</a> <a href="Javascript:showPT('FB8_5_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">5_2</a> <a href="Javascript:showPT('FB8_5_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">5_3</a> <a href="Javascript:showPT('FB8_5_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">5_4</a> <a href="Javascript:showPT('FB8_5_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">5_5</a>
</td> </td>
<td align="center" width="10%">MC4</td> <td align="center" width="10%">MC4</td>
<td align="center" width="10%">STD</td> <td align="center" width="10%">STD</td>
@ -73,7 +71,7 @@
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('nRAS')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nRAS</a></td> <td align="center" width="10%"><a href="Javascript:showEqn('nRAS')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nRAS</a></td>
<td align="center" width="10%">3</td> <td align="center" width="10%">3</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB8_5_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">5_1</a> <a href="Javascript:showPT('FB8_5_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">5_2</a> <a href="Javascript:showPT('FB8_5_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">5_3</a> <td align="center" width="30%"> <a href="Javascript:showPT('FB8_6_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">6_2</a> <a href="Javascript:showPT('FB8_6_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">6_3</a> <a href="Javascript:showPT('FB8_6_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">6_4</a>
</td> </td>
<td align="center" width="10%">MC5</td> <td align="center" width="10%">MC5</td>
<td align="center" width="10%">STD</td> <td align="center" width="10%">STD</td>
@ -93,9 +91,9 @@
<td align="center" width="10%">O</td> <td align="center" width="10%">O</td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('ramOnce_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/Once</a></td> <td align="center" width="10%"><a href="Javascript:showEqn('RESDone')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">RESDone</a></td>
<td align="center" width="10%">5</td> <td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB8_7_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_1</a> <a href="Javascript:showPT('FB8_7_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_2</a> <a href="Javascript:showPT('FB8_7_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_3</a> <a href="Javascript:showPT('FB8_7_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_4</a> <a href="Javascript:showPT('FB8_7_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_5</a> <td align="center" width="30%"> <a href="Javascript:showPT('FB8_7_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_1</a> <a href="Javascript:showPT('VCC')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''"></a>
</td> </td>
<td align="center" width="10%">MC7</td> <td align="center" width="10%">MC7</td>
<td align="center" width="10%">STD</td> <td align="center" width="10%">STD</td>
@ -106,7 +104,7 @@
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('nRAMUWE')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nRAMUWE</a></td> <td align="center" width="10%"><a href="Javascript:showEqn('nRAMUWE')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nRAMUWE</a></td>
<td align="center" width="10%">1</td> <td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB8_8_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_1</a> <td align="center" width="30%"> <a href="Javascript:showPT('FB8_7_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_2</a>
</td> </td>
<td align="center" width="10%">MC8</td> <td align="center" width="10%">MC8</td>
<td align="center" width="10%">STD</td> <td align="center" width="10%">STD</td>
@ -115,9 +113,9 @@
<td align="center" width="10%">O</td> <td align="center" width="10%">O</td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('iobsLoad1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/Load1</a></td> <td align="center" width="10%"><a href="Javascript:showEqn('IOREQ')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">IOREQ</a></td>
<td align="center" width="10%">14</td> <td align="center" width="10%">15</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB8_10_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_1</a> <a href="Javascript:showPT('FB8_10_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_2</a> <a href="Javascript:showPT('FB8_10_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_3</a> <a href="Javascript:showPT('FB8_10_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_4</a> <a href="Javascript:showPT('FB8_10_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_5</a> <a href="Javascript:showPT('FB8_8_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_2</a> <a href="Javascript:showPT('FB8_8_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_3</a> <a href="Javascript:showPT('FB8_8_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_4</a> <a href="Javascript:showPT('FB8_8_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_5</a> <a href="Javascript:showPT('FB8_9_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_1</a> <a href="Javascript:showPT('FB8_9_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_2</a> <a href="Javascript:showPT('FB8_9_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_3</a> <a href="Javascript:showPT('FB8_9_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_4</a> <a href="Javascript:showPT('FB8_9_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_5</a> <td align="center" width="30%"> <a href="Javascript:showPT('FB8_10_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_1</a> <a href="Javascript:showPT('FB8_10_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_2</a> <a href="Javascript:showPT('FB8_10_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_3</a> <a href="Javascript:showPT('FB8_10_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_4</a> <a href="Javascript:showPT('FB8_10_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_5</a> <a href="Javascript:showPT('FB8_8_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_1</a> <a href="Javascript:showPT('FB8_8_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_2</a> <a href="Javascript:showPT('FB8_8_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_3</a> <a href="Javascript:showPT('FB8_8_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_4</a> <a href="Javascript:showPT('FB8_8_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_5</a> <a href="Javascript:showPT('FB8_9_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_1</a> <a href="Javascript:showPT('FB8_9_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_2</a> <a href="Javascript:showPT('FB8_9_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_3</a> <a href="Javascript:showPT('FB8_9_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_4</a> <a href="Javascript:showPT('FB8_9_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_5</a>
</td> </td>
<td align="center" width="10%">MC9</td> <td align="center" width="10%">MC9</td>
<td align="center" width="10%">STD</td> <td align="center" width="10%">STD</td>
@ -148,7 +146,7 @@
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('nBERR_FSB')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nBERR_FSB</a></td> <td align="center" width="10%"><a href="Javascript:showEqn('nBERR_FSB')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nBERR_FSB</a></td>
<td align="center" width="10%">3</td> <td align="center" width="10%">3</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB8_11_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">11_1</a> <a href="Javascript:showPT('FB8_11_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">11_2</a> <a href="Javascript:showPT('FB8_11_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">11_3</a> <td align="center" width="30%"> <a href="Javascript:showPT('FB8_11_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">11_1</a> <a href="Javascript:showPT('FB8_11_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">11_2</a> <a href="Javascript:showPT('FB8_12_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_1</a>
</td> </td>
<td align="center" width="10%">MC12</td> <td align="center" width="10%">MC12</td>
<td align="center" width="10%">STD</td> <td align="center" width="10%">STD</td>
@ -157,43 +155,44 @@
<td align="center" width="10%">O</td> <td align="center" width="10%">O</td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('ramRAMDIS2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RAMDIS2</a></td> <td align="center" width="10%">(unused)</td>
<td align="center" width="10%">7</td> <td align="center" width="10%">0</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB8_12_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_1</a> <a href="Javascript:showPT('FB8_12_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_2</a> <a href="Javascript:showPT('FB8_12_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_3</a> <a href="Javascript:showPT('FB8_12_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_4</a> <a href="Javascript:showPT('FB8_12_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_5</a> <a href="Javascript:showPT('FB8_13_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_1</a> <a href="Javascript:showPT('FB8_13_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_2</a> <td align="center" width="30%"> </td>
</td>
<td align="center" width="10%">MC13</td> <td align="center" width="10%">MC13</td>
<td align="center" width="10%">STD</td> <td align="center" width="10%"> </td>
<td align="center" width="10%"> </td> <td align="center" width="10%"> </td>
<td align="center" width="10%">(b)</td> <td align="center" width="10%">(b)</td>
<td align="center" width="10%">(b)</td> <td align="center" width="10%">(b)</td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%">(unused)</td> <td align="center" width="10%"><a href="Javascript:showEqn('iobsOnce_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/Once</a></td>
<td align="center" width="10%">0</td> <td align="center" width="10%">18</td>
<td align="center" width="30%"> </td> <td align="center" width="30%"> <a href="Javascript:showPT('FB8_12_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_2</a> <a href="Javascript:showPT('FB8_12_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_3</a> <a href="Javascript:showPT('FB8_12_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_4</a> <a href="Javascript:showPT('FB8_12_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_5</a> <a href="Javascript:showPT('FB8_13_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_1</a> <a href="Javascript:showPT('FB8_13_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_2</a> <a href="Javascript:showPT('FB8_13_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_3</a> <a href="Javascript:showPT('FB8_13_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_4</a> <a href="Javascript:showPT('FB8_13_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_5</a> <a href="Javascript:showPT('FB8_14_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_1</a> <a href="Javascript:showPT('FB8_14_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_2</a> <a href="Javascript:showPT('FB8_14_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_3</a> <a href="Javascript:showPT('FB8_14_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_4</a> <a href="Javascript:showPT('FB8_14_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_5</a> <a href="Javascript:showPT('FB8_15_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_2</a> <a href="Javascript:showPT('FB8_15_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_3</a> <a href="Javascript:showPT('FB8_15_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_4</a> <a href="Javascript:showPT('FB8_15_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_5</a>
</td>
<td align="center" width="10%">MC14</td> <td align="center" width="10%">MC14</td>
<td align="center" width="10%"> </td> <td align="center" width="10%">STD</td>
<td align="center" width="10%">71</td> <td align="center" width="10%">71</td>
<td width="8%" align="center">I/O</td> <td width="8%" align="center">I/O</td>
<td align="center" width="10%">(b)</td> <td align="center" width="10%">(b)</td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%"><a href="Javascript:showEqn('ramRAMDIS1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RAMDIS1</a></td> <td align="center" width="10%"><a href="Javascript:showEqn('nBR_IOB')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nBR_IOB</a></td>
<td align="center" width="10%">18</td> <td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB8_13_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_3</a> <a href="Javascript:showPT('FB8_13_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_4</a> <a href="Javascript:showPT('FB8_13_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_5</a> <a href="Javascript:showPT('FB8_14_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_1</a> <a href="Javascript:showPT('FB8_14_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_2</a> <a href="Javascript:showPT('FB8_14_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_3</a> <a href="Javascript:showPT('FB8_14_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_4</a> <a href="Javascript:showPT('FB8_14_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_5</a> <a href="Javascript:showPT('FB8_15_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_1</a> <a href="Javascript:showPT('FB8_15_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_2</a> <a href="Javascript:showPT('FB8_15_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_3</a> <a href="Javascript:showPT('FB8_15_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_4</a> <a href="Javascript:showPT('FB8_15_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_5</a> <a href="Javascript:showPT('FB8_16_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_1</a> <a href="Javascript:showPT('FB8_16_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_2</a> <a href="Javascript:showPT('FB8_16_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_3</a> <a href="Javascript:showPT('FB8_16_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_4</a> <a href="Javascript:showPT('FB8_16_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_5</a> <td align="center" width="30%"> <a href="Javascript:showPT('FB8_15_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_1</a> <a href="Javascript:showPT('GND')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''"></a>
</td> </td>
<td align="center" width="10%">MC15</td> <td align="center" width="10%">MC15</td>
<td align="center" width="10%">STD</td> <td align="center" width="10%">STD</td>
<td align="center" width="10%">72</td> <td align="center" width="10%">72</td>
<td width="8%" align="center">I/O</td> <td width="8%" align="center">I/O</td>
<td align="center" width="10%">(b)</td> <td align="center" width="10%">O</td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%">(unused)</td> <td align="center" width="10%"><a href="Javascript:showEqn('fsbBERR0r_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">fsb/BERR0r</a></td>
<td align="center" width="10%">0</td> <td align="center" width="10%">3</td>
<td align="center" width="30%"> </td> <td align="center" width="30%"> <a href="Javascript:showPT('FB8_16_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_1</a> <a href="Javascript:showPT('FB8_16_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_2</a> <a href="Javascript:showPT('FB8_16_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_3</a>
</td>
<td align="center" width="10%">MC16</td> <td align="center" width="10%">MC16</td>
<td align="center" width="10%"> </td> <td align="center" width="10%">STD</td>
<td align="center" width="10%"> </td> <td align="center" width="10%"> </td>
<td align="center" width="10%">(b)</td> <td align="center" width="10%">(b)</td>
<td align="center" width="10%">(b)</td> <td align="center" width="10%">(b)</td>
@ -206,14 +205,15 @@
<td align="center" width="10%"> </td> <td align="center" width="10%"> </td>
<td align="center" width="10%">73</td> <td align="center" width="10%">73</td>
<td width="8%" align="center">I/O</td> <td width="8%" align="center">I/O</td>
<td align="center" width="10%">(b)</td> <td align="center" width="10%"><a href="#" onmouseover="this._tip = 'nBG_IOB'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
</tr> </tr>
<tr> <tr>
<td align="center" width="10%">(unused)</td> <td align="center" width="10%"><a href="Javascript:showEqn('IORW0')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">IORW0</a></td>
<td align="center" width="10%">0</td> <td align="center" width="10%">19</td>
<td align="center" width="30%"> </td> <td align="center" width="30%"> <a href="Javascript:showPT('FB8_17_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_1</a> <a href="Javascript:showPT('FB8_17_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_2</a> <a href="Javascript:showPT('FB8_17_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_3</a> <a href="Javascript:showPT('FB8_17_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_4</a> <a href="Javascript:showPT('FB8_17_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_5</a> <a href="Javascript:showPT('FB8_18_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_1</a> <a href="Javascript:showPT('FB8_18_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_2</a> <a href="Javascript:showPT('FB8_18_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_3</a> <a href="Javascript:showPT('FB8_18_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_4</a> <a href="Javascript:showPT('FB8_18_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_5</a> <a href="Javascript:showPT('FB8_1_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_1</a> <a href="Javascript:showPT('FB8_1_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_2</a> <a href="Javascript:showPT('FB8_1_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_3</a> <a href="Javascript:showPT('FB8_1_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_4</a> <a href="Javascript:showPT('FB8_1_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_5</a> <a href="Javascript:showPT('FB8_2_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_2</a> <a href="Javascript:showPT('FB8_2_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_3</a> <a href="Javascript:showPT('FB8_2_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_4</a> <a href="Javascript:showPT('FB8_2_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_5</a>
</td>
<td align="center" width="10%">MC18</td> <td align="center" width="10%">MC18</td>
<td align="center" width="10%"> </td> <td align="center" width="10%">STD</td>
<td align="center" width="10%"> </td> <td align="center" width="10%"> </td>
<td align="center" width="10%">(b)</td> <td align="center" width="10%">(b)</td>
<td align="center" width="10%">(b)</td> <td align="center" width="10%">(b)</td>
@ -232,16 +232,22 @@
<li>A_FSB&lt;22&gt;</li> <li>A_FSB&lt;22&gt;</li>
<li>A_FSB&lt;23&gt;</li> <li>A_FSB&lt;23&gt;</li>
<li><a href="Javascript:showEqn('BERR_IOBS')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">BERR_IOBS</a></li> <li><a href="Javascript:showEqn('BERR_IOBS')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">BERR_IOBS</a></li>
<li><a href="Javascript:showEqn('IORW0')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">IORW0</a></li>
<li><a href="Javascript:showEqn('IPL2r0')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">IPL2r0</a></li>
<li><a href="Javascript:showEqn('IPL2r1')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">IPL2r1</a></li>
<li><a href="Javascript:showEqn('RESDone')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">RESDone</a></li>
<li><a href="Javascript:showEqn('RESr0')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">RESr0</a></li>
<li><a href="Javascript:showEqn('RESr1')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">RESr1</a></li>
<li><a href="Javascript:showEqn('RESr2')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">RESr2</a></li>
<li><a href="Javascript:showEqn('RefAck')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">RefAck</a></li> <li><a href="Javascript:showEqn('RefAck')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">RefAck</a></li>
<li>SW&lt;1&gt;</li>
<li><a href="Javascript:showEqn('TimeoutB')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">TimeoutB</a></li> <li><a href="Javascript:showEqn('TimeoutB')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">TimeoutB</a></li>
<li><a href="Javascript:showEqn('cntRefCnt5_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/RefCnt&lt;5&gt;</a></li>
<li><a href="Javascript:showEqn('cntRefCnt6_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/RefCnt&lt;6&gt;</a></li>
<li><a href="Javascript:showEqn('cntRefCnt7_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/RefCnt&lt;7&gt;</a></li>
<li><a href="Javascript:showEqn('cntRefDone_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/RefDone</a></li>
<li><a href="Javascript:showEqn('csnOverlay1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cs/nOverlay1</a></li> <li><a href="Javascript:showEqn('csnOverlay1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cs/nOverlay1</a></li>
<li><a href="Javascript:showEqn('fsbASrf_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">fsb/ASrf</a></li> <li><a href="Javascript:showEqn('fsbASrf_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">fsb/ASrf</a></li>
<li><a href="Javascript:showEqn('fsbBERR0r_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">fsb/BERR0r</a></li> <li><a href="Javascript:showEqn('fsbBERR0r_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">fsb/BERR0r</a></li>
<li><a href="Javascript:showEqn('fsbBERR1r_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">fsb/BERR1r</a></li> <li><a href="Javascript:showEqn('fsbBERR1r_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">fsb/BERR1r</a></li>
<li><a href="Javascript:showEqn('iobsIOACTr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/IOACTr</a></li>
<li><a href="Javascript:showEqn('iobsIORW1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/IORW1</a></li>
<li><a href="Javascript:showEqn('iobsOnce_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/Once</a></li> <li><a href="Javascript:showEqn('iobsOnce_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/Once</a></li>
<li><a href="Javascript:showEqn('iobsPS_FSM_FFd1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/PS_FSM_FFd1</a></li> <li><a href="Javascript:showEqn('iobsPS_FSM_FFd1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/PS_FSM_FFd1</a></li>
<li><a href="Javascript:showEqn('iobsPS_FSM_FFd2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/PS_FSM_FFd2</a></li> <li><a href="Javascript:showEqn('iobsPS_FSM_FFd2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/PS_FSM_FFd2</a></li>
@ -250,13 +256,8 @@
<li>nLDS_FSB</li> <li>nLDS_FSB</li>
<li>nUDS_FSB</li> <li>nUDS_FSB</li>
<li>nWE_FSB</li> <li>nWE_FSB</li>
<li><a href="Javascript:showEqn('ramBACTr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/BACTr</a></li>
<li><a href="Javascript:showEqn('ramOnce_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/Once</a></li>
<li><a href="Javascript:showEqn('ramRAMDIS1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RAMDIS1</a></li> <li><a href="Javascript:showEqn('ramRAMDIS1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RAMDIS1</a></li>
<li><a href="Javascript:showEqn('ramRAMDIS2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RAMDIS2</a></li> <li><a href="Javascript:showEqn('ramRAMDIS2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RAMDIS2</a></li>
<li><a href="Javascript:showEqn('ramRS_FSM_FFd1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RS_FSM_FFd1</a></li>
<li><a href="Javascript:showEqn('ramRS_FSM_FFd2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RS_FSM_FFd2</a></li>
<li><a href="Javascript:showEqn('ramRS_FSM_FFd3_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RS_FSM_FFd3</a></li>
</ol></span><form><span class="pgRef"><table width="90%" align="center"><tr> </ol></span><form><span class="pgRef"><table width="90%" align="center"><tr>
<td align="left"><input type="button" onclick="javascript:parent.leftnav.showTop()" onmouseover="window.status='goto top of page'; return true;" onmouseout="window.status=''" value="back to top"></td> <td align="left"><input type="button" onclick="javascript:parent.leftnav.showTop()" onmouseover="window.status='goto top of page'; return true;" onmouseout="window.status=''" value="back to top"></td>
<td align="center"><table align="center" width="90%" border="0" cellpadding="0" cellspacing="0"><tr><td width="100%" align="center"><input type="button" onclick="javascript:showFB('FB7')" onmouseover="window.status='show previous Function Block'; return true;" onmouseout="window.status=''" value="prev"></td></tr></table></td> <td align="center"><table align="center" width="90%" border="0" cellpadding="0" cellspacing="0"><tr><td width="100%" align="center"><input type="button" onclick="javascript:showFB('FB7')" onmouseover="window.status='show previous Function Block'; return true;" onmouseout="window.status=''" value="prev"></td></tr></table></td>

Binary file not shown.

Before

Width:  |  Height:  |  Size: 7.7 KiB

Binary file not shown.

Before

Width:  |  Height:  |  Size: 940 B

View File

@ -1 +0,0 @@
function showInputLeft() { parent.leftnav.showInputLeft(); }

Binary file not shown.

Before

Width:  |  Height:  |  Size: 1.2 KiB

View File

@ -1,2 +0,0 @@
<html><body><pre>
</pre></body></html>

View File

@ -1,16 +0,0 @@
<html><body><pre>
I/O Style - OD - OpenDrain
- PU - Pullup
- PN - Pulldown
- KPR - Keeper
- S - SchmittTrigger
- DG - DataGate
Reg Use - LATCH - Transparent latch
- DFF - D-flip-flop
- DEFF - D-flip-flop with clock enable
- TFF - T-flip-flop
- TDFF - Dual-edge-triggered T-flip-flop
- DDFF - Dual-edge-triggered flip-flop
- DDEFF - Dual-edge-triggered flip-flop with clock enable
/S (after any above flop/latch type) indicates initial state is Set
</pre></body></html>

Some files were not shown because too many files have changed in this diff Show More