Commit Graph

91 Commits

Author SHA1 Message Date
David Banks
ba9364b2b7 Updated README
Change-Id: If88d67358c9e1da43627c2108a1f08a5da666d96
2016-07-15 15:21:28 +01:00
David Banks
b429877e6a Added Blank design; removed old .bit files
Change-Id: Id50f35c6ce4116034b853a76795b2568bf564808
2016-07-15 15:14:12 +01:00
David Banks
759e800d8d Added 0.72 release MCS files
Change-Id: I9dfb0333114524b2f793f1eb4c328345a0be3617
2016-07-15 15:07:34 +01:00
David Banks
92680588cb Improvements to the multi-platform build scripts
Change-Id: I403d566a3f022451d41f158b499a25941b2c7e0d
2016-07-13 18:19:41 +01:00
David Banks
5410c32db3 Eliminated some warnings - changes mostly cosmetic
Change-Id: Ic7a7a107f9343bac2b49512d92ee2ad8f13c8bb2
2015-11-29 12:22:13 +00:00
David Banks
de16b3af1a Eliminated some warnings - changes mostly cosmetic
Change-Id: I141b05c932d0736e689ff3a2cb2c90c24c850933
2015-11-29 12:06:42 +00:00
David Banks
847b781708 Forgot to push changes to Z80 .xise file
Change-Id: Ic0ccf2ec51122a3f3f232dcaa4594ca36a9528e2
2015-11-28 17:33:01 +00:00
David Banks
dd2ea9182b Fixed an incorrect module name
Change-Id: I952135d7be04e332ca4cc5de7f6491bc0fedf5ec
2015-11-28 17:32:08 +00:00
David Banks
e63266f720 Made AVR XPM and XDM more generic
Change-Id: I0025d56d4ba7fa3e20d73f09ac51068ffd1859c5
2015-11-28 17:22:23 +00:00
David Banks
cc6c062b4b Updated XDM2Kx8 to a more portable implementation
Change-Id: I37cbe56b7b0113e24855eb1c0fcf7bbe006eefd3
2015-11-28 11:19:27 +00:00
David Banks
d70c378245 Only return will interrupt continue; version now 0.72
Change-Id: I6fc1fb0b268facf1fe80dd9f45f1790a53dd33e9
2015-11-15 13:42:47 +00:00
David Banks
a785d7e73f Refactored AtomFast6502 to use new MOS6502CpuMonCore - works in new Atom at 4MHz and with tube
Change-Id: I73c769919e2634a4656a6edec2c5a1100bd70083
2015-11-15 11:50:06 +00:00
David Banks
ffdd038a8b ICE-T65 - ignore control characters on serial input; version now 0.71
Change-Id: If18267cb8436637ab04ee792e4f454cac6ecb70e
2015-11-15 11:38:01 +00:00
David Banks
55c8889881 Updated reset output to avoid the use of a tristate signal in BusMonCore
Change-Id: I3f49317689eb5808a5edb5fe5b10552d01a3db59
2015-11-15 11:37:50 +00:00
David Banks
dc6165f826 Cosmetic tweaks to avoid warnings to Quartus
Change-Id: I9f044a50d599dfcd3d3b7bd46f6e99e1ef3e92b6
2015-11-14 13:36:15 +00:00
David Banks
568fd2906d Fixed AlanD core 65C02 bugs: D was being set in IRQ/BRK; sync was inferring a latch
Change-Id: Ia7163e996c403a1caae04df10de3d7a42a91b45c
2015-11-11 13:07:19 +00:00
David Banks
6aeb0c7b8a Refactor: 2nd stage - bug fixes
Change-Id: Ic3bd520d0cc8b74f33068c53085229506d6968b2
2015-10-31 18:31:41 +00:00
David Banks
99c5f951d1 Refactor: 2nd stage
Change-Id: I6959bbc88082cc46930f907378d42adf1abf180e
2015-10-31 14:29:14 +00:00
David Banks
b563a030ee Refactor: 1st stage
Change-Id: I8889ff76ce802099fae67c147e110356adbd23ac
2015-10-31 13:45:09 +00:00
David Banks
8a857ae45e Experimental AtomFast6502 adding bus mon function
Change-Id: Ib5115d9e7b736c45bb46a0a063325a0173b6f823
2015-10-31 11:46:40 +00:00
David Banks
eaf6f90ab6 ICE T65: Fixed the single stepping issue with AlanD's core (sync bug); increased CCLK rate to 25MHz
Change-Id: I226a63a10b80973001f4b0ee825dcecadaece8cb
2015-10-27 19:34:02 +00:00
David Banks
3c31e9e875 ICT T65: Fixed an issue the caused an immediate NMI on a Beeb Model B
Change-Id: I5f0e00fc5e4f647c81778821282316e812215cb8
2015-10-27 18:03:45 +00:00
David Banks
344e03185d Reworked the 6502 single stepping to hide the fact that register writes are pipelined
Change-Id: I6d9157e3d9ade9af72e11d255b224cc7f210f376
2015-10-26 16:44:25 +00:00
David Banks
9c4b9aa944 In ICE-T65 fixed the timing of ICE initiated read/write cycles to give them a whole cycle
Change-Id: I6bfdf624ad4340a219096f231334ec8a9bbfc5af
2015-10-26 12:54:17 +00:00
David Banks
aa91a4826c Added missing file: ipcore_dir/WatchEvents.ngc
Change-Id: Id89311ba94b79dec4c9c22c96b98dc47459da180
2015-10-26 12:19:11 +00:00
David Banks
08f266d6a0 Added missing file: ipcore_dir/WatchEvents.xco
Change-Id: I5d2a57955e53faf4cacccebd4d4b2bc3bc61424d
2015-10-25 08:51:29 +00:00
David Banks
92177196c0 Experimental AtomFast6502 with a different clocking arrangement
Change-Id: Ic6f5275bc0982254e9b5508ec79f0365712657de
2015-09-23 14:56:31 +01:00
David Banks
d3224a651d Fixed a bug with the I Flag being 0 rather than 1 following reset
Change-Id: Ifc1573026e93944d33a756bd601200441ba20c1d
2015-08-29 20:57:49 +01:00
David Banks
d44d3a889e Updated 6809 clocking scheme; tested on Dragon 32 and Simple 6809; fixed 6809 reset command bug; version now 0.64
Change-Id: Id772f50d3676b057ed31c001b211fdf92a9f49f8
2015-08-08 13:49:13 +01:00
David Banks
aeed6c1a65 Further improvements to the clocking of the 6809 for Roland to try
Change-Id: Ida0384c65ca405f50652a3c26665d1c5adac0748
2015-07-19 18:04:22 +01:00
David Banks
66b65f1bd6 Changed 6809 timing to provide bags of write address setup and hold time, version now 0.62
Change-Id: I1da33ddd81253f123e231e3cfad7e086bd3de08d
2015-07-16 18:16:07 +01:00
David Banks
318f7678a3 Further optimization of static data in disassemlers, data memory usage < 1K, version now 0.61
Change-Id: Ibcb5fd3e9141022cb940ebc70ca5369bdeb738c4
2015-07-07 16:20:32 +01:00
David Banks
d6c9287067 Changed to 18K ROM/2K RAM, version now 0.60, bitfiles published for all three designs
Change-Id: I2dd2b203e68d3ddde7d8bbb9052d4d46b802fbbb
2015-07-06 18:49:57 +01:00
David Banks
2677a945aa Corrected the function of EXTAL and XTAL; version now 0.53
Change-Id: Ib9c329b06827a83c8d9d307db12f16a91e2cb977
2015-07-04 17:50:03 +01:00
David Banks
3afc09c07d Added a jumper to select between 6809 and 6809E clocking; increased breakpoints to 8; version now 0.52
Change-Id: If17d2d0ff336fde2aafd9613eba47bbe7392ad8c
2015-07-04 16:51:08 +01:00
David Banks
34dacfb72e Reworked the 6809 single stepping to hide the fact that register writes are pipelined
Change-Id: I60d51d4584c44e36db37fb3953af818d83cf7958
2015-07-04 14:51:40 +01:00
David Banks
5dad7cf788 Some small bug fixes
Change-Id: I2119c57f24be5675b4530fda9dc3b971935706c7
2015-07-04 14:50:55 +01:00
David Banks
17d02c19fa Increased Z80 drive to 4mA, as 2mA is barely sufficient to counter the 1K5 GODIL pullups
Change-Id: I09e512bb7415c6b69e97df7bae870647adcd12d4
2015-07-04 14:49:59 +01:00
David Banks
7bcea43c68 Shrunk the 6809 disassembler; version now 0.50; silly mistake
Change-Id: I49f59501559778d501284857d8afe5feca0bc6c0
2015-07-02 18:02:06 +01:00
David Banks
1e76d0d980 Shrunk the 6809 disassembler; version now 0.50
Change-Id: I2776857e2b080ac90d45e79a20ad530f16abfd46
2015-07-02 18:00:40 +01:00
David Banks
20269623ea Initial checkin of ICE-6809; version now 0.49
Change-Id: I502840a0be0fa58adfc9ddb27c4e2a35a7c2849c
2015-07-02 15:35:05 +01:00
David Banks
10be34c618 Cleaned up/commented the C code base; version now 0.48
Change-Id: I415c97b579e247f7f3d4fdc476b9e5ed6b1e7e70
2015-07-01 12:08:30 +01:00
David Banks
727a1c0f2a Implemented IO watches/breakpoints; fixed a bug with stepping through CB/DD/ED/FD prefixed opcodes which have 2 M1 cycles; version not 0.47
Change-Id: Iad5cb406bd96a8020ccb65be5cd440bebec20481
2015-06-30 14:19:19 +01:00
David Banks
caec07483d Fixed a problem with breakpoints running on one instruction on the Z80, version now 0.46
Change-Id: I597087a8ed7d4da211c706e0c4972f5d037706ee
2015-06-29 17:16:23 +01:00
David Banks
8a2615d6b3 Added additional IO outputs to 6502 designs
Change-Id: I6253c507e766f8af13e52556818f725fda198cb4
2015-06-29 14:47:31 +01:00
David Banks
6d0ec41db0 Added commands to read/write/dump Z80 IO space; version now 0.45
Change-Id: I85e99f8c19bd285f2dd69ea46b0e662499a5d9e2
2015-06-29 14:43:20 +01:00
David Banks
b55ee9789e Started work on IO access code
Change-Id: Ib644d8aada47f04594fc6b9c6f6734e02066593b
2015-06-29 13:03:32 +01:00
David Banks
e66ecdfc3e Made sure CycleCount stopped when Z80 is paused
Change-Id: Ia5d4a7d216a089e06e1aaa86bcd43d512a429aaa
2015-06-28 22:17:32 +01:00
David Banks
0c0fde6a32 Working Z80 memory access and disassembler in the small GODIL, incremented version to 0.44
Change-Id: I718be7476ec330743c206e737389856fc4b41fc8
2015-06-28 19:42:25 +01:00
David Banks
e4e0f864df Switched to Timing-Driven Packing, fixed a bug with instr wait states that meant single stepping executed RST 38 because FF was read off databus; increased comparators to 8; incremented version to 0.43. Works with 100pF capgit add -u!
Change-Id: Ie6c8c8fc610599516eb1baf957d001079713e462
2015-06-27 18:40:12 +01:00