Commit Graph

  • ba9364b2b7 Updated README David Banks 2016-07-15 15:21:28 +0100
  • b429877e6a Added Blank design; removed old .bit files David Banks 2016-07-15 15:14:12 +0100
  • 759e800d8d Added 0.72 release MCS files David Banks 2016-07-15 15:07:34 +0100
  • 92680588cb Improvements to the multi-platform build scripts David Banks 2016-07-13 18:19:41 +0100
  • 5410c32db3 Eliminated some warnings - changes mostly cosmetic David Banks 2015-11-29 12:22:13 +0000
  • de16b3af1a Eliminated some warnings - changes mostly cosmetic David Banks 2015-11-29 12:06:42 +0000
  • 847b781708 Forgot to push changes to Z80 .xise file David Banks 2015-11-28 17:33:01 +0000
  • dd2ea9182b Fixed an incorrect module name David Banks 2015-11-28 17:31:58 +0000
  • e63266f720 Made AVR XPM and XDM more generic David Banks 2015-11-28 17:22:23 +0000
  • cc6c062b4b Updated XDM2Kx8 to a more portable implementation David Banks 2015-11-28 11:19:27 +0000
  • d70c378245 Only return will interrupt continue; version now 0.72 David Banks 2015-11-15 13:42:47 +0000
  • a785d7e73f Refactored AtomFast6502 to use new MOS6502CpuMonCore - works in new Atom at 4MHz and with tube David Banks 2015-11-15 11:50:06 +0000
  • ffdd038a8b ICE-T65 - ignore control characters on serial input; version now 0.71 David Banks 2015-11-15 11:38:01 +0000
  • 55c8889881 Updated reset output to avoid the use of a tristate signal in BusMonCore David Banks 2015-11-15 11:31:58 +0000
  • dc6165f826 Cosmetic tweaks to avoid warnings to Quartus David Banks 2015-11-14 13:36:15 +0000
  • 568fd2906d Fixed AlanD core 65C02 bugs: D was being set in IRQ/BRK; sync was inferring a latch David Banks 2015-11-11 13:07:19 +0000
  • 6aeb0c7b8a Refactor: 2nd stage - bug fixes David Banks 2015-10-31 18:31:41 +0000
  • 99c5f951d1 Refactor: 2nd stage David Banks 2015-10-31 14:29:14 +0000
  • b563a030ee Refactor: 1st stage David Banks 2015-10-31 13:45:09 +0000
  • 8a857ae45e Experimental AtomFast6502 adding bus mon function David Banks 2015-10-31 11:46:40 +0000
  • eaf6f90ab6 ICE T65: Fixed the single stepping issue with AlanD's core (sync bug); increased CCLK rate to 25MHz David Banks 2015-10-27 19:34:02 +0000
  • 3c31e9e875 ICT T65: Fixed an issue the caused an immediate NMI on a Beeb Model B David Banks 2015-10-27 18:03:45 +0000
  • 344e03185d Reworked the 6502 single stepping to hide the fact that register writes are pipelined David Banks 2015-10-26 16:44:25 +0000
  • 9c4b9aa944 In ICE-T65 fixed the timing of ICE initiated read/write cycles to give them a whole cycle David Banks 2015-10-26 12:54:17 +0000
  • aa91a4826c Added missing file: ipcore_dir/WatchEvents.ngc David Banks 2015-10-26 12:19:11 +0000
  • 08f266d6a0 Added missing file: ipcore_dir/WatchEvents.xco David Banks 2015-10-25 08:51:29 +0000
  • 92177196c0 Experimental AtomFast6502 with a different clocking arrangement David Banks 2015-09-23 14:56:31 +0100
  • d3224a651d Fixed a bug with the I Flag being 0 rather than 1 following reset David Banks 2015-08-29 20:57:49 +0100
  • d44d3a889e Updated 6809 clocking scheme; tested on Dragon 32 and Simple 6809; fixed 6809 reset command bug; version now 0.64 David Banks 2015-08-08 13:49:13 +0100
  • aeed6c1a65 Further improvements to the clocking of the 6809 for Roland to try David Banks 2015-07-19 18:04:22 +0100
  • 66b65f1bd6 Changed 6809 timing to provide bags of write address setup and hold time, version now 0.62 David Banks 2015-07-16 18:16:07 +0100
  • 318f7678a3 Further optimization of static data in disassemlers, data memory usage < 1K, version now 0.61 David Banks 2015-07-07 16:20:32 +0100
  • d6c9287067 Changed to 18K ROM/2K RAM, version now 0.60, bitfiles published for all three designs David Banks 2015-07-06 18:49:57 +0100
  • 2677a945aa Corrected the function of EXTAL and XTAL; version now 0.53 David Banks 2015-07-04 17:50:03 +0100
  • 3afc09c07d Added a jumper to select between 6809 and 6809E clocking; increased breakpoints to 8; version now 0.52 David Banks 2015-07-04 16:51:08 +0100
  • 34dacfb72e Reworked the 6809 single stepping to hide the fact that register writes are pipelined David Banks 2015-07-04 14:51:40 +0100
  • 5dad7cf788 Some small bug fixes David Banks 2015-07-04 14:50:55 +0100
  • 17d02c19fa Increased Z80 drive to 4mA, as 2mA is barely sufficient to counter the 1K5 GODIL pullups David Banks 2015-07-04 14:49:59 +0100
  • 7bcea43c68 Shrunk the 6809 disassembler; version now 0.50; silly mistake David Banks 2015-07-02 18:02:06 +0100
  • 1e76d0d980 Shrunk the 6809 disassembler; version now 0.50 David Banks 2015-07-02 18:00:40 +0100
  • 20269623ea Initial checkin of ICE-6809; version now 0.49 David Banks 2015-07-02 15:35:05 +0100
  • 10be34c618 Cleaned up/commented the C code base; version now 0.48 David Banks 2015-07-01 12:08:30 +0100
  • 727a1c0f2a Implemented IO watches/breakpoints; fixed a bug with stepping through CB/DD/ED/FD prefixed opcodes which have 2 M1 cycles; version not 0.47 David Banks 2015-06-30 14:19:19 +0100
  • caec07483d Fixed a problem with breakpoints running on one instruction on the Z80, version now 0.46 David Banks 2015-06-29 17:16:23 +0100
  • 8a2615d6b3 Added additional IO outputs to 6502 designs David Banks 2015-06-29 14:47:31 +0100
  • 6d0ec41db0 Added commands to read/write/dump Z80 IO space; version now 0.45 David Banks 2015-06-29 14:43:20 +0100
  • b55ee9789e Started work on IO access code David Banks 2015-06-29 13:03:32 +0100
  • e66ecdfc3e Made sure CycleCount stopped when Z80 is paused David Banks 2015-06-28 22:17:32 +0100
  • 0c0fde6a32 Working Z80 memory access and disassembler in the small GODIL, incremented version to 0.44 David Banks 2015-06-28 19:42:25 +0100
  • e4e0f864df Switched to Timing-Driven Packing, fixed a bug with instr wait states that meant single stepping executed RST 38 because FF was read off databus; increased comparators to 8; incremented version to 0.43. Works with 100pF capgit add -u! David Banks 2015-06-27 18:40:12 +0100
  • 401af8253a Simplified down to a single clear command that remove all watches/breakpoints at a given address; updated version to 0.42 David Banks 2015-06-27 11:20:49 +0100
  • 9a68d96233 Initial checking of Z80 work; slight refactor of BusMonCore; version updated to 0.41 David Banks 2015-06-27 11:07:58 +0100
  • eec6e10440 Added option to do repeat a read/write command n times (where n can be large), incremented version to 0.37 David Banks 2015-06-22 18:11:11 +0100
  • 3c7fb3429e Updated AtomBusMon pinout to match 6502, would allow piggy-backing in principle David Banks 2015-06-20 22:36:10 +0100
  • 2ec8488190 Saved ~350 bytes of code memory by further optimizing the disassembler, incremented version to 0.36 David Banks 2015-06-20 18:18:05 +0100
  • 5322c18443 Fixed a bug in the logging of memory errors; incremented version to 0.35 David Banks 2015-06-20 16:56:49 +0100
  • 68f6dccf89 Added crc and memory test commands, incremented version to 0.34 David Banks 2015-06-20 16:41:40 +0100
  • 14e4adda94 Implemented cycle counter and data bus monitoring during read/write watches/breakpoints, incremented version to 0.32 David Banks 2015-06-20 12:30:18 +0100
  • 643c9b2231 Fixed a bug where I had broken watches by doing memory reads while the 6502 was running David Banks 2015-06-19 16:59:15 +0100
  • 993f04e395 Added mask to breakpoint/watches; improved disassembly of breakpoints; incremented version to 0.31 David Banks 2015-06-19 16:10:07 +0100
  • 73fc5a7eb1 Fixed write breakpoints to stop immediately; switched back to T65 core David Banks 2015-06-19 15:37:01 +0100
  • 8a81b56304 Updated to use 65C02 core David Banks 2015-06-19 12:08:38 +0100
  • fc0993c9fb Checked in AlanD's 65C02 core (version from CoPro65C02) David Banks 2015-06-19 12:07:20 +0100
  • 60317b002b Mimimised the number of memory reads during disassembly, incremented version to 0.30 David Banks 2015-06-18 13:14:10 +0100
  • 824c40f31e Added fill command, memory address reg now auto-increments, version 0.29 David Banks 2015-06-18 12:58:37 +0100
  • 35ad735420 Added a jumper enabled fakeTube register at 0xFEE0 with value 0xFE to work around a beeb issue with pullups, incremented version to 0.28 David Banks 2015-06-18 11:01:06 +0100
  • 35f8b5419a Added bitfiles for Roland to test David Banks 2015-06-18 07:52:10 +0100
  • a3cd8ceae4 Changed to timing of writes slightly as AtomMMC was occasionally failing on New Atom with 0.26. Verified on Beeb. Upped version to 0.27 David Banks 2015-06-17 17:47:04 +0100
  • 2599920e75 Added a register for Din which resolved issue on Beeb, upped version to 0.26 David Banks 2015-06-17 14:35:25 +0100
  • 6d732a01ec Improved output of regs and memory dumps David Banks 2015-06-17 11:40:59 +0100
  • e075d54924 Updated to later T65 core; added read command; tidied up register display; update version to 0.24 David Banks 2015-06-17 11:17:12 +0100
  • 664c3194c4 Implemented memory read/write and disassembly functionality David Banks 2015-06-16 18:41:46 +0100
  • 2fc7485d9c Implemented 6502 register access functionality with the embedded core David Banks 2015-06-16 11:57:32 +0100
  • 2675a5a408 In AtomCpuMon generated Phi1 and Phi2 so the are non-overlapping by 40ns David Banks 2015-06-14 22:24:46 +0100
  • 6bb256b7ab Added Blank design and T65-based 6502 design David Banks 2015-06-14 17:57:26 +0100
  • ef3c791951 Implemented exteral triggers David Banks 2015-06-13 13:39:39 +0100
  • 2d3ed88018 Added synchronous reset to FIFO David Banks 2015-06-11 22:01:31 +0100
  • bcaa974f4c Updated README David Banks 2015-06-11 21:46:33 +0100
  • dd26246691 Added license David Banks 2015-06-11 21:45:35 +0100
  • 151fd2af82 Removed interrupt and address commands; update LCD display in continue loop David Banks 2015-06-11 21:39:07 +0100
  • 015dbac541 Improved generate relaibility; increased from 4 to 8 watches/breakpoint addresses David Banks 2015-06-11 18:23:47 +0100
  • c9c6001332 Added watch functionality David Banks 2015-06-10 22:31:07 +0100
  • 16a4769b47 Support for showing both access address on read/write breakpoints David Banks 2015-06-10 16:35:38 +0100
  • d5854047d1 Redesigned interface between AVR and Bus Monitor to be command based David Banks 2015-06-10 15:49:14 +0100
  • 0ae10de5e5 Implemented seperate bclear/bclearr/bclearw commands David Banks 2015-06-10 12:53:53 +0100
  • 41d7f9a5f1 Removed the use of sprintf to save a bit of code space David Banks 2015-06-10 12:09:56 +0100
  • 85fd68e43b Added read and write breakpoints David Banks 2015-06-10 11:55:39 +0100
  • 10afdff12c Added breakpoint functionality David Banks 2015-06-07 17:58:14 +0100
  • 30d42b8bd0 Added 6502 reset functionality David Banks 2015-06-07 11:45:34 +0100
  • 43df61cd06 Single-stepping functionality complete David Banks 2015-06-07 11:19:33 +0100
  • 2ab3ac7bc1 Initial commit David Banks 2015-06-07 11:14:27 +0100