Commit Graph

170 Commits

Author SHA1 Message Date
David Banks
7453cf4f9f LX9 support: massive refactor of the build system
Change-Id: I75ff141a0d3b2c30a37d8f0e497f4f923e302b8b
2017-07-26 14:59:20 +01:00
David Banks
78adf0030b LX9 support: corrected pin assignment in Z80CpuMonLX9Jason.ucf
Change-Id: Iacf21daea16b68a3886eb68618016e76c8dc0998
2017-07-26 09:45:01 +01:00
David Banks
79d890bcb9 LX9 support: in Z80CpuMon made the switch/led polarity configurable with generics
Change-Id: I026bc8e56fe760b453edf970b33f6897a695d0d2
2017-07-25 19:18:59 +01:00
David Banks
17acd5afdd LX9 support: updated Z80CpuMon ucf file - not final yet!
Change-Id: I8dbf4f4012c3b43d8bdf07ca707d6d8b6ef8a1d1
2017-07-25 19:17:55 +01:00
David Banks
0da1fa06bb LX9 support: work in progress
Change-Id: I76249db20f992f92c5fc0454f698c40e578b5e54
2017-07-25 17:34:19 +01:00
David Banks
f64641f99c Added build scripts for xc3s500e
Change-Id: Icc7990c3ee257d1511fa478fd0d2b3575362eb29
2016-10-24 14:24:18 +01:00
David Banks
6291faa4bd Updated to 0249 of the Z80 core by TobiFlex to fix issues with Spectrum Keyboard
Change-Id: I2d92c541cdb7feaad84bd3d37ec125594b6b47a8
2016-08-22 14:46:41 +01:00
David Banks
de6f722978 Adjusted Din sampling point ~40ns later so it co-incides with Phi2
Change-Id: Ibdd44b83a139b6560efc886e95714ee91daef3dc
2016-08-01 16:34:47 +01:00
David Banks
5410c32db3 Eliminated some warnings - changes mostly cosmetic
Change-Id: Ic7a7a107f9343bac2b49512d92ee2ad8f13c8bb2
2015-11-29 12:22:13 +00:00
David Banks
de16b3af1a Eliminated some warnings - changes mostly cosmetic
Change-Id: I141b05c932d0736e689ff3a2cb2c90c24c850933
2015-11-29 12:06:42 +00:00
David Banks
dd2ea9182b Fixed an incorrect module name
Change-Id: I952135d7be04e332ca4cc5de7f6491bc0fedf5ec
2015-11-28 17:32:08 +00:00
David Banks
e63266f720 Made AVR XPM and XDM more generic
Change-Id: I0025d56d4ba7fa3e20d73f09ac51068ffd1859c5
2015-11-28 17:22:23 +00:00
David Banks
cc6c062b4b Updated XDM2Kx8 to a more portable implementation
Change-Id: I37cbe56b7b0113e24855eb1c0fcf7bbe006eefd3
2015-11-28 11:19:27 +00:00
David Banks
a785d7e73f Refactored AtomFast6502 to use new MOS6502CpuMonCore - works in new Atom at 4MHz and with tube
Change-Id: I73c769919e2634a4656a6edec2c5a1100bd70083
2015-11-15 11:50:06 +00:00
David Banks
55c8889881 Updated reset output to avoid the use of a tristate signal in BusMonCore
Change-Id: I3f49317689eb5808a5edb5fe5b10552d01a3db59
2015-11-15 11:37:50 +00:00
David Banks
dc6165f826 Cosmetic tweaks to avoid warnings to Quartus
Change-Id: I9f044a50d599dfcd3d3b7bd46f6e99e1ef3e92b6
2015-11-14 13:36:15 +00:00
David Banks
568fd2906d Fixed AlanD core 65C02 bugs: D was being set in IRQ/BRK; sync was inferring a latch
Change-Id: Ia7163e996c403a1caae04df10de3d7a42a91b45c
2015-11-11 13:07:19 +00:00
David Banks
6aeb0c7b8a Refactor: 2nd stage - bug fixes
Change-Id: Ic3bd520d0cc8b74f33068c53085229506d6968b2
2015-10-31 18:31:41 +00:00
David Banks
99c5f951d1 Refactor: 2nd stage
Change-Id: I6959bbc88082cc46930f907378d42adf1abf180e
2015-10-31 14:29:14 +00:00
David Banks
b563a030ee Refactor: 1st stage
Change-Id: I8889ff76ce802099fae67c147e110356adbd23ac
2015-10-31 13:45:09 +00:00
David Banks
8a857ae45e Experimental AtomFast6502 adding bus mon function
Change-Id: Ib5115d9e7b736c45bb46a0a063325a0173b6f823
2015-10-31 11:46:40 +00:00
David Banks
eaf6f90ab6 ICE T65: Fixed the single stepping issue with AlanD's core (sync bug); increased CCLK rate to 25MHz
Change-Id: I226a63a10b80973001f4b0ee825dcecadaece8cb
2015-10-27 19:34:02 +00:00
David Banks
3c31e9e875 ICT T65: Fixed an issue the caused an immediate NMI on a Beeb Model B
Change-Id: I5f0e00fc5e4f647c81778821282316e812215cb8
2015-10-27 18:03:45 +00:00
David Banks
344e03185d Reworked the 6502 single stepping to hide the fact that register writes are pipelined
Change-Id: I6d9157e3d9ade9af72e11d255b224cc7f210f376
2015-10-26 16:44:25 +00:00
David Banks
9c4b9aa944 In ICE-T65 fixed the timing of ICE initiated read/write cycles to give them a whole cycle
Change-Id: I6bfdf624ad4340a219096f231334ec8a9bbfc5af
2015-10-26 12:54:17 +00:00
David Banks
92177196c0 Experimental AtomFast6502 with a different clocking arrangement
Change-Id: Ic6f5275bc0982254e9b5508ec79f0365712657de
2015-09-23 14:56:31 +01:00
David Banks
d3224a651d Fixed a bug with the I Flag being 0 rather than 1 following reset
Change-Id: Ifc1573026e93944d33a756bd601200441ba20c1d
2015-08-29 20:57:49 +01:00
David Banks
d44d3a889e Updated 6809 clocking scheme; tested on Dragon 32 and Simple 6809; fixed 6809 reset command bug; version now 0.64
Change-Id: Id772f50d3676b057ed31c001b211fdf92a9f49f8
2015-08-08 13:49:13 +01:00
David Banks
aeed6c1a65 Further improvements to the clocking of the 6809 for Roland to try
Change-Id: Ida0384c65ca405f50652a3c26665d1c5adac0748
2015-07-19 18:04:22 +01:00
David Banks
66b65f1bd6 Changed 6809 timing to provide bags of write address setup and hold time, version now 0.62
Change-Id: I1da33ddd81253f123e231e3cfad7e086bd3de08d
2015-07-16 18:16:07 +01:00
David Banks
d6c9287067 Changed to 18K ROM/2K RAM, version now 0.60, bitfiles published for all three designs
Change-Id: I2dd2b203e68d3ddde7d8bbb9052d4d46b802fbbb
2015-07-06 18:49:57 +01:00
David Banks
2677a945aa Corrected the function of EXTAL and XTAL; version now 0.53
Change-Id: Ib9c329b06827a83c8d9d307db12f16a91e2cb977
2015-07-04 17:50:03 +01:00
David Banks
3afc09c07d Added a jumper to select between 6809 and 6809E clocking; increased breakpoints to 8; version now 0.52
Change-Id: If17d2d0ff336fde2aafd9613eba47bbe7392ad8c
2015-07-04 16:51:08 +01:00
David Banks
34dacfb72e Reworked the 6809 single stepping to hide the fact that register writes are pipelined
Change-Id: I60d51d4584c44e36db37fb3953af818d83cf7958
2015-07-04 14:51:40 +01:00
David Banks
17d02c19fa Increased Z80 drive to 4mA, as 2mA is barely sufficient to counter the 1K5 GODIL pullups
Change-Id: I09e512bb7415c6b69e97df7bae870647adcd12d4
2015-07-04 14:49:59 +01:00
David Banks
20269623ea Initial checkin of ICE-6809; version now 0.49
Change-Id: I502840a0be0fa58adfc9ddb27c4e2a35a7c2849c
2015-07-02 15:35:05 +01:00
David Banks
727a1c0f2a Implemented IO watches/breakpoints; fixed a bug with stepping through CB/DD/ED/FD prefixed opcodes which have 2 M1 cycles; version not 0.47
Change-Id: Iad5cb406bd96a8020ccb65be5cd440bebec20481
2015-06-30 14:19:19 +01:00
David Banks
caec07483d Fixed a problem with breakpoints running on one instruction on the Z80, version now 0.46
Change-Id: I597087a8ed7d4da211c706e0c4972f5d037706ee
2015-06-29 17:16:23 +01:00
David Banks
8a2615d6b3 Added additional IO outputs to 6502 designs
Change-Id: I6253c507e766f8af13e52556818f725fda198cb4
2015-06-29 14:47:31 +01:00
David Banks
6d0ec41db0 Added commands to read/write/dump Z80 IO space; version now 0.45
Change-Id: I85e99f8c19bd285f2dd69ea46b0e662499a5d9e2
2015-06-29 14:43:20 +01:00
David Banks
e66ecdfc3e Made sure CycleCount stopped when Z80 is paused
Change-Id: Ia5d4a7d216a089e06e1aaa86bcd43d512a429aaa
2015-06-28 22:17:32 +01:00
David Banks
0c0fde6a32 Working Z80 memory access and disassembler in the small GODIL, incremented version to 0.44
Change-Id: I718be7476ec330743c206e737389856fc4b41fc8
2015-06-28 19:42:25 +01:00
David Banks
e4e0f864df Switched to Timing-Driven Packing, fixed a bug with instr wait states that meant single stepping executed RST 38 because FF was read off databus; increased comparators to 8; incremented version to 0.43. Works with 100pF capgit add -u!
Change-Id: Ie6c8c8fc610599516eb1baf957d001079713e462
2015-06-27 18:40:12 +01:00
David Banks
9a68d96233 Initial checking of Z80 work; slight refactor of BusMonCore; version updated to 0.41
Change-Id: I95f574abb93e84ffb5ca44c45b4c9aa8304e2e58
2015-06-27 11:07:58 +01:00
David Banks
eec6e10440 Added option to do repeat a read/write command n times (where n can be large), incremented version to 0.37
Change-Id: I4b1b02e8d67a581acbf4d5b044e86ffb2bc7e27e
2015-06-22 18:11:11 +01:00
David Banks
3c7fb3429e Updated AtomBusMon pinout to match 6502, would allow piggy-backing in principle
Change-Id: Ib2c8b2c3fd55f7fbba9b7aecc3d2289ff3114305
2015-06-20 22:36:10 +01:00
David Banks
14e4adda94 Implemented cycle counter and data bus monitoring during read/write watches/breakpoints, incremented version to 0.32
Change-Id: I408f57e66800ea58a56896ec4af5d815d1f12c34
2015-06-20 12:30:18 +01:00
David Banks
993f04e395 Added mask to breakpoint/watches; improved disassembly of breakpoints; incremented version to 0.31
Change-Id: If2a68ba1597d8be5479302f15110602614cc25e1
2015-06-19 16:10:07 +01:00
David Banks
73fc5a7eb1 Fixed write breakpoints to stop immediately; switched back to T65 core
Change-Id: I33bc0f7b0dd6caf89572fa4f494c3cc93c673107
2015-06-19 15:37:01 +01:00
David Banks
8a81b56304 Updated to use 65C02 core
Change-Id: Ieb458e48d3dc42cee08a9f03237271f101d105b7
2015-06-19 12:08:38 +01:00
David Banks
fc0993c9fb Checked in AlanD's 65C02 core (version from CoPro65C02)
Change-Id: I856a6034b1507e320ab06cd68df31f6785c1f940
2015-06-19 12:07:20 +01:00
David Banks
824c40f31e Added fill command, memory address reg now auto-increments, version 0.29
Change-Id: I685da6a14cea3dd343dde28c4316bb06ab924bc9
2015-06-18 12:58:37 +01:00
David Banks
35ad735420 Added a jumper enabled fakeTube register at 0xFEE0 with value 0xFE to work around a beeb issue with pullups, incremented version to 0.28
Change-Id: I6b7b79cb01aa350b0caca27e75fb9c689558bcec
2015-06-18 11:01:06 +01:00
David Banks
a3cd8ceae4 Changed to timing of writes slightly as AtomMMC was occasionally failing on New Atom with 0.26. Verified on Beeb. Upped version to 0.27
Change-Id: Iad7639e2984236e9644c5db0331eeec5cd8a1a09
2015-06-17 17:47:04 +01:00
David Banks
2599920e75 Added a register for Din which resolved issue on Beeb, upped version to 0.26
Change-Id: Ic23e4a212675ca58a4e1ad1ea09a020f54b8fdc3
2015-06-17 14:35:25 +01:00
David Banks
e075d54924 Updated to later T65 core; added read command; tidied up register display; update version to 0.24
Change-Id: I932eb0caa8f800989346f98f038b9c43814abaef
2015-06-17 11:17:12 +01:00
David Banks
664c3194c4 Implemented memory read/write and disassembly functionality
Change-Id: I269d42bf5e2db0ae47c739c30d6d716cccf8d556
2015-06-16 18:41:46 +01:00
David Banks
2fc7485d9c Implemented 6502 register access functionality with the embedded core
Change-Id: I0edaa48ddfb4b7057e1dde25895513bcaf395b07
2015-06-16 11:57:32 +01:00
David Banks
2675a5a408 In AtomCpuMon generated Phi1 and Phi2 so the are non-overlapping by 40ns
Change-Id: Id6c3500c221a46d9c6af022a7c710776b22ca196
2015-06-14 22:24:46 +01:00
David Banks
6bb256b7ab Added Blank design and T65-based 6502 design
Change-Id: I3b426484bfad6843d6346064e0eb22b9bf3a9c82
2015-06-14 17:57:26 +01:00
David Banks
ef3c791951 Implemented exteral triggers
Change-Id: If90a813d1358bdc4227f30fd855b2b5e64986ea4
2015-06-13 13:39:39 +01:00
David Banks
2d3ed88018 Added synchronous reset to FIFO
Change-Id: I77cfd9fd9930e8c5c2d2de5c83f52e1b87b30a67
2015-06-11 22:01:31 +01:00
David Banks
015dbac541 Improved generate relaibility; increased from 4 to 8 watches/breakpoint addresses
Change-Id: I7ce5b1fbd259cd20c11555cf774bbba2fce43821
2015-06-11 18:23:47 +01:00
David Banks
c9c6001332 Added watch functionality
Change-Id: I0510b12c8bc16c0ee6e23d6dd133a4b3b019d76c
2015-06-10 22:31:07 +01:00
David Banks
16a4769b47 Support for showing both access address on read/write breakpoints
Change-Id: Ieae38f00dcbb2fa7bc8908e27098550c03e18055
2015-06-10 16:35:38 +01:00
David Banks
d5854047d1 Redesigned interface between AVR and Bus Monitor to be command based
Change-Id: Ic14901856ad7d1ae0d053e6dd3c53047cd85b59a
2015-06-10 15:49:14 +01:00
David Banks
85fd68e43b Added read and write breakpoints
Change-Id: Id528aed8058ad52d4d39cb9543dfc78d22dfd05d
2015-06-10 11:55:39 +01:00
David Banks
10afdff12c Added breakpoint functionality
Change-Id: I82b1162249a1bfed2d340361b205340dfbb70d86
2015-06-07 17:58:14 +01:00
David Banks
30d42b8bd0 Added 6502 reset functionality
Change-Id: I1ef8e8b0d618a53f19e1d48cea9ec58b20291edf
2015-06-07 11:45:34 +01:00
David Banks
43df61cd06 Single-stepping functionality complete
Change-Id: Ic21b05ae8ecb828d32e55fe36be501800cfb3407
2015-06-07 11:19:33 +01:00